library ieee; use ieee.std_logic_1164.all; entity main is port ( clk : in std_logic; rst : in std_logic ); end entity main; architecture RTL of main is begin end architecture RTL;