##// END OF EJS Templates
se ha agregado diagramas de flujo de las funciones del firmware de la tarjeta de adquisicion. Falta el diagrama de la funcion main
se ha agregado diagramas de flujo de las funciones del firmware de la tarjeta de adquisicion. Falta el diagrama de la funcion main

File last commit:

r149:150
r171:172
Show More
main.vhd
15 lines | 191 B | text/x-vhdl | VhdlLexer
library ieee;
use ieee.std_logic_1164.all;
entity main is
port (
clk : in std_logic;
rst : in std_logic
);
end entity main;
architecture RTL of main is
begin
end architecture RTL;