##// END OF EJS Templates
Versión con adquisición continua
lgonzales -
r224:225
parent child
Show More

The requested changes are too big and content was truncated. Show full diff

@@ -0,0 +1,93
1 ----------------------------------------------------------------------------------
No newline at end of file
2 -- Company:
No newline at end of file
3 -- Engineer:
No newline at end of file
4 --
No newline at end of file
5 -- Create Date: 17:23:06 02/16/2016
No newline at end of file
6 -- Design Name:
No newline at end of file
7 -- Module Name: DCM_fwd_int - Behavioral
No newline at end of file
8 -- Project Name:
No newline at end of file
9 -- Target Devices:
No newline at end of file
10 -- Tool versions:
No newline at end of file
11 -- Description:
No newline at end of file
12 --
No newline at end of file
13 -- Dependencies:
No newline at end of file
14 --
No newline at end of file
15 -- Revision:
No newline at end of file
16 -- Revision 0.01 - File Created
No newline at end of file
17 -- Additional Comments:
No newline at end of file
18 --
No newline at end of file
19 ----------------------------------------------------------------------------------
No newline at end of file
20 library IEEE;
No newline at end of file
21 use IEEE.STD_LOGIC_1164.ALL;
No newline at end of file
22
No newline at end of file
23 -- Uncomment the following library declaration if using
No newline at end of file
24 -- arithmetic functions with Signed or Unsigned values
No newline at end of file
25 --use IEEE.NUMERIC_STD.ALL;
No newline at end of file
26
No newline at end of file
27 -- Uncomment the following library declaration if instantiating
No newline at end of file
28 -- any Xilinx primitives in this code.
No newline at end of file
29 library UNISIM;
No newline at end of file
30 use UNISIM.VComponents.all;
No newline at end of file
31
No newline at end of file
32 entity DCM_fwd_int is
No newline at end of file
33 PORT(
No newline at end of file
34 clk_main_io: IN std_logic;
No newline at end of file
35 c_200MHz_in: OUT std_logic
No newline at end of file
36 );
No newline at end of file
37 end DCM_fwd_int;
No newline at end of file
38
No newline at end of file
39 architecture Behavioral of DCM_fwd_int is
No newline at end of file
40 SIGNAL clk_main: std_logic;
No newline at end of file
41 SIGNAL c_16MHz: std_logic;
No newline at end of file
42
No newline at end of file
43 SIGNAL clk_fb_aux: std_logic;
No newline at end of file
44 SIGNAL clk_fb_aux_200MHz: std_logic;
No newline at end of file
45
No newline at end of file
46 SIGNAL c_16MHz_inv: std_logic;
No newline at end of file
47
No newline at end of file
48 begin
No newline at end of file
49
No newline at end of file
50
No newline at end of file
51
No newline at end of file
52 DCM_SP_inst_int : DCM_SP
No newline at end of file
53 generic map (
No newline at end of file
54 CLKDV_DIVIDE => 2.0, -- CLKDV divide value
No newline at end of file
55 -- (1.5,2,2.5,3,3.5,4,4.5,5,5.5,6,6.5,7,7.5,8,9,10,11,12,13,14,15,16).
No newline at end of file
56 CLKFX_DIVIDE => 1, -- Divide value on CLKFX outputs - D - (1-32)
No newline at end of file
57 CLKFX_MULTIPLY => 4, -- Multiply value on CLKFX outputs - M - (2-32)
No newline at end of file
58 CLKIN_DIVIDE_BY_2 => FALSE, -- CLKIN divide by two (TRUE/FALSE)
No newline at end of file
59 CLKIN_PERIOD => 16.667, -- Input clock period specified in nS
No newline at end of file
60 CLKOUT_PHASE_SHIFT => "NONE", -- Output phase shift (NONE, FIXED, VARIABLE)
No newline at end of file
61 CLK_FEEDBACK => "1X", -- Feedback source (NONE, 1X, 2X)
No newline at end of file
62 DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SYSTEM_SYNCHRNOUS or SOURCE_SYNCHRONOUS
No newline at end of file
63 DFS_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value
No newline at end of file
64 DLL_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value
No newline at end of file
65 DSS_MODE => "NONE", -- Unsupported - Do not change value
No newline at end of file
66 DUTY_CYCLE_CORRECTION => TRUE, -- Unsupported - Do not change value
No newline at end of file
67 FACTORY_JF => X"c080", -- Unsupported - Do not change value
No newline at end of file
68 PHASE_SHIFT => 0, -- Amount of fixed phase shift (-255 to 255)
No newline at end of file
69 STARTUP_WAIT => FALSE -- Delay config DONE until DCM_SP LOCKED (TRUE/FALSE)
No newline at end of file
70 )
No newline at end of file
71 port map (
No newline at end of file
72 CLK0 => clk_fb_aux_200MHz, -- 1-bit output: 0 degree clock output
No newline at end of file
73 CLK180 => open, -- 1-bit output: 180 degree clock output
No newline at end of file
74 CLK270 => open, -- 1-bit output: 270 degree clock output
No newline at end of file
75 CLK2X => open, -- 1-bit output: 2X clock frequency clock output
No newline at end of file
76 CLK2X180 => open, -- 1-bit output: 2X clock frequency, 180 degree clock output
No newline at end of file
77 CLK90 => open, -- 1-bit output: 90 degree clock output
No newline at end of file
78 CLKDV => open, -- 1-bit output: Divided clock output
No newline at end of file
79 CLKFX => c_200MHz_in, -- 1-bit output: Digital Frequency Synthesizer output (DFS)
No newline at end of file
80 CLKFX180 => open, -- 1-bit output: 180 degree CLKFX output
No newline at end of file
81 LOCKED => open, -- 1-bit output: DCM_SP Lock Output
No newline at end of file
82 PSDONE => open, -- 1-bit output: Phase shift done output
No newline at end of file
83 STATUS => open, -- 8-bit output: DCM_SP status output
No newline at end of file
84 CLKFB => clk_fb_aux_200MHz, -- 1-bit input: Clock feedback input
No newline at end of file
85 CLKIN => clk_main_io, -- 1-bit input: Clock input
No newline at end of file
86 DSSEN => '0', -- 1-bit input: Unsupported, specify to GND.
No newline at end of file
87 PSCLK => '1', -- 1-bit input: Phase shift clock input
No newline at end of file
88 PSEN => '0', -- 1-bit input: Phase shift enable
No newline at end of file
89 PSINCDEC => '0', -- 1-bit input: Phase shift increment/decrement input
No newline at end of file
90 RST => '0' -- 1-bit input: Active high reset input
No newline at end of file
91 );
No newline at end of file
92
No newline at end of file
93 end Behavioral; No newline at end of file
@@ -0,0 +1,116
1 ----------------------------------------------------------------------------------
No newline at end of file
2 -- Company:
No newline at end of file
3 -- Engineer:
No newline at end of file
4 --
No newline at end of file
5 -- Create Date: 15:47:34 02/08/2017
No newline at end of file
6 -- Design Name:
No newline at end of file
7 -- Module Name: Data_Req_gen - Behavioral
No newline at end of file
8 -- Project Name:
No newline at end of file
9 -- Target Devices:
No newline at end of file
10 -- Tool versions:
No newline at end of file
11 -- Description:
No newline at end of file
12 --
No newline at end of file
13 -- Dependencies:
No newline at end of file
14 --
No newline at end of file
15 -- Revision:
No newline at end of file
16 -- Revision 0.01 - File Created
No newline at end of file
17 -- Additional Comments:
No newline at end of file
18 --
No newline at end of file
19 ----------------------------------------------------------------------------------
No newline at end of file
20 library IEEE;
No newline at end of file
21 use IEEE.STD_LOGIC_1164.ALL;
No newline at end of file
22
No newline at end of file
23 -- Uncomment the following library declaration if using
No newline at end of file
24 -- arithmetic functions with Signed or Unsigned values
No newline at end of file
25 use IEEE.NUMERIC_STD.ALL;
No newline at end of file
26
No newline at end of file
27 -- Uncomment the following library declaration if instantiating
No newline at end of file
28 -- any Xilinx primitives in this code.
No newline at end of file
29 --library UNISIM;
No newline at end of file
30 --use UNISIM.VComponents.all;
No newline at end of file
31
No newline at end of file
32 entity Data_Req_gen is
No newline at end of file
33 GENERIC (
No newline at end of file
34 --CLOCKS_COUNT_1KSPS: INTEGER :=240000; --For 240 000
No newline at end of file
35 --CYCLES_COUNT_DUTY_1KSPS: INTEGER :=2400; --For 2400
No newline at end of file
36 --PULSES_COUNT_1KSPS: INTEGER :=1000; --For 1 000
No newline at end of file
37
No newline at end of file
38 NBITS_PERIOD: INTEGER :=18;
No newline at end of file
39 NBITS_DUTY: INTEGER :=12;
No newline at end of file
40 NBITS_PULSES: INTEGER :=10
No newline at end of file
41 );
No newline at end of file
42 PORT(
No newline at end of file
43 c_200MHz_in : IN std_logic;
No newline at end of file
44 reset_int : IN std_logic;
No newline at end of file
45 pps : IN std_logic;
No newline at end of file
46 data_req : OUT std_logic
No newline at end of file
47 );
No newline at end of file
48 end Data_Req_gen;
No newline at end of file
49
No newline at end of file
50 architecture Behavioral of Data_Req_gen is
No newline at end of file
51 --Constantes
No newline at end of file
52 CONSTANT LIM_COUNT_PERIOD : std_logic_vector((NBITS_PERIOD-1) downto 0) := "111010100100000000"; --240000
No newline at end of file
53 --CONSTANT LIM_COUNT_DUTY : std_logic_vector((NBITS_DUTY-1) downto 0) := "100101100000"; --2400
No newline at end of file
54 CONSTANT LIM_COUNT_DUTY : std_logic_vector((NBITS_PERIOD-1) downto 0) := "011101010011000000";
No newline at end of file
55 CONSTANT LIM_COUNT_PULSES : std_logic_vector((NBITS_PULSES-1) downto 0) := "1111101001"; --1000
No newline at end of file
56 --CONSTANT LIM_COUNT_PULSES : std_logic_vector((2-1) downto 0) := "11";
No newline at end of file
57 --Contadores de ciclos de reloj(count_clock) y pulsos generados(count_cycle)
No newline at end of file
58 SIGNAL count_clock : std_logic_vector((NBITS_PERIOD-1) downto 0) :="000000000000000000"; --"00 0000 0000 0000 0000"
No newline at end of file
59 SIGNAL count_pulses : std_logic_vector(9 downto 0) :="0000000000"; --"00 0000 0000"
No newline at end of file
60 --SIGNAL count_pulses : std_logic_vector(1 downto 0) :=(OTHERS =>'0'); --"00"
No newline at end of file
61 --Registro para deteccion de flanco
No newline at end of file
62 SIGNAL pps_r: std_logic_vector(1 downto 0) :="00";
No newline at end of file
63 SIGNAL pps_rising : std_logic := '0';
No newline at end of file
64 SIGNAL data_req_s: std_logic :='0';
No newline at end of file
65
No newline at end of file
66 begin
No newline at end of file
67
No newline at end of file
68 --Deteccion de flanco de pps
No newline at end of file
69 pps_bloco: PROCESS(c_200MHz_in)
No newline at end of file
70 BEGIN
No newline at end of file
71 IF (rising_edge(c_200MHz_in)) THEN
No newline at end of file
72 IF(reset_int = '0') THEN
No newline at end of file
73 pps_r <= (OTHERS=>'0');
No newline at end of file
74 ELSE
No newline at end of file
75 pps_r <= pps_r(0) & pps;
No newline at end of file
76 IF(pps_r(1 downto 0) = "01") THEN
No newline at end of file
77 pps_rising <= '1';
No newline at end of file
78 ELSE
No newline at end of file
79 pps_rising <= '0';
No newline at end of file
80 END IF;
No newline at end of file
81 END IF;
No newline at end of file
82 END IF;
No newline at end of file
83 END PROCESS;
No newline at end of file
84
No newline at end of file
85
No newline at end of file
86 out_generator: PROCESS(c_200MHz_in)
No newline at end of file
87 BEGIN
No newline at end of file
88 IF(rising_edge(c_200MHz_in)) THEN
No newline at end of file
89 IF(pps_rising = '1') THEN
No newline at end of file
90 data_req_s <= '1';
No newline at end of file
91 count_clock <= (OTHERS=>'0');
No newline at end of file
92 count_pulses <= "0000000001";
No newline at end of file
93 ELSE
No newline at end of file
94 IF(count_pulses = LIM_COUNT_PULSES) THEN
No newline at end of file
95 data_req_s<= '0';
No newline at end of file
96 ELSE
No newline at end of file
97 IF(count_clock = LIM_COUNT_PERIOD) THEN
No newline at end of file
98 --data_req<= '1';
No newline at end of file
99 count_clock <= (OTHERS=>'0');
No newline at end of file
100 count_pulses <= std_logic_vector(unsigned(count_pulses)+1);
No newline at end of file
101 ELSIF(count_clock = LIM_COUNT_DUTY) THEN
No newline at end of file
102 data_req_s<= '0';
No newline at end of file
103 count_clock <= std_logic_vector(unsigned(count_clock)+1);
No newline at end of file
104 ELSIF(count_clock = "000000000000000000" AND count_pulses /= "00") THEN
No newline at end of file
105 data_req_s<= '1';
No newline at end of file
106 count_clock <= std_logic_vector(unsigned(count_clock)+1);
No newline at end of file
107 ELSE
No newline at end of file
108 count_clock <= std_logic_vector(unsigned(count_clock)+1);
No newline at end of file
109 END IF;
No newline at end of file
110 END IF;
No newline at end of file
111 END IF;
No newline at end of file
112 END IF;
No newline at end of file
113 END PROCESS;
No newline at end of file
114
No newline at end of file
115 data_req <= data_req_s;
No newline at end of file
116 end Behavioral; No newline at end of file
This diff has been collapsed as it changes many lines, (656 lines changed) Show them Hide them
@@ -0,0 +1,656
1 ----------------------------------------------------------------------------------
No newline at end of file
2 -- Company:
No newline at end of file
3 -- Engineer:
No newline at end of file
4 --
No newline at end of file
5 -- Create Date: 16:24:04 02/21/2017
No newline at end of file
6 -- Design Name:
No newline at end of file
7 -- Module Name: DtoDPRAM - Behavioral
No newline at end of file
8 -- Project Name:
No newline at end of file
9 -- Target Devices:
No newline at end of file
10 -- Tool versions:
No newline at end of file
11 -- Description:
No newline at end of file
12 --
No newline at end of file
13 -- Dependencies:
No newline at end of file
14 --
No newline at end of file
15 -- Revision:
No newline at end of file
16 -- Revision 0.01 - File Created
No newline at end of file
17 -- Additional Comments:
No newline at end of file
18 --
No newline at end of file
19 ----------------------------------------------------------------------------------
No newline at end of file
20 library IEEE;
No newline at end of file
21 use IEEE.STD_LOGIC_1164.ALL;
No newline at end of file
22
No newline at end of file
23 -- Uncomment the following library declaration if using
No newline at end of file
24 -- arithmetic functions with Signed or Unsigned values
No newline at end of file
25 use IEEE.NUMERIC_STD.ALL;
No newline at end of file
26
No newline at end of file
27 -- Uncomment the following library declaration if instantiating
No newline at end of file
28 -- any Xilinx primitives in this code.
No newline at end of file
29 --library UNISIM;
No newline at end of file
30 --use UNISIM.VComponents.all;
No newline at end of file
31
No newline at end of file
32 entity DtoDPRAM is
No newline at end of file
33 GENERIC(
No newline at end of file
34 ADDR_LENGTH: INTEGER := 12;
No newline at end of file
35 DATAOUT_LENGTH: INTEGER := 8;
No newline at end of file
36 DATAIN_LENGTH: INTEGER := 40;
No newline at end of file
37 CHAN_NUM: INTEGER := 5;
No newline at end of file
38 COUNT_BYTE_SZ: INTEGER := 3;
No newline at end of file
39 COUNT_PACK_SZ: INTEGER := 6
No newline at end of file
40
No newline at end of file
41 );
No newline at end of file
42
No newline at end of file
43 PORT(
No newline at end of file
44 --Reset general
No newline at end of file
45 rst_bar: IN std_logic;
No newline at end of file
46
No newline at end of file
47 --Reset general
No newline at end of file
48 data_req: IN std_logic;
No newline at end of file
49
No newline at end of file
50 --Entrada de reloj principal
No newline at end of file
51 clk_main: IN std_logic;
No newline at end of file
52
No newline at end of file
53 --Entradas para indicar dato preparado por leer
No newline at end of file
54 --Desde los nibble to packets
No newline at end of file
55 ch_rdy_vector: IN std_logic_vector((CHAN_NUM-1) downto 0);
No newline at end of file
56
No newline at end of file
57 --Senhal para que indica que termino con una peticion
No newline at end of file
58 --Hacia los nibble to packets
No newline at end of file
59 ch_ack_vector: OUT std_logic_vector((CHAN_NUM-1) downto 0);
No newline at end of file
60
No newline at end of file
61 --Data IN
No newline at end of file
62 datach1: IN std_logic_vector((DATAIN_LENGTH-1) downto 0);
No newline at end of file
63 datach2: IN std_logic_vector((DATAIN_LENGTH-1) downto 0);
No newline at end of file
64 datach3: IN std_logic_vector((DATAIN_LENGTH-1) downto 0);
No newline at end of file
65 datach4: IN std_logic_vector((DATAIN_LENGTH-1) downto 0);
No newline at end of file
66 datach5: IN std_logic_vector((DATAIN_LENGTH-1) downto 0);
No newline at end of file
67
No newline at end of file
68 --DPRAM senhales de control, direccion y data
No newline at end of file
69 --Direccion de escritura/lectura
No newline at end of file
70 addrA: OUT std_logic_vector((ADDR_LENGTH-1) downto 0);
No newline at end of file
71 dataA: OUT std_logic_vector((DATAOUT_LENGTH-1) downto 0);
No newline at end of file
72 enA: OUT std_logic;
No newline at end of file
73 --Aviso de Paquete de 40 muestras solicitadas a los 5 canales
No newline at end of file
74 rpi_req: OUT std_logic
No newline at end of file
75
No newline at end of file
76 );
No newline at end of file
77 end DtoDPRAM;
No newline at end of file
78
No newline at end of file
79 architecture Behavioral of DtoDPRAM is
No newline at end of file
80 SIGNAL datach1_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0');
No newline at end of file
81 SIGNAL datach2_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0');
No newline at end of file
82 SIGNAL datach3_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0');
No newline at end of file
83 SIGNAL datach4_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0');
No newline at end of file
84 SIGNAL datach5_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0');
No newline at end of file
85
No newline at end of file
86 --Estados del controlador de memoria
No newline at end of file
87 --Incluye el estado de espera, proceso de borrado, espera de "listos" para llenado de memoria
No newline at end of file
88 --espera de pedido y estados para proceder a una lectura
No newline at end of file
89 TYPE ram_cont_states IS (idle,
No newline at end of file
90
No newline at end of file
91 ------------------------------------------
No newline at end of file
92 pre_ch1, wr_ch1, end_wrt_ch_1,
No newline at end of file
93 ------------------------------------------
No newline at end of file
94 pre_ch2, wr_ch2, end_wrt_ch_2,
No newline at end of file
95 ------------------------------------------
No newline at end of file
96 pre_ch3, wr_ch3, end_wrt_ch_3,
No newline at end of file
97 ------------------------------------------
No newline at end of file
98 pre_ch4, wr_ch4, end_wrt_ch_4,
No newline at end of file
99 ------------------------------------------
No newline at end of file
100 pre_ch5, wr_ch5, end_wrt_ch_5
No newline at end of file
101
No newline at end of file
102 );
No newline at end of file
103
No newline at end of file
104 SIGNAL ram_contr_cur_state: ram_cont_states := idle;
No newline at end of file
105 SIGNAL ram_contr_next_state: ram_cont_states := idle;
No newline at end of file
106
No newline at end of file
107 ----------------------------------
No newline at end of file
108 --Estados de la atencion a ready's
No newline at end of file
109 TYPE chn_cont_states IS ( idle,
No newline at end of file
110 checking_ch1, attending_ch1,
No newline at end of file
111 checking_ch2, attending_ch2,
No newline at end of file
112 checking_ch3, attending_ch3,
No newline at end of file
113 checking_ch4, attending_ch4,
No newline at end of file
114 checking_ch5, attending_ch5
No newline at end of file
115 );
No newline at end of file
116
No newline at end of file
117 SIGNAL ch_cont_cur_state: chn_cont_states := idle;
No newline at end of file
118 SIGNAL ch_cont_next_state: chn_cont_states := idle;
No newline at end of file
119
No newline at end of file
120 SIGNAL count_ch_byte: std_logic_vector((COUNT_BYTE_SZ-1) downto 0) := (OTHERS=>'0');
No newline at end of file
121
No newline at end of file
122 --Direcciones base por canal
No newline at end of file
123 CONSTANT BASE_ADDR_CH1: std_logic_vector((ADDR_LENGTH-1) downto 0) := (OTHERS=>'0');
No newline at end of file
124 CONSTANT BASE_ADDR_CH2: std_logic_vector((ADDR_LENGTH-1) downto 0) := "000011001000"; --200
No newline at end of file
125 CONSTANT BASE_ADDR_CH3: std_logic_vector((ADDR_LENGTH-1) downto 0) := "000110010000"; --400
No newline at end of file
126 CONSTANT BASE_ADDR_CH4: std_logic_vector((ADDR_LENGTH-1) downto 0) := "001001011000"; --600
No newline at end of file
127 CONSTANT BASE_ADDR_CH5: std_logic_vector((ADDR_LENGTH-1) downto 0) := "001100100000"; --800
No newline at end of file
128
No newline at end of file
129 CONSTANT BASE_BUFFER_2: std_logic_vector((ADDR_LENGTH-1) downto 0) := "010000000000"; --1024
No newline at end of file
130
No newline at end of file
131 SIGNAL sel_buf: std_logic := '0';
No newline at end of file
132 SIGNAL count_pack: std_logic_vector((COUNT_PACK_SZ-1) downto 0) := (OTHERS => '0');
No newline at end of file
133 begin
No newline at end of file
134
No newline at end of file
135 ---------------------
No newline at end of file
136 --Estados para atencion de canales
No newline at end of file
137 ch_cont_cambio_estados: PROCESS(clk_main)
No newline at end of file
138 BEGIN
No newline at end of file
139 IF (rising_edge(clk_main)) THEN
No newline at end of file
140 IF(rst_bar = '0') THEN
No newline at end of file
141 ch_cont_cur_state <= idle;
No newline at end of file
142 ELSE
No newline at end of file
143 ch_cont_cur_state <= ch_cont_next_state;
No newline at end of file
144 END IF;
No newline at end of file
145 END IF;
No newline at end of file
146 END PROCESS;
No newline at end of file
147
No newline at end of file
148 ch_salidas_estados: PROCESS(ch_cont_cur_state,
No newline at end of file
149 ch_rdy_vector,
No newline at end of file
150 ram_contr_cur_state
No newline at end of file
151 )
No newline at end of file
152 BEGIN
No newline at end of file
153
No newline at end of file
154 CASE ch_cont_cur_state IS
No newline at end of file
155 WHEN idle =>
No newline at end of file
156 ch_cont_next_state <= checking_ch1;
No newline at end of file
157
No newline at end of file
158 WHEN checking_ch1 =>
No newline at end of file
159 IF(ch_rdy_vector(0) = '1') THEN
No newline at end of file
160 ch_cont_next_state <= attending_ch1;
No newline at end of file
161 ELSE
No newline at end of file
162 ch_cont_next_state <= checking_ch2;
No newline at end of file
163 END IF;
No newline at end of file
164
No newline at end of file
165 WHEN attending_ch1 =>
No newline at end of file
166 IF(ram_contr_cur_state = end_wrt_ch_1) THEN
No newline at end of file
167 ch_cont_next_state <= checking_ch2;
No newline at end of file
168 ELSE
No newline at end of file
169 ch_cont_next_state <= attending_ch1;
No newline at end of file
170 END IF;
No newline at end of file
171
No newline at end of file
172 WHEN checking_ch2 =>
No newline at end of file
173 IF(ch_rdy_vector(1) = '1') THEN
No newline at end of file
174 ch_cont_next_state <= attending_ch2;
No newline at end of file
175 ELSE
No newline at end of file
176 ch_cont_next_state <= checking_ch3;
No newline at end of file
177 END IF;
No newline at end of file
178
No newline at end of file
179 WHEN attending_ch2 =>
No newline at end of file
180 IF(ram_contr_cur_state = end_wrt_ch_2) THEN
No newline at end of file
181 ch_cont_next_state <= checking_ch3;
No newline at end of file
182 ELSE
No newline at end of file
183 ch_cont_next_state <= attending_ch2;
No newline at end of file
184 END IF;
No newline at end of file
185
No newline at end of file
186 WHEN checking_ch3 =>
No newline at end of file
187 IF(ch_rdy_vector(2) = '1') THEN
No newline at end of file
188 ch_cont_next_state <= attending_ch3;
No newline at end of file
189 ELSE
No newline at end of file
190 ch_cont_next_state <= checking_ch4;
No newline at end of file
191 END IF;
No newline at end of file
192
No newline at end of file
193 WHEN attending_ch3 =>
No newline at end of file
194 IF(ram_contr_cur_state = end_wrt_ch_3) THEN
No newline at end of file
195 ch_cont_next_state <= checking_ch4;
No newline at end of file
196 ELSE
No newline at end of file
197 ch_cont_next_state <= attending_ch3;
No newline at end of file
198 END IF;
No newline at end of file
199
No newline at end of file
200 WHEN checking_ch4 =>
No newline at end of file
201 IF(ch_rdy_vector(3) = '1') THEN
No newline at end of file
202 ch_cont_next_state <= attending_ch4;
No newline at end of file
203 ELSE
No newline at end of file
204 ch_cont_next_state <= checking_ch5;
No newline at end of file
205 END IF;
No newline at end of file
206
No newline at end of file
207 WHEN attending_ch4 =>
No newline at end of file
208 IF(ram_contr_cur_state = end_wrt_ch_4) THEN
No newline at end of file
209 ch_cont_next_state <= checking_ch5;
No newline at end of file
210 ELSE
No newline at end of file
211 ch_cont_next_state <= attending_ch4;
No newline at end of file
212 END IF;
No newline at end of file
213
No newline at end of file
214 WHEN checking_ch5 =>
No newline at end of file
215 IF(ch_rdy_vector(4) = '1') THEN
No newline at end of file
216 ch_cont_next_state <= attending_ch5;
No newline at end of file
217 ELSE
No newline at end of file
218 ch_cont_next_state <= checking_ch1;
No newline at end of file
219 END IF;
No newline at end of file
220
No newline at end of file
221 WHEN attending_ch5 =>
No newline at end of file
222 IF(ram_contr_cur_state = end_wrt_ch_5) THEN
No newline at end of file
223 ch_cont_next_state <= checking_ch1;
No newline at end of file
224 ELSE
No newline at end of file
225 ch_cont_next_state <= attending_ch5;
No newline at end of file
226 END IF;
No newline at end of file
227
No newline at end of file
228 WHEN OTHERS =>
No newline at end of file
229
No newline at end of file
230 ch_cont_next_state <= idle;
No newline at end of file
231
No newline at end of file
232 END CASE;
No newline at end of file
233 END PROCESS;
No newline at end of file
234
No newline at end of file
235 --------------------------------------------------
No newline at end of file
236 --Estados de m�quina principal para control de RAM
No newline at end of file
237
No newline at end of file
238 ctrl_cambio_estados: PROCESS(clk_main)
No newline at end of file
239 BEGIN
No newline at end of file
240 IF (rising_edge(clk_main)) THEN
No newline at end of file
241 IF(rst_bar = '0') THEN
No newline at end of file
242 ram_contr_cur_state <= idle;
No newline at end of file
243 ELSE
No newline at end of file
244 ram_contr_cur_state <= ram_contr_next_state;
No newline at end of file
245 END IF;
No newline at end of file
246 END IF;
No newline at end of file
247 END PROCESS;
No newline at end of file
248
No newline at end of file
249 --Revisar si los estados de set, act y proc se pueden unificar. Al parecer los de set s�.
No newline at end of file
250 ctrl_salidas_estados: PROCESS(ram_contr_cur_state, ch_cont_cur_state,
No newline at end of file
251 count_pack, count_ch_byte, sel_buf,
No newline at end of file
252 datach1_aux, datach2_aux, datach3_aux, datach4_aux, datach5_aux
No newline at end of file
253 )
No newline at end of file
254 BEGIN
No newline at end of file
255 CASE ram_contr_cur_state IS
No newline at end of file
256 WHEN idle =>
No newline at end of file
257 enA <= '0';
No newline at end of file
258 dataA <= (OTHERS=>'0');
No newline at end of file
259 addrA <= (OTHERS=>'0');
No newline at end of file
260 ch_ack_vector <= (OTHERS=>'0');
No newline at end of file
261 IF (ch_cont_cur_state=attending_ch1) THEN
No newline at end of file
262 ram_contr_next_state <= pre_ch1;
No newline at end of file
263 ELSIF (ch_cont_cur_state=attending_ch2) THEN
No newline at end of file
264 ram_contr_next_state <= pre_ch2;
No newline at end of file
265 ELSIF (ch_cont_cur_state=attending_ch3) THEN
No newline at end of file
266 ram_contr_next_state <= pre_ch3;
No newline at end of file
267 ELSIF (ch_cont_cur_state=attending_ch4) THEN
No newline at end of file
268 ram_contr_next_state <= pre_ch4;
No newline at end of file
269 ELSIF (ch_cont_cur_state=attending_ch5) THEN
No newline at end of file
270 ram_contr_next_state <= pre_ch5;
No newline at end of file
271 ELSE
No newline at end of file
272 ram_contr_next_state <= idle;
No newline at end of file
273 END IF;
No newline at end of file
274
No newline at end of file
275 WHEN pre_ch1 =>
No newline at end of file
276 enA <= '0';
No newline at end of file
277 dataA <= datach1_aux;
No newline at end of file
278 ch_ack_vector <= "00000";
No newline at end of file
279 IF ( sel_buf = '1' ) THEN
No newline at end of file
280 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
281 ELSE
No newline at end of file
282 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
283 END IF;
No newline at end of file
284 ram_contr_next_state <= wr_ch1;
No newline at end of file
285
No newline at end of file
286 WHEN wr_ch1 =>
No newline at end of file
287 enA <= '1';
No newline at end of file
288 dataA <= datach1_aux;
No newline at end of file
289 ch_ack_vector <= "00000";
No newline at end of file
290 IF ( sel_buf = '1' ) THEN
No newline at end of file
291 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
292 ELSE
No newline at end of file
293 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
294 END IF;
No newline at end of file
295 ram_contr_next_state <= end_wrt_ch_1;
No newline at end of file
296
No newline at end of file
297 WHEN end_wrt_ch_1 =>
No newline at end of file
298 enA <= '0';
No newline at end of file
299 dataA <= datach1_aux;
No newline at end of file
300 ch_ack_vector <= "00001";
No newline at end of file
301 IF ( sel_buf = '1' ) THEN
No newline at end of file
302 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
303 ELSE
No newline at end of file
304 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
305 END IF;
No newline at end of file
306 IF (count_ch_byte = "100") THEN
No newline at end of file
307 ram_contr_next_state <= idle;
No newline at end of file
308 ELSE
No newline at end of file
309 ram_contr_next_state <= pre_ch1;
No newline at end of file
310 END IF;
No newline at end of file
311
No newline at end of file
312 ---------------------------------------
No newline at end of file
313
No newline at end of file
314 WHEN pre_ch2 =>
No newline at end of file
315 enA <= '0';
No newline at end of file
316 dataA <= datach2_aux;
No newline at end of file
317 ch_ack_vector <= "00000";
No newline at end of file
318 IF ( sel_buf = '1' ) THEN
No newline at end of file
319 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
320 ELSE
No newline at end of file
321 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
322 END IF;
No newline at end of file
323 ram_contr_next_state <= wr_ch2;
No newline at end of file
324
No newline at end of file
325 WHEN wr_ch2 =>
No newline at end of file
326 enA <= '1';
No newline at end of file
327 dataA <= datach2_aux;
No newline at end of file
328 ch_ack_vector <= "00000";
No newline at end of file
329 IF ( sel_buf = '1' ) THEN
No newline at end of file
330 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
331 ELSE
No newline at end of file
332 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
333 END IF;
No newline at end of file
334 ram_contr_next_state <= end_wrt_ch_2;
No newline at end of file
335
No newline at end of file
336 WHEN end_wrt_ch_2 =>
No newline at end of file
337 enA <= '0';
No newline at end of file
338 dataA <= datach2_aux;
No newline at end of file
339 ch_ack_vector <= "00010";
No newline at end of file
340 IF ( sel_buf = '1' ) THEN
No newline at end of file
341 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
342 ELSE
No newline at end of file
343 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
344 END IF;
No newline at end of file
345 IF (count_ch_byte = "100") THEN
No newline at end of file
346 ram_contr_next_state <= idle;
No newline at end of file
347 ELSE
No newline at end of file
348 ram_contr_next_state <= pre_ch2;
No newline at end of file
349 END IF;
No newline at end of file
350
No newline at end of file
351 ------------------------------
No newline at end of file
352
No newline at end of file
353 WHEN pre_ch3 =>
No newline at end of file
354 enA <= '0';
No newline at end of file
355 dataA <= datach3_aux;
No newline at end of file
356 ch_ack_vector <= "00000";
No newline at end of file
357 IF ( sel_buf = '1' ) THEN
No newline at end of file
358 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
359 ELSE
No newline at end of file
360 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
361 END IF;
No newline at end of file
362 ram_contr_next_state <= wr_ch3;
No newline at end of file
363
No newline at end of file
364 WHEN wr_ch3 =>
No newline at end of file
365 enA <= '1';
No newline at end of file
366 dataA <= datach3_aux;
No newline at end of file
367 ch_ack_vector <= "00000";
No newline at end of file
368 IF ( sel_buf = '1' ) THEN
No newline at end of file
369 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
370 ELSE
No newline at end of file
371 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
372 END IF;
No newline at end of file
373 ram_contr_next_state <= end_wrt_ch_3;
No newline at end of file
374
No newline at end of file
375 WHEN end_wrt_ch_3 =>
No newline at end of file
376 enA <= '0';
No newline at end of file
377 dataA <= datach3_aux;
No newline at end of file
378 ch_ack_vector <= "00100";
No newline at end of file
379 IF ( sel_buf = '1' ) THEN
No newline at end of file
380 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
381 ELSE
No newline at end of file
382 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
383 END IF;
No newline at end of file
384 IF (count_ch_byte = "100") THEN
No newline at end of file
385 ram_contr_next_state <= idle;
No newline at end of file
386 ELSE
No newline at end of file
387 ram_contr_next_state <= pre_ch3;
No newline at end of file
388 END IF;
No newline at end of file
389
No newline at end of file
390 --------------------------------------------------
No newline at end of file
391
No newline at end of file
392 WHEN pre_ch4 =>
No newline at end of file
393 enA <= '0';
No newline at end of file
394 dataA <= datach4_aux;
No newline at end of file
395 ch_ack_vector <= "00000";
No newline at end of file
396 IF ( sel_buf = '1' ) THEN
No newline at end of file
397 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
398 ELSE
No newline at end of file
399 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
400 END IF;
No newline at end of file
401 ram_contr_next_state <= wr_ch4;
No newline at end of file
402
No newline at end of file
403 WHEN wr_ch4 =>
No newline at end of file
404 enA <= '1';
No newline at end of file
405 dataA <= datach4_aux;
No newline at end of file
406 ch_ack_vector <= "00000";
No newline at end of file
407 IF ( sel_buf = '1' ) THEN
No newline at end of file
408 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
409 ELSE
No newline at end of file
410 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
411 END IF;
No newline at end of file
412 ram_contr_next_state <= end_wrt_ch_4;
No newline at end of file
413
No newline at end of file
414 WHEN end_wrt_ch_4 =>
No newline at end of file
415 enA <= '0';
No newline at end of file
416 dataA <= datach4_aux;
No newline at end of file
417 ch_ack_vector <= "01000";
No newline at end of file
418 IF ( sel_buf = '1' ) THEN
No newline at end of file
419 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
420 ELSE
No newline at end of file
421 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
422 END IF;
No newline at end of file
423 IF (count_ch_byte = "100") THEN
No newline at end of file
424 ram_contr_next_state <= idle;
No newline at end of file
425 ELSE
No newline at end of file
426 ram_contr_next_state <= pre_ch4;
No newline at end of file
427 END IF;
No newline at end of file
428
No newline at end of file
429 ------------------------------------------
No newline at end of file
430
No newline at end of file
431 WHEN pre_ch5 =>
No newline at end of file
432 enA <= '0';
No newline at end of file
433 dataA <= datach5_aux;
No newline at end of file
434 ch_ack_vector <= "00000";
No newline at end of file
435 IF ( sel_buf = '1' ) THEN
No newline at end of file
436 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
437 ELSE
No newline at end of file
438 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
439 END IF;
No newline at end of file
440 ram_contr_next_state <= wr_ch5;
No newline at end of file
441
No newline at end of file
442 WHEN wr_ch5 =>
No newline at end of file
443 enA <= '1';
No newline at end of file
444 dataA <= datach5_aux;
No newline at end of file
445 ch_ack_vector <= "00000";
No newline at end of file
446 IF ( sel_buf = '1' ) THEN
No newline at end of file
447 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
448 ELSE
No newline at end of file
449 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
450 END IF;
No newline at end of file
451 ram_contr_next_state <= end_wrt_ch_5;
No newline at end of file
452
No newline at end of file
453 WHEN end_wrt_ch_5 =>
No newline at end of file
454 enA <= '0';
No newline at end of file
455 dataA <= datach5_aux;
No newline at end of file
456 ch_ack_vector <= "10000";
No newline at end of file
457 IF ( sel_buf = '1' ) THEN
No newline at end of file
458 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
459 ELSE
No newline at end of file
460 addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte));
No newline at end of file
461 END IF;
No newline at end of file
462 IF (count_ch_byte = "100") THEN
No newline at end of file
463 ram_contr_next_state <= idle;
No newline at end of file
464 ELSE
No newline at end of file
465 ram_contr_next_state <= pre_ch5;
No newline at end of file
466 END IF;
No newline at end of file
467
No newline at end of file
468
No newline at end of file
469 WHEN OTHERS =>
No newline at end of file
470
No newline at end of file
471 enA <= '0';
No newline at end of file
472 dataA <= (OTHERS=>'0');
No newline at end of file
473 addrA <= (OTHERS=>'0');
No newline at end of file
474 ch_ack_vector <= (OTHERS=>'0');
No newline at end of file
475 ram_contr_next_state <= idle;
No newline at end of file
476
No newline at end of file
477 END CASE;
No newline at end of file
478 END PROCESS;
No newline at end of file
479
No newline at end of file
480 --Contador de paquetes
No newline at end of file
481 wr_bytes_dpram: PROCESS(clk_main)
No newline at end of file
482 BEGIN
No newline at end of file
483 IF(rising_edge(clk_main)) THEN
No newline at end of file
484 IF (rst_bar = '0') THEN
No newline at end of file
485 --count_req <= (OTHERS => '0');
No newline at end of file
486 count_pack <= (OTHERS => '1');
No newline at end of file
487 ELSE
No newline at end of file
488 --IF (data_req = '1') THEN
No newline at end of file
489 -- count_req <= std_logic_vector(unsigned(count_req) + 1);
No newline at end of file
490 --END IF;
No newline at end of file
491
No newline at end of file
492 IF (data_req = '1') THEN
No newline at end of file
493 IF (count_pack = "100111") THEN
No newline at end of file
494 count_pack <= (OTHERS => '0');
No newline at end of file
495 sel_buf <= NOT(sel_buf);
No newline at end of file
496 rpi_req <= '1';
No newline at end of file
497 ELSE
No newline at end of file
498 count_pack <= std_logic_vector(unsigned(count_pack) + 1);
No newline at end of file
499 rpi_req <= '0';
No newline at end of file
500 END IF;
No newline at end of file
501 ELSE
No newline at end of file
502 rpi_req <= '0';
No newline at end of file
503 END IF;
No newline at end of file
504
No newline at end of file
505 END IF;
No newline at end of file
506 END IF;
No newline at end of file
507 END PROCESS;
No newline at end of file
508
No newline at end of file
509
No newline at end of file
510 --Contador de bytes
No newline at end of file
511 count_ch_bytes: PROCESS(clk_main)
No newline at end of file
512 BEGIN
No newline at end of file
513 IF(rising_edge(clk_main)) THEN
No newline at end of file
514 IF (rst_bar = '0') THEN
No newline at end of file
515 count_ch_byte <= (OTHERS => '0');
No newline at end of file
516 ELSE
No newline at end of file
517 IF (ram_contr_cur_state = idle) THEN
No newline at end of file
518 count_ch_byte <= (OTHERS => '0');
No newline at end of file
519 ELSE
No newline at end of file
520 IF (ram_contr_cur_state = end_wrt_ch_1 OR
No newline at end of file
521 ram_contr_cur_state = end_wrt_ch_2 OR
No newline at end of file
522 ram_contr_cur_state = end_wrt_ch_3 OR
No newline at end of file
523 ram_contr_cur_state = end_wrt_ch_4 OR
No newline at end of file
524 ram_contr_cur_state = end_wrt_ch_5
No newline at end of file
525 ) THEN
No newline at end of file
526 count_ch_byte <= std_logic_vector(unsigned(count_ch_byte) + 1);
No newline at end of file
527 END IF;
No newline at end of file
528 END IF;
No newline at end of file
529 END IF;
No newline at end of file
530 END IF;
No newline at end of file
531 END PROCESS;
No newline at end of file
532
No newline at end of file
533 -----------------------------------------
No newline at end of file
534 datach1_bytes: PROCESS(clk_main)
No newline at end of file
535 BEGIN
No newline at end of file
536 IF (rising_edge(clk_main))THEN
No newline at end of file
537 IF (rst_bar = '0') THEN
No newline at end of file
538 datach1_aux <= (OTHERS =>'0');
No newline at end of file
539 ELSE
No newline at end of file
540 CASE count_ch_byte IS
No newline at end of file
541 WHEN "000" =>
No newline at end of file
542 datach1_aux <= datach1(39 downto 32);
No newline at end of file
543 WHEN "001" =>
No newline at end of file
544 datach1_aux <= datach1(31 downto 24);
No newline at end of file
545 WHEN "010" =>
No newline at end of file
546 datach1_aux <= datach1(23 downto 16);
No newline at end of file
547 WHEN "011" =>
No newline at end of file
548 datach1_aux <= datach1(15 downto 8);
No newline at end of file
549 WHEN "100" =>
No newline at end of file
550 datach1_aux <= datach1(7 downto 0);
No newline at end of file
551 WHEN OTHERS =>
No newline at end of file
552 datach1_aux <= (OTHERS =>'0');
No newline at end of file
553 END CASE;
No newline at end of file
554 END IF;
No newline at end of file
555 END IF;
No newline at end of file
556 END PROCESS;
No newline at end of file
557
No newline at end of file
558 datach2_bytes: PROCESS(clk_main)
No newline at end of file
559 BEGIN
No newline at end of file
560 IF (rising_edge(clk_main))THEN
No newline at end of file
561 IF (rst_bar = '0') THEN
No newline at end of file
562 datach2_aux <= (OTHERS =>'0');
No newline at end of file
563 ELSE
No newline at end of file
564 CASE count_ch_byte IS
No newline at end of file
565 WHEN "000" =>
No newline at end of file
566 datach2_aux <= datach2(39 downto 32);
No newline at end of file
567 WHEN "001" =>
No newline at end of file
568 datach2_aux <= datach2(31 downto 24);
No newline at end of file
569 WHEN "010" =>
No newline at end of file
570 datach2_aux <= datach2(23 downto 16);
No newline at end of file
571 WHEN "011" =>
No newline at end of file
572 datach2_aux <= datach2(15 downto 8);
No newline at end of file
573 WHEN "100" =>
No newline at end of file
574 datach2_aux <= datach2(7 downto 0);
No newline at end of file
575 WHEN OTHERS =>
No newline at end of file
576 datach2_aux <= (OTHERS =>'0');
No newline at end of file
577 END CASE;
No newline at end of file
578 END IF;
No newline at end of file
579 END IF;
No newline at end of file
580 END PROCESS;
No newline at end of file
581
No newline at end of file
582 datach3_bytes: PROCESS(clk_main)
No newline at end of file
583 BEGIN
No newline at end of file
584 IF (rising_edge(clk_main))THEN
No newline at end of file
585 IF (rst_bar = '0') THEN
No newline at end of file
586 datach3_aux <= (OTHERS =>'0');
No newline at end of file
587 ELSE
No newline at end of file
588 CASE count_ch_byte IS
No newline at end of file
589 WHEN "000" =>
No newline at end of file
590 datach3_aux <= datach3(39 downto 32);
No newline at end of file
591 WHEN "001" =>
No newline at end of file
592 datach3_aux <= datach3(31 downto 24);
No newline at end of file
593 WHEN "010" =>
No newline at end of file
594 datach3_aux <= datach3(23 downto 16);
No newline at end of file
595 WHEN "011" =>
No newline at end of file
596 datach3_aux <= datach3(15 downto 8);
No newline at end of file
597 WHEN "100" =>
No newline at end of file
598 datach3_aux <= datach3(7 downto 0);
No newline at end of file
599 WHEN OTHERS =>
No newline at end of file
600 datach3_aux <= (OTHERS =>'0');
No newline at end of file
601 END CASE;
No newline at end of file
602 END IF;
No newline at end of file
603 END IF;
No newline at end of file
604 END PROCESS;
No newline at end of file
605
No newline at end of file
606 datach4_bytes: PROCESS(clk_main)
No newline at end of file
607 BEGIN
No newline at end of file
608 IF (rising_edge(clk_main))THEN
No newline at end of file
609 IF (rst_bar = '0') THEN
No newline at end of file
610 datach4_aux <= (OTHERS =>'0');
No newline at end of file
611 ELSE
No newline at end of file
612 CASE count_ch_byte IS
No newline at end of file
613 WHEN "000" =>
No newline at end of file
614 datach4_aux <= datach4(39 downto 32);
No newline at end of file
615 WHEN "001" =>
No newline at end of file
616 datach4_aux <= datach4(31 downto 24);
No newline at end of file
617 WHEN "010" =>
No newline at end of file
618 datach4_aux <= datach4(23 downto 16);
No newline at end of file
619 WHEN "011" =>
No newline at end of file
620 datach4_aux <= datach4(15 downto 8);
No newline at end of file
621 WHEN "100" =>
No newline at end of file
622 datach4_aux <= datach4(7 downto 0);
No newline at end of file
623 WHEN OTHERS =>
No newline at end of file
624 datach4_aux <= (OTHERS =>'0');
No newline at end of file
625 END CASE;
No newline at end of file
626 END IF;
No newline at end of file
627 END IF;
No newline at end of file
628 END PROCESS;
No newline at end of file
629
No newline at end of file
630 datach5_bytes: PROCESS(clk_main)
No newline at end of file
631 BEGIN
No newline at end of file
632 IF (rising_edge(clk_main))THEN
No newline at end of file
633 IF (rst_bar = '0') THEN
No newline at end of file
634 datach5_aux <= (OTHERS =>'0');
No newline at end of file
635 ELSE
No newline at end of file
636 CASE count_ch_byte IS
No newline at end of file
637 WHEN "000" =>
No newline at end of file
638 datach5_aux <= datach5(39 downto 32);
No newline at end of file
639 WHEN "001" =>
No newline at end of file
640 datach5_aux <= datach5(31 downto 24);
No newline at end of file
641 WHEN "010" =>
No newline at end of file
642 datach5_aux <= datach5(23 downto 16);
No newline at end of file
643 WHEN "011" =>
No newline at end of file
644 datach5_aux <= datach5(15 downto 8);
No newline at end of file
645 WHEN "100" =>
No newline at end of file
646 datach5_aux <= datach5(7 downto 0);
No newline at end of file
647 WHEN OTHERS =>
No newline at end of file
648 datach5_aux <= (OTHERS =>'0');
No newline at end of file
649 END CASE;
No newline at end of file
650 END IF;
No newline at end of file
651 END IF;
No newline at end of file
652 END PROCESS;
No newline at end of file
653
No newline at end of file
654
No newline at end of file
655 end Behavioral;
No newline at end of file
656 No newline at end of file
@@ -0,0 +1,13
1 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
2 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
3 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
4 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
5 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
6 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
7 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
8 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
9 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
10 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
11 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
12 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr"
No newline at end of file
13 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" No newline at end of file
@@ -0,0 +1,118
1 <?xml version="1.0" encoding="UTF-8" standalone="no" ?>
No newline at end of file
2 <generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
No newline at end of file
3
No newline at end of file
4 <!-- -->
No newline at end of file
5
No newline at end of file
6 <!-- For tool use only. Do not edit. -->
No newline at end of file
7
No newline at end of file
8 <!-- -->
No newline at end of file
9
No newline at end of file
10 <!-- ProjectNavigator created generated project file. -->
No newline at end of file
11
No newline at end of file
12 <!-- For use in tracking generated file and other information -->
No newline at end of file
13
No newline at end of file
14 <!-- allowing preservation of process status. -->
No newline at end of file
15
No newline at end of file
16 <!-- -->
No newline at end of file
17
No newline at end of file
18 <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
No newline at end of file
19
No newline at end of file
20 <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
No newline at end of file
21
No newline at end of file
22 <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="FrontEnd_Acq.xise"/>
No newline at end of file
23
No newline at end of file
24 <files xmlns="http://www.xilinx.com/XMLSchema">
No newline at end of file
25 <file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="FrontEnd_Acq.cmd_log"/>
No newline at end of file
26 <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="FrontEnd_Acq.lso"/>
No newline at end of file
27 <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="FrontEnd_Acq.ngc"/>
No newline at end of file
28 <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="FrontEnd_Acq.ngr"/>
No newline at end of file
29 <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="FrontEnd_Acq.prj"/>
No newline at end of file
30 <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="FrontEnd_Acq.stx"/>
No newline at end of file
31 <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="FrontEnd_Acq.syr"/>
No newline at end of file
32 <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="FrontEnd_Acq.xst"/>
No newline at end of file
33 <file xil_pn:fileType="FILE_HTML" xil_pn:name="FrontEnd_Acq_envsettings.html"/>
No newline at end of file
34 <file xil_pn:fileType="FILE_HTML" xil_pn:name="FrontEnd_Acq_summary.html"/>
No newline at end of file
35 <file xil_pn:fileType="FILE_XRPT" xil_pn:name="FrontEnd_Acq_xst.xrpt"/>
No newline at end of file
36 <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
No newline at end of file
37 <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="isim"/>
No newline at end of file
38 <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="testbench_stx_beh.prj"/>
No newline at end of file
39 <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
No newline at end of file
40 <file xil_pn:fileType="FILE_INI" xil_pn:name="xilinxsim.ini"/>
No newline at end of file
41 <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xst"/>
No newline at end of file
42 </files>
No newline at end of file
43
No newline at end of file
44 <transforms xmlns="http://www.xilinx.com/XMLSchema">
No newline at end of file
45 <transform xil_pn:end_ts="1487967396" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1487967396">
No newline at end of file
46 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
47 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
48 </transform>
No newline at end of file
49 <transform xil_pn:end_ts="1487969891" xil_pn:in_ck="3689099153043928551" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1487969891">
No newline at end of file
50 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
51 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
52 <outfile xil_pn:name="DCM_fwd_int.vhd"/>
No newline at end of file
53 <outfile xil_pn:name="Data_Req_gen.vhd"/>
No newline at end of file
54 <outfile xil_pn:name="DtoDPRAM.vhd"/>
No newline at end of file
55 <outfile xil_pn:name="FrontEnd_Acq.vhd"/>
No newline at end of file
56 <outfile xil_pn:name="joiner_samp.vhd"/>
No newline at end of file
57 <outfile xil_pn:name="tb_FrontEnd_Acq.vhd"/>
No newline at end of file
58 </transform>
No newline at end of file
59 <transform xil_pn:end_ts="1487967396" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="-9044832904953873877" xil_pn:start_ts="1487967396">
No newline at end of file
60 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
61 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
62 <status xil_pn:value="OutOfDateForProperties"/>
No newline at end of file
63 </transform>
No newline at end of file
64 <transform xil_pn:end_ts="1487967396" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="-2793873426928892759" xil_pn:start_ts="1487967396">
No newline at end of file
65 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
66 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
67 <status xil_pn:value="OutOfDateForProperties"/>
No newline at end of file
68 </transform>
No newline at end of file
69 <transform xil_pn:end_ts="1487967396" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="8806113302358300741" xil_pn:start_ts="1487967396">
No newline at end of file
70 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
71 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
72 </transform>
No newline at end of file
73 <transform xil_pn:end_ts="1487953541" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1487953541">
No newline at end of file
74 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
75 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
76 </transform>
No newline at end of file
77 <transform xil_pn:end_ts="1487953541" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-3768900233016590667" xil_pn:start_ts="1487953541">
No newline at end of file
78 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
79 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
80 </transform>
No newline at end of file
81 <transform xil_pn:end_ts="1487953541" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="8806113302358300741" xil_pn:start_ts="1487953541">
No newline at end of file
82 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
83 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
84 </transform>
No newline at end of file
85 <transform xil_pn:end_ts="1487953541" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1487953541">
No newline at end of file
86 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
87 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
88 </transform>
No newline at end of file
89 <transform xil_pn:end_ts="1487953541" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="7509621194074287415" xil_pn:start_ts="1487953541">
No newline at end of file
90 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
91 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
92 </transform>
No newline at end of file
93 <transform xil_pn:end_ts="1487953541" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="250970745955965653" xil_pn:start_ts="1487953541">
No newline at end of file
94 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
95 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
96 </transform>
No newline at end of file
97 <transform xil_pn:end_ts="1487953541" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="3361842800594627215" xil_pn:start_ts="1487953541">
No newline at end of file
98 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
99 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
100 </transform>
No newline at end of file
101 <transform xil_pn:end_ts="1487968498" xil_pn:in_ck="-1660920062075515250" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="2407589981579732958" xil_pn:start_ts="1487968485">
No newline at end of file
102 <status xil_pn:value="SuccessfullyRun"/>
No newline at end of file
103 <status xil_pn:value="ReadyToRun"/>
No newline at end of file
104 <outfile xil_pn:name="FrontEnd_Acq.lso"/>
No newline at end of file
105 <outfile xil_pn:name="FrontEnd_Acq.ngc"/>
No newline at end of file
106 <outfile xil_pn:name="FrontEnd_Acq.ngr"/>
No newline at end of file
107 <outfile xil_pn:name="FrontEnd_Acq.prj"/>
No newline at end of file
108 <outfile xil_pn:name="FrontEnd_Acq.stx"/>
No newline at end of file
109 <outfile xil_pn:name="FrontEnd_Acq.syr"/>
No newline at end of file
110 <outfile xil_pn:name="FrontEnd_Acq.xst"/>
No newline at end of file
111 <outfile xil_pn:name="FrontEnd_Acq_xst.xrpt"/>
No newline at end of file
112 <outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
No newline at end of file
113 <outfile xil_pn:name="webtalk_pn.xml"/>
No newline at end of file
114 <outfile xil_pn:name="xst"/>
No newline at end of file
115 </transform>
No newline at end of file
116 </transforms>
No newline at end of file
117
No newline at end of file
118 </generated_project> No newline at end of file
@@ -0,0 +1,1
1 work No newline at end of file
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
General Comments 0
You need to be logged in to leave comments. Login now