##// END OF EJS Templates
Versión inicial de los bloques de comunicación para el módulo de procesamiento.
lgonzales -
r219:220
parent child
Show More

The requested changes are too big and content was truncated. Show full diff

@@ -0,0 +1,28
1 <?xml version="1.0" encoding="UTF-8" standalone="no" ?>
2 <generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
3
4 <!-- -->
5
6 <!-- For tool use only. Do not edit. -->
7
8 <!-- -->
9
10 <!-- ProjectNavigator created generated project file. -->
11
12 <!-- For use in tracking generated file and other information -->
13
14 <!-- allowing preservation of process status. -->
15
16 <!-- -->
17
18 <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
19
20 <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
21
22 <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="Controlador_Mem_RAM.xise"/>
23
24 <files xmlns="http://www.xilinx.com/XMLSchema"/>
25
26 <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
27
28 </generated_project>
@@ -0,0 +1,55
1 ----------------------------------------------------------------------------------
2 -- Company:
3 -- Engineer:
4 --
5 -- Create Date: 16:52:35 12/09/2015
6 -- Design Name:
7 -- Module Name: Controlador_Mem_RAM - Behavioral
8 -- Project Name:
9 -- Target Devices:
10 -- Tool versions:
11 -- Description:
12 --
13 -- Dependencies:
14 --
15 -- Revision:
16 -- Revision 0.01 - File Created
17 -- Additional Comments:
18 --
19 ----------------------------------------------------------------------------------
20 library IEEE;
21 use IEEE.STD_LOGIC_1164.ALL;
22
23 -- Uncomment the following library declaration if using
24 -- arithmetic functions with Signed or Unsigned values
25 --use IEEE.NUMERIC_STD.ALL;
26
27 -- Uncomment the following library declaration if instantiating
28 -- any Xilinx primitives in this code.
29 --library UNISIM;
30 --use UNISIM.VComponents.all;
31
32 entity Controlador_Mem_RAM is
33 GENERIC(
34 num_ch: INTEGER :=3;
35 id_ch_lenght: INTEGER :=3;
36 data_lenght: INTEGER :=24;
37 id_data_lenght: INTEGER :=1 --Para 250ksp deben ser 18 bits
38 );
39
40 PORT(
41 clk: IN STD_LOGIC;
42 rdy_ch1: IN STD_LOGIC_VECTOR((num_ch-1) downto 0);
43 start_sender: IN STD_LOGIC;
44 sum: IN STD_LOGIC_VECTOR(13 downto 0);
45 serial_output: OUT STD_LOGIC
46 );
47 end Controlador_Mem_RAM;
48
49 architecture Behavioral of Controlador_Mem_RAM is
50
51 begin
52
53
54 end Behavioral;
55
@@ -0,0 +1,359
1 <?xml version="1.0" encoding="UTF-8" standalone="no" ?>
2 <project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
3
4 <header>
5 <!-- ISE source project file created by Project Navigator. -->
6 <!-- -->
7 <!-- This file contains project source information including a list of -->
8 <!-- project source files, project and process properties. This file, -->
9 <!-- along with the project source files, is sufficient to open and -->
10 <!-- implement in ISE Project Navigator. -->
11 <!-- -->
12 <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
13 </header>
14
15 <version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
16
17 <files>
18 <file xil_pn:name="Controlador_Mem_RAM.vhd" xil_pn:type="FILE_VHDL">
19 <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
20 <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
21 </file>
22 </files>
23
24 <properties>
25 <property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
26 <property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
27 <property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
28 <property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
29 <property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
30 <property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
31 <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
32 <property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
33 <property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
34 <property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
35 <property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
36 <property xil_pn:name="Auto Implementation Top" xil_pn:value="true" xil_pn:valueState="default"/>
37 <property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
38 <property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
39 <property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
40 <property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
41 <property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
42 <property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
43 <property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
44 <property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
45 <property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
46 <property xil_pn:name="Change Device Speed To" xil_pn:value="-3" xil_pn:valueState="default"/>
47 <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-3" xil_pn:valueState="default"/>
48 <property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
49 <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
50 <property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
51 <property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
52 <property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
53 <property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
54 <property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
55 <property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
56 <property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
57 <property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
58 <property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
59 <property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
60 <property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
61 <property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
62 <property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
63 <property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
64 <property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
65 <property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
66 <property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
67 <property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
68 <property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
69 <property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
70 <property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
71 <property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
72 <property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
73 <property xil_pn:name="Device" xil_pn:value="xc6slx9" xil_pn:valueState="non-default"/>
74 <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
75 <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-3" xil_pn:valueState="default"/>
76 <property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
77 <property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
78 <property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
79 <property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
80 <property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
81 <property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
82 <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
83 <property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
84 <property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
85 <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
86 <property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
87 <property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
88 <property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
89 <property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
90 <property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
91 <property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
92 <property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
93 <property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
94 <property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
95 <property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
96 <property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
97 <property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
98 <property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
99 <property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
100 <property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
101 <property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
102 <property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
103 <property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
104 <property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
105 <property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
106 <property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
107 <property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="VHDL" xil_pn:valueState="default"/>
108 <property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="VHDL" xil_pn:valueState="default"/>
109 <property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="VHDL" xil_pn:valueState="default"/>
110 <property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
111 <property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
112 <property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
113 <property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
114 <property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
115 <property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
116 <property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
117 <property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
118 <property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
119 <property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
120 <property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
121 <property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
122 <property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
123 <property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
124 <property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
125 <property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
126 <property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
127 <property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
128 <property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
129 <property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
130 <property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
131 <property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
132 <property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
133 <property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
134 <property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
135 <property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
136 <property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
137 <property xil_pn:name="Implementation Top" xil_pn:value="Architecture|Controlador_Mem_RAM|Behavioral" xil_pn:valueState="non-default"/>
138 <property xil_pn:name="Implementation Top File" xil_pn:value="Controlador_Mem_RAM.vhd" xil_pn:valueState="non-default"/>
139 <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/Controlador_Mem_RAM" xil_pn:valueState="non-default"/>
140 <property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
141 <property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
142 <property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
143 <property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
144 <property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
145 <property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
146 <property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="VHDL" xil_pn:valueState="default"/>
147 <property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
148 <property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
149 <property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
150 <property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
151 <property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
152 <property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
153 <property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
154 <property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
155 <property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
156 <property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
157 <property xil_pn:name="Last Unlock Status" xil_pn:value="false" xil_pn:valueState="default"/>
158 <property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
159 <property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
160 <property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
161 <property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
162 <property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
163 <property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
164 <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
165 <property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
166 <property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
167 <property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
168 <property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
169 <property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
170 <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
171 <property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
172 <property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
173 <property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
174 <property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
175 <property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
176 <property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
177 <property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
178 <property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
179 <property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
180 <property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
181 <property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
182 <property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
183 <property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
184 <property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
185 <property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
186 <property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
187 <property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
188 <property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
189 <property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
190 <property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
191 <property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
192 <property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
193 <property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
194 <property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
195 <property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
196 <property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
197 <property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
198 <property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
199 <property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
200 <property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
201 <property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
202 <property xil_pn:name="Output File Name" xil_pn:value="Controlador_Mem_RAM" xil_pn:valueState="default"/>
203 <property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
204 <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
205 <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
206 <property xil_pn:name="Package" xil_pn:value="tqg144" xil_pn:valueState="default"/>
207 <property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
208 <property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
209 <property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
210 <property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
211 <property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
212 <property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
213 <property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
214 <property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
215 <property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="Controlador_Mem_RAM_map.vhd" xil_pn:valueState="default"/>
216 <property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="Controlador_Mem_RAM_timesim.vhd" xil_pn:valueState="default"/>
217 <property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="Controlador_Mem_RAM_synthesis.vhd" xil_pn:valueState="default"/>
218 <property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="Controlador_Mem_RAM_translate.vhd" xil_pn:valueState="default"/>
219 <property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
220 <property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
221 <property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
222 <property xil_pn:name="Preferred Language" xil_pn:value="VHDL" xil_pn:valueState="non-default"/>
223 <property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
224 <property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
225 <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
226 <property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
227 <property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
228 <property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
229 <property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
230 <property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
231 <property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
232 <property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
233 <property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
234 <property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
235 <property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
236 <property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
237 <property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
238 <property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
239 <property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
240 <property xil_pn:name="Rename Top Level Entity to" xil_pn:value="Controlador_Mem_RAM" xil_pn:valueState="default"/>
241 <property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
242 <property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
243 <property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
244 <property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
245 <property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
246 <property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
247 <property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
248 <property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
249 <property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
250 <property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
251 <property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
252 <property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
253 <property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
254 <property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/>
255 <property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/>
256 <property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
257 <property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
258 <property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
259 <property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
260 <property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
261 <property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
262 <property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
263 <property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
264 <property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
265 <property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
266 <property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
267 <property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
268 <property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
269 <property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
270 <property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
271 <property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
272 <property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
273 <property xil_pn:name="Simulation Model Target" xil_pn:value="VHDL" xil_pn:valueState="default"/>
274 <property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
275 <property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
276 <property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
277 <property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
278 <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
279 <property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
280 <property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
281 <property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
282 <property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
283 <property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
284 <property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
285 <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
286 <property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
287 <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
288 <property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
289 <property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
290 <property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
291 <property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
292 <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
293 <property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
294 <property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
295 <property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
296 <property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
297 <property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
298 <property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
299 <property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
300 <property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
301 <property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
302 <property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
303 <property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
304 <property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
305 <property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
306 <property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
307 <property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
308 <property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
309 <property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
310 <property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
311 <property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
312 <property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
313 <property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
314 <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
315 <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
316 <property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
317 <property xil_pn:name="User Browsed Strategy Files" xil_pn:value="" xil_pn:valueState="default"/>
318 <property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
319 <property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
320 <property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
321 <property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
322 <property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
323 <property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
324 <property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
325 <property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
326 <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
327 <property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
328 <!-- -->
329 <!-- The following properties are for internal use only. These should not be modified.-->
330 <!-- -->
331 <property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
332 <property xil_pn:name="PROP_DesignName" xil_pn:value="Controlador_Mem_RAM" xil_pn:valueState="non-default"/>
333 <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
334 <property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
335 <property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
336 <property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
337 <property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
338 <property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
339 <property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
340 <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2015-12-09T16:52:03" xil_pn:valueState="non-default"/>
341 <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="E173BA5612214290878C33D973D2EB48" xil_pn:valueState="non-default"/>
342 <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
343 <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
344 </properties>
345
346 <bindings/>
347
348 <libraries/>
349
350 <autoManagedFiles>
351 <!-- The following files are identified by `include statements in verilog -->
352 <!-- source files and are automatically managed by Project Navigator. -->
353 <!-- -->
354 <!-- Do not hand-edit this section, as it will be overwritten when the -->
355 <!-- project is analyzed based on files automatically identified as -->
356 <!-- include files. -->
357 </autoManagedFiles>
358
359 </project>
@@ -0,0 +1,79
1 <HTML><HEAD><TITLE>Xilinx Design Summary</TITLE></HEAD>
2 <BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
3 <TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
4 <TR ALIGN=CENTER BGCOLOR='#99CCFF'>
5 <TD ALIGN=CENTER COLSPAN='4'><B>Controlador_Mem_RAM Project Status</B></TD></TR>
6 <TR ALIGN=LEFT>
7 <TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
8 <TD>Controlador_Mem_RAM.xise</TD>
9 <TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
10 <TD> No Errors </TD>
11 </TR>
12 <TR ALIGN=LEFT>
13 <TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
14 <TD>Controlador_Mem_RAM</TD>
15 <TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
16 <TD>New</TD>
17 </TR>
18 <TR ALIGN=LEFT>
19 <TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
20 <TD>xc6slx9-3tqg144</TD>
21 <TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
22 <TD>&nbsp;</TD>
23 </TR>
24 <TR ALIGN=LEFT>
25 <TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
26 <TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
27 <TD>&nbsp;</TD>
28 </TR>
29 <TR ALIGN=LEFT>
30 <TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
31 <TD>Balanced</TD>
32 <TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
33 <TD>
34 &nbsp;</TD>
35 </TR>
36 <TR ALIGN=LEFT>
37 <TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
38 <TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
39 <TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
40 <TD>&nbsp;</TD>
41 </TR>
42 <TR ALIGN=LEFT>
43 <TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
44 <TD>&nbsp;</TD>
45 <TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
46 <TD>&nbsp;&nbsp;</TD>
47 </TR>
48 </TABLE>
49
50
51
52
53
54
55
56
57
58
59
60 &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
61 <TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
62 <TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
63 <TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
64 <TR ALIGN=LEFT><TD>Synthesis Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
65 <TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
66 <TR ALIGN=LEFT><TD>Map Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
67 <TR ALIGN=LEFT><TD>Place and Route Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
68 <TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
69 <TR ALIGN=LEFT><TD>Post-PAR Static Timing Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
70 <TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
71 </TABLE>
72 &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
73 <TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
74 <TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
75 </TABLE>
76
77
78 <br><center><b>Date Generated:</b> 12/10/2015 - 08:38:12</center>
79 </BODY></HTML> No newline at end of file
@@ -0,0 +1,15
1 <?xml version="1.0" encoding="UTF-8"?>
2 <!-- IMPORTANT: This is an internal file that has been generated -->
3 <!-- by the Xilinx ISE software. Any direct editing or -->
4 <!-- changes made to this file may result in unpredictable -->
5 <!-- behavior or data corruption. It is strongly advised that -->
6 <!-- users do not edit the contents of this file. -->
7 <!-- -->
8 <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
9
10 <messages>
11 <msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/Controlador_Mem_RAM/Controlador_Mem_RAM.vhd&quot; into library work</arg>
12 </msg>
13
14 </messages>
15
@@ -0,0 +1,78
1 <?xml version='1.0' encoding='utf-8'?>
2 <!--This is an ISE project configuration file.-->
3 <!--It holds project specific layout data for the projectmgr plugin.-->
4 <!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
5 <Project version="2" owner="projectmgr" name="Controlador_Mem_RAM" >
6 <!--This is an ISE project configuration file.-->
7 <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
8 <ClosedNodes>
9 <ClosedNodesVersion>2</ClosedNodesVersion>
10 </ClosedNodes>
11 <SelectedItems>
12 <SelectedItem>Controlador_Mem_RAM - Behavioral (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/Controlador_Mem_RAM/Controlador_Mem_RAM.vhd)</SelectedItem>
13 </SelectedItems>
14 <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
15 <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
16 <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001b8000000020000000000000000000000000200000064ffffffff000000810000000300000002000001b80000000100000003000000000000000100000003</ViewHeaderState>
17 <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
18 <CurrentItem>Controlador_Mem_RAM - Behavioral (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/Controlador_Mem_RAM/Controlador_Mem_RAM.vhd)</CurrentItem>
19 </ItemView>
20 <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
21 <ClosedNodes>
22 <ClosedNodesVersion>1</ClosedNodesVersion>
23 <ClosedNode>Design Utilities</ClosedNode>
24 </ClosedNodes>
25 <SelectedItems>
26 <SelectedItem/>
27 </SelectedItems>
28 <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
29 <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
30 <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000185000000010000000100000000000000000000000064ffffffff000000810000000000000001000001850000000100000000</ViewHeaderState>
31 <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
32 <CurrentItem/>
33 </ItemView>
34 <ItemView guiview="File" >
35 <ClosedNodes>
36 <ClosedNodesVersion>1</ClosedNodesVersion>
37 </ClosedNodes>
38 <SelectedItems/>
39 <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
40 <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
41 <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000000000000001000000000000000000000000000000000000028e000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000001c20000000100000000</ViewHeaderState>
42 <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
43 <CurrentItem>Controlador_Mem_RAM.vhd</CurrentItem>
44 </ItemView>
45 <ItemView guiview="Library" >
46 <ClosedNodes>
47 <ClosedNodesVersion>1</ClosedNodesVersion>
48 <ClosedNode>work</ClosedNode>
49 </ClosedNodes>
50 <SelectedItems/>
51 <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
52 <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
53 <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000</ViewHeaderState>
54 <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
55 <CurrentItem>work</CurrentItem>
56 </ItemView>
57 <ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
58 <ClosedNodes>
59 <ClosedNodesVersion>1</ClosedNodesVersion>
60 <ClosedNode>Configure Target Device</ClosedNode>
61 <ClosedNode>Design Utilities</ClosedNode>
62 <ClosedNode>Implement Design</ClosedNode>
63 <ClosedNode>Synthesize - XST</ClosedNode>
64 <ClosedNode>User Constraints</ClosedNode>
65 </ClosedNodes>
66 <SelectedItems>
67 <SelectedItem></SelectedItem>
68 </SelectedItems>
69 <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
70 <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
71 <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000174000000010000000100000000000000000000000064ffffffff000000810000000000000001000001740000000100000000</ViewHeaderState>
72 <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
73 <CurrentItem></CurrentItem>
74 </ItemView>
75 <SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
76 <CurrentView>Implementation</CurrentView>
77 <CopyAdditionalFiles/>
78 </Project>
@@ -0,0 +1,215
1 <?xml version='1.0' encoding='UTF-8'?>
2 <report-views version="2.0" >
3 <header>
4 <DateModified>2015-12-10T08:38:12</DateModified>
5 <ModuleName>Controlador_Mem_RAM</ModuleName>
6 <SummaryTimeStamp>Unknown</SummaryTimeStamp>
7 <SavedFilePath>C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/Controlador_Mem_RAM/iseconfig/Controlador_Mem_RAM.xreport</SavedFilePath>
8 <ImplementationReportsDirectory>C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/Controlador_Mem_RAM\</ImplementationReportsDirectory>
9 <DateInitialized>2015-12-09T16:52:35</DateInitialized>
10 <EnableMessageFiltering>false</EnableMessageFiltering>
11 </header>
12 <body>
13 <viewgroup label="Design Overview" >
14 <view inputState="Unknown" program="implementation" ShowPartitionData="false" type="FPGASummary" file="Controlador_Mem_RAM_summary.html" label="Summary" >
15 <toc-item title="Design Overview" target="Design Overview" />
16 <toc-item title="Design Utilization Summary" target="Design Utilization Summary" />
17 <toc-item title="Performance Summary" target="Performance Summary" />
18 <toc-item title="Failing Constraints" target="Failing Constraints" />
19 <toc-item title="Detailed Reports" target="Detailed Reports" />
20 </view>
21 <view inputState="Unknown" program="implementation" contextTags="FPGA_ONLY" hidden="true" type="HTML" file="Controlador_Mem_RAM_envsettings.html" label="System Settings" />
22 <view inputState="Translated" program="map" locator="MAP_IOB_TABLE" contextTags="FPGA_ONLY" type="IOBProperties" file="Controlador_Mem_RAM_map.xrpt" label="IOB Properties" />
23 <view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Control_Sets" file="Controlador_Mem_RAM_map.xrpt" label="Control Set Information" />
24 <view inputState="Translated" program="map" locator="MAP_MODULE_HIERARCHY" contextTags="FPGA_ONLY" type="Module_Utilization" file="Controlador_Mem_RAM_map.xrpt" label="Module Level Utilization" />
25 <view inputState="Mapped" program="par" locator="CONSTRAINT_TABLE" contextTags="FPGA_ONLY" type="ConstraintsData" file="Controlador_Mem_RAM.ptwx" label="Timing Constraints" translator="ptwxToTableXML.xslt" />
26 <view inputState="Mapped" program="par" locator="PAR_PINOUT_BY_PIN_NUMBER" contextTags="FPGA_ONLY" type="PinoutData" file="Controlador_Mem_RAM_par.xrpt" label="Pinout Report" />
27 <view inputState="Mapped" program="par" locator="PAR_CLOCK_TABLE" contextTags="FPGA_ONLY" type="ClocksData" file="Controlador_Mem_RAM_par.xrpt" label="Clock Report" />
28 <view inputState="Mapped" program="par" contextTags="FPGA_ONLY,EDK_OFF" type="Timing_Analyzer" file="Controlador_Mem_RAM.twx" label="Static Timing" />
29 <view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="Controlador_Mem_RAM_html/fit/report.htm" label="CPLD Fitter Report" />
30 <view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="Controlador_Mem_RAM_html/tim/report.htm" label="CPLD Timing Report" />
31 </viewgroup>
32 <viewgroup label="XPS Errors and Warnings" >
33 <view program="platgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/platgen.xmsgs" label="Platgen Messages" />
34 <view program="simgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/simgen.xmsgs" label="Simgen Messages" />
35 <view program="bitinit" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/bitinit.xmsgs" label="BitInit Messages" />
36 </viewgroup>
37 <viewgroup label="XPS Reports" >
38 <view inputState="PreSynthesized" program="platgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="platgen.log" label="Platgen Log File" />
39 <view inputState="PreSynthesized" program="simgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="simgen.log" label="Simgen Log File" />
40 <view inputState="PreSynthesized" program="bitinit" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="bitinit.log" label="BitInit Log File" />
41 <view inputState="PreSynthesized" program="system" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="Controlador_Mem_RAM.log" label="System Log File" />
42 </viewgroup>
43 <viewgroup label="Errors and Warnings" >
44 <view program="pn" WrapMessages="true" contextTags="EDK_OFF" type="MessageList" hideColumns="Filtered, New" file="_xmsgs/pn_parser.xmsgs" label="Parser Messages" />
45 <view program="xst" WrapMessages="true" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="MessageList" hideColumns="Filtered" file="_xmsgs/xst.xmsgs" label="Synthesis Messages" />
46 <view inputState="Synthesized" program="ngdbuild" WrapMessages="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/ngdbuild.xmsgs" label="Translation Messages" />
47 <view inputState="Translated" program="map" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/map.xmsgs" label="Map Messages" />
48 <view inputState="Mapped" program="par" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/par.xmsgs" label="Place and Route Messages" />
49 <view inputState="Routed" program="trce" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/trce.xmsgs" label="Timing Messages" />
50 <view inputState="Routed" program="xpwr" WrapMessages="true" contextTags="EDK_OFF" hidden="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/xpwr.xmsgs" label="Power Messages" />
51 <view inputState="Routed" program="bitgen" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/bitgen.xmsgs" label="Bitgen Messages" />
52 <view inputState="Translated" program="cpldfit" WrapMessages="true" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/cpldfit.xmsgs" label="Fitter Messages" />
53 <view inputState="Current" program="implementation" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/map.xmsgs,_xmsgs/par.xmsgs,_xmsgs/trce.xmsgs,_xmsgs/xpwr.xmsgs,_xmsgs/bitgen.xmsgs" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages" />
54 <view inputState="Current" program="fitting" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/cpldfit.xmsgs,_xmsgs/xpwr.xmsgs" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="CPLD_MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages (CPLD)" />
55 </viewgroup>
56 <viewgroup label="Detailed Reports" >
57 <view program="xst" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="Report" file="Controlador_Mem_RAM.syr" label="Synthesis Report" >
58 <toc-item title="Top of Report" target="Copyright " searchDir="Forward" />
59 <toc-item title="Synthesis Options Summary" target=" Synthesis Options Summary " />
60 <toc-item title="HDL Compilation" target=" HDL Compilation " />
61 <toc-item title="Design Hierarchy Analysis" target=" Design Hierarchy Analysis " />
62 <toc-item title="HDL Analysis" target=" HDL Analysis " />
63 <toc-item title="HDL Parsing" target=" HDL Parsing " />
64 <toc-item title="HDL Elaboration" target=" HDL Elaboration " />
65 <toc-item title="HDL Synthesis" target=" HDL Synthesis " />
66 <toc-item title="HDL Synthesis Report" target="HDL Synthesis Report" searchCnt="2" searchDir="Backward" subItemLevel="1" />
67 <toc-item title="Advanced HDL Synthesis" target=" Advanced HDL Synthesis " searchDir="Backward" />
68 <toc-item title="Advanced HDL Synthesis Report" target="Advanced HDL Synthesis Report" subItemLevel="1" />
69 <toc-item title="Low Level Synthesis" target=" Low Level Synthesis " />
70 <toc-item title="Partition Report" target=" Partition Report " />
71 <toc-item title="Final Report" target=" Final Report " />
72 <toc-item title="Design Summary" target=" Design Summary " />
73 <toc-item title="Primitive and Black Box Usage" target="Primitive and Black Box Usage:" subItemLevel="1" />
74 <toc-item title="Device Utilization Summary" target="Device utilization summary:" subItemLevel="1" />
75 <toc-item title="Partition Resource Summary" target="Partition Resource Summary:" subItemLevel="1" />
76 <toc-item title="Timing Report" target="Timing Report" subItemLevel="1" />
77 <toc-item title="Clock Information" target="Clock Information" subItemLevel="2" />
78 <toc-item title="Asynchronous Control Signals Information" target="Asynchronous Control Signals Information" subItemLevel="2" />
79 <toc-item title="Timing Summary" target="Timing Summary" subItemLevel="2" />
80 <toc-item title="Timing Details" target="Timing Details" subItemLevel="2" />
81 <toc-item title="Cross Clock Domains Report" target="Cross Clock Domains Report:" subItemLevel="2" />
82 </view>
83 <view program="synplify" contextTags="SYNPLIFY_ONLY,EDK_OFF" hidden="true" type="Report" file="Controlador_Mem_RAM.srr" label="Synplify Report" />
84 <view program="precision" contextTags="PRECISION_ONLY,EDK_OFF" hidden="true" type="Report" file="Controlador_Mem_RAM.prec_log" label="Precision Report" />
85 <view inputState="Synthesized" program="ngdbuild" type="Report" file="Controlador_Mem_RAM.bld" label="Translation Report" >
86 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
87 <toc-item title="Command Line" target="Command Line:" />
88 <toc-item title="Partition Status" target="Partition Implementation Status" />
89 <toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />
90 </view>
91 <view inputState="Translated" program="map" contextTags="FPGA_ONLY" type="Report" file="Controlador_Mem_RAM_map.mrp" label="Map Report" >
92 <toc-item title="Top of Report" target="Release" searchDir="Forward" />
93 <toc-item title="Section 1: Errors" target="Section 1 -" searchDir="Backward" />
94 <toc-item title="Section 2: Warnings" target="Section 2 -" searchDir="Backward" />
95 <toc-item title="Section 3: Infos" target="Section 3 -" searchDir="Backward" />
96 <toc-item title="Section 4: Removed Logic Summary" target="Section 4 -" searchDir="Backward" />
97 <toc-item title="Section 5: Removed Logic" target="Section 5 -" searchDir="Backward" />
98 <toc-item title="Section 6: IOB Properties" target="Section 6 -" searchDir="Backward" />
99 <toc-item title="Section 7: RPMs" target="Section 7 -" searchDir="Backward" />
100 <toc-item title="Section 8: Guide Report" target="Section 8 -" searchDir="Backward" />
101 <toc-item title="Section 9: Area Group and Partition Summary" target="Section 9 -" searchDir="Backward" />
102 <toc-item title="Section 10: Timing Report" target="Section 10 -" searchDir="Backward" />
103 <toc-item title="Section 11: Configuration String Details" target="Section 11 -" searchDir="Backward" />
104 <toc-item title="Section 12: Control Set Information" target="Section 12 -" searchDir="Backward" />
105 <toc-item title="Section 13: Utilization by Hierarchy" target="Section 13 -" searchDir="Backward" />
106 </view>
107 <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" type="Report" file="Controlador_Mem_RAM.par" label="Place and Route Report" >
108 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
109 <toc-item title="Device Utilization" target="Device Utilization Summary:" />
110 <toc-item title="Router Information" target="Starting Router" />
111 <toc-item title="Partition Status" target="Partition Implementation Status" />
112 <toc-item title="Clock Report" target="Generating Clock Report" />
113 <toc-item title="Timing Results" target="Timing Score:" />
114 <toc-item title="Final Summary" target="Peak Memory Usage:" />
115 </view>
116 <view inputState="Routed" program="trce" contextTags="FPGA_ONLY" type="Report" file="Controlador_Mem_RAM.twr" label="Post-PAR Static Timing Report" >
117 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
118 <toc-item title="Timing Report Description" target="Device,package,speed:" />
119 <toc-item title="Informational Messages" target="INFO:" />
120 <toc-item title="Warning Messages" target="WARNING:" />
121 <toc-item title="Timing Constraints" target="Timing constraint:" />
122 <toc-item title="Derived Constraint Report" target="Derived Constraint Report" />
123 <toc-item title="Data Sheet Report" target="Data Sheet report:" />
124 <toc-item title="Timing Summary" target="Timing summary:" />
125 <toc-item title="Trace Settings" target="Trace Settings:" />
126 </view>
127 <view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="Controlador_Mem_RAM.rpt" label="CPLD Fitter Report (Text)" >
128 <toc-item title="Top of Report" target="cpldfit:" searchDir="Forward" />
129 <toc-item title="Resources Summary" target="** Mapped Resource Summary **" />
130 <toc-item title="Pin Resources" target="** Pin Resources **" />
131 <toc-item title="Global Resources" target="** Global Control Resources **" />
132 </view>
133 <view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="Controlador_Mem_RAM.tim" label="CPLD Timing Report (Text)" >
134 <toc-item title="Top of Report" target="Performance Summary Report" searchDir="Forward" />
135 <toc-item title="Performance Summary" target="Performance Summary:" />
136 </view>
137 <view inputState="Routed" program="xpwr" contextTags="EDK_OFF" type="Report" file="Controlador_Mem_RAM.pwr" label="Power Report" >
138 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
139 <toc-item title="Power summary" target="Power summary" />
140 <toc-item title="Thermal summary" target="Thermal summary" />
141 </view>
142 <view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" type="Report" file="Controlador_Mem_RAM.bgn" label="Bitgen Report" >
143 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
144 <toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />
145 <toc-item title="Final Summary" target="DRC detected" />
146 </view>
147 </viewgroup>
148 <viewgroup label="Secondary Reports" >
149 <view inputState="PreSynthesized" program="isim" hidden="if_missing" type="Secondary_Report" file="isim.log" label="ISIM Simulator Log" />
150 <view inputState="Synthesized" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/synthesis/Controlador_Mem_RAM_synthesis.nlf" label="Post-Synthesis Simulation Model Report" >
151 <toc-item title="Top of Report" target="Release" searchDir="Forward" />
152 </view>
153 <view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/translate/Controlador_Mem_RAM_translate.nlf" label="Post-Translate Simulation Model Report" >
154 <toc-item title="Top of Report" target="Release" searchDir="Forward" />
155 </view>
156 <view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM_tran_fecn.nlf" label="Post-Translate Formality Netlist Report" />
157 <view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="Controlador_Mem_RAM_map.map" label="Map Log File" >
158 <toc-item title="Top of Report" target="Release" searchDir="Forward" />
159 <toc-item title="Design Information" target="Design Information" />
160 <toc-item title="Design Summary" target="Design Summary" />
161 </view>
162 <view inputState="Routed" program="smartxplorer" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="smartxplorer_results/smartxplorer.txt" label="SmartXplorer Report" />
163 <view inputState="Mapped" program="trce" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM_preroute.twr" label="Post-Map Static Timing Report" >
164 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
165 <toc-item title="Timing Report Description" target="Device,package,speed:" />
166 <toc-item title="Informational Messages" target="INFO:" />
167 <toc-item title="Warning Messages" target="WARNING:" />
168 <toc-item title="Timing Constraints" target="Timing constraint:" />
169 <toc-item title="Derived Constraint Report" target="Derived Constraint Report" />
170 <toc-item title="Data Sheet Report" target="Data Sheet report:" />
171 <toc-item title="Timing Summary" target="Timing summary:" />
172 <toc-item title="Trace Settings" target="Trace Settings:" />
173 </view>
174 <view inputState="Mapped" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/map/Controlador_Mem_RAM_map.nlf" label="Post-Map Simulation Model Report" />
175 <view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM_map.psr" label="Physical Synthesis Report" >
176 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
177 </view>
178 <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Pad_Report" file="Controlador_Mem_RAM_pad.txt" label="Pad Report" >
179 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
180 </view>
181 <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="Controlador_Mem_RAM.unroutes" label="Unroutes Report" >
182 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
183 </view>
184 <view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM_preroute.tsi" label="Post-Map Constraints Interaction Report" >
185 <toc-item title="Top of Report" target="Release" searchDir="Forward" />
186 </view>
187 <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM.grf" label="Guide Results Report" />
188 <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM.dly" label="Asynchronous Delay Report" />
189 <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM.clk_rgn" label="Clock Region Report" />
190 <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM.tsi" label="Post-Place and Route Constraints Interaction Report" >
191 <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
192 </view>
193 <view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM_par_fecn.nlf" label="Post-Place and Route Formality Netlist Report" />
194 <view inputState="Routed" program="netgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="netgen/par/Controlador_Mem_RAM_timesim.nlf" label="Post-Place and Route Simulation Model Report" />
195 <view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM_sta.nlf" label="Primetime Netlist Report" >
196 <toc-item title="Top of Report" target="Release" searchDir="Forward" />
197 </view>
198 <view inputState="Routed" program="ibiswriter" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM.ibs" label="IBIS Model" >
199 <toc-item title="Top of Report" target="IBIS Models for" searchDir="Forward" />
200 <toc-item title="Component" target="Component " />
201 </view>
202 <view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM.lck" label="Back-annotate Pin Report" >
203 <toc-item title="Top of Report" target="pin2ucf Report File" searchDir="Forward" />
204 <toc-item title="Constraint Conflicts Information" target="Constraint Conflicts Information" />
205 </view>
206 <view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="Controlador_Mem_RAM.lpc" label="Locked Pin Constraints" >
207 <toc-item title="Top of Report" target="top.lpc" searchDir="Forward" />
208 <toc-item title="Newly Added Constraints" target="The following constraints were newly added" />
209 </view>
210 <view inputState="Translated" program="netgen" contextTags="CPLD_ONLY,EDK_OFF" hidden="if_missing" type="Secondary_Report" file="netgen/fit/Controlador_Mem_RAM_timesim.nlf" label="Post-Fit Simulation Model Report" />
211 <view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="HTML" file="usage_statistics_webtalk.html" label="WebTalk Report" />
212 <view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="webtalk.log" label="WebTalk Log File" />
213 </viewgroup>
214 </body>
215 </report-views>
@@ -0,0 +1,37
1 Release 14.7 ngdbuild P.20131013 (nt64)
2 Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
3
4 Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe
5 -intstyle ise -dd _ngo -nt timestamp -i -p xc6slx9-tqg144-3 BloqueRAM_int.ngc
6 BloqueRAM_int.ngd
7
8 Reading NGO file
9 "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/Memoria_SR
10 AM_16kb_v02/BloqueRAM_int.ngc" ...
11 Gathering constraint information from source properties...
12 Done.
13
14 Resolving constraint associations...
15 Checking Constraint Associations...
16 Done...
17
18 Checking expanded design ...
19
20 Partition Implementation Status
21 -------------------------------
22
23 No Partitions were found in this design.
24
25 -------------------------------
26
27 NGDBUILD Design Results Summary:
28 Number of errors: 0
29 Number of warnings: 0
30
31 Total memory usage is 173092 kilobytes
32
33 Writing NGD file "BloqueRAM_int.ngd" ...
34 Total REAL time to NGDBUILD completion: 4 sec
35 Total CPU time to NGDBUILD completion: 3 sec
36
37 Writing NGDBUILD log file "BloqueRAM_int.bld"...
@@ -0,0 +1,5
1 xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/Memoria_SRAM_16kb_v02/BloqueRAM_int.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/Memoria_SRAM_16kb_v02/BloqueRAM_int.syr"
2 ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -p xc6slx9-tqg144-3 BloqueRAM_int.ngc BloqueRAM_int.ngd
3 map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o BloqueRAM_int_map.ncd BloqueRAM_int.ngd BloqueRAM_int.pcf
4 par -w -intstyle ise -ol high -mt off BloqueRAM_int_map.ncd BloqueRAM_int.ncd BloqueRAM_int.pcf
5 trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml BloqueRAM_int.twx BloqueRAM_int.ncd -o BloqueRAM_int.twr BloqueRAM_int.pcf
@@ -0,0 +1,1
1 work
@@ -0,0 +1,3
1 XILINX-XDB 0.1 STUB 0.1 ASCII
2 XILINX-XDM V1.6
3 ###4956:XlxV32DM 3fff 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###4344:XlxV32DM 3fff 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###5088:XlxV32DM 3fff 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###2784:XlxV32DM 3fff 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###2512:XlxV32DM 3fff 9b8eNrFm1mS4ygQhq8EJMlSc445QJWXx36bp4q++yCEWEQiwPISHR1lyz8ICSk/cgEMY3///lFMfbEv+P3Db/ev73/+47fbl/jnX367fl3sLyj4liAvEtHIC97RgEELAJyx5bvkuHzKFO7TXWpp3bE7IkrgIJ3CyhvKfXtKiRo1SCmAFa2kb8Xi7+iOrt/BfRdOD0kvBQrJ5dW3BNdSgI1K3wzdiIF3W5mo9K2yES9tXAs3ZtdzbOmOoxsbiLKP1HPZw9reHfUj8Ffjdf64cq20u07Xl5ROwd0xEaZMpymzxZSJK/t15xTwjUub5ZqWkXBANxblrzwed3+Fu+0KmNOsd0HTv8ejy1j9XK3jInveafJepPTf4z3w36R7htz/cEeXHpzMaTjasfPO91q32Gbu0TOm9lK5sy7zHZ/rk+ea6C/25p+ncP/3b9ngbI72FXVHv6X3OD8DNbLy7a9bc99aNOyALN9o18J6ffo9vP21zQiWCWtL4f7Ihhbj730t32vd31rL3dtoQa6j8Iqjnjf12Dg2dTUSFN4WMlLNogLDZ/D3jlLDajG9IqllUy2zkbDMKtNqyKxxfyR8NxId5pzRNAq/ey1h52G5x00S0vwr26603KBrkgW/FRb8Jn5Bd6ArBqCrh6GrsxeCF610hO72QvDsFRUH6CxQ7V/X7SETA5heH7a81QCmQysa08Lfj8cx7dpPYBrCJNs0ydcS05b/LuifwjTvYJo/BdN8HqiLCX4Nqtee27g9c+ayj3HMTpxzss8h5Oon4lsf4FtP41tnEGaN95X7t00F46yj4d9sCifgzTNLwulzBFuV4C0P8aMrePe0fFi73YcxLQxrIVzbmBYntLtrW54Vb3N5gyAYLC0nLa2/pgdBubZdCbeB8jvZ0EvpnYq+dwpdUDbXA7QyglJ0QCmyB7XUYoashEdd99sAnU5nINca4hToAMQE6GSYpJ80ST870P0s/iibAp3ogE4UJnAZ960ygU3N0/zR5nlf5o9OnPG0P9o+12v90fZ5X+uPioY/Ogo0NgE0VgGNMs7Wg0cNGfJVK4a1MvppI1o5oWXDWiCujQKPzYAWwRN9P0oLmefHadcjakXlcFD23WSgPLK+6/HH4Bf69NTa4HdxdlWudpWbwrBypn8X/2EQXaJ5G0yGrnQbdHDEeXU5OHg5Ky6N++cuSObO87VEZn78oJ+tFwq6OgQFeMP3YxWAS5f/qN3R8kK6MeMyWZ0lhmisunR+x0kPvDenOs2pf2CuCcRCl8slRi2X0r1YZ6ofzhf0vaR08WVqhfIhhVwaoR+ZogJF4Cf1eyb0jsNLHTYQfzgKH/HFgPkZumUzpMq1kvxZggJ6t1YyL1opmY+sk8ybV0nmbWsk85EVknnx+sjMro5cG0WuiOiVgJpY5aiJVY6aWOWoiVWOmljlqMYqp62tr40yoFitRmijox6Gt8qAsK1F7slwmQGy7Eg54IjDMPnaKZzaEYdmaqh2xCHjMAw74nTE+VxaeCYpHMjCWZqgHVjMbT7aDB20wFOc8GdEm5/miE9Hmx9wyE9Hm5/gmD8cbT7nnD8ebRaNaDNdKrIliflUkriMHbeTqLaR+G0nlOVEQpkNJ5RlAZaeVgxroYBmTysJrWhoReaWN0pwSlf7sPBGHXpnHbytwPRcCnjjPFuXY8k3M+I59XOyOOg5LbpbHT4icqwQlc1AUjPHeph075RC0cuNcxnWifxqmDGRzZjcRZ2BAp55Ee7Mx2BnPoQ683bQmY9hzrwYcuYBxMkDwFHGWnq46KEKJJUA160/UnRlU1Mr6zEcaGFYWyZHe1qc0O6vbQuMilZIMZjIVnwYuhAotVQoCR7263zbCKwNfZAMKb+X6LuMoK+fZTWD6DN01vSgnjeWF9Xz0oHYQ+VF7vdbY168p38q86qGERjCvVxmM1eWkQltKQTaF5UB248UAds3lwDbtxUA24+U/9oXF//aw9Lfdl1PXS9Ea3GiBggnaoBwogYIJ2qAcKIGCCdqgLBRA0SlVzHz4/L0qmhiDqqUKcSU6aO5whwmphnshIPUq4h+AWZ+ARRG8ce5BdjFmRyoruWDQONFxRoMI63hSzfh5O/MAZz4STjxCTiF6lee7SsSYgcnXOBkJuB0pvjVfqz01X6o8NW+vezVfqzo1b645NUeFrwe71fBg90q0EhJ4GAADrPg4piWDWtHg4s4EVzEieAi0sFFMmCI1d6QdiCyhklru4A5ATOToWTzsbIde4KXPpYsfaxdeQxRlnHtlmWk4hq6LKNVDCyqbKUeCESurbKKtiV+si9modEVlMclOGe2bbBhbIVaVp7tzBGsxNa3WIpZ+QS2zhRo2I8UaNg3F2jYtxVo2I8UaNgXF2jYboEGvelN7QsIGtvp8k2RvW19ZsKnMg2fSjeLLuxwQYkcHIOqtkO2/SRVbYZsl6Gqaitknp2htDnqco+BE5VzIrsPPAvjUv2yLIzr927EXM//B5KLDw==###2428:XlxV32DM 3fff 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###2500:XlxV32DM 3fff 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###2320:XlxV32DM 3fff 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###1776:XlxV32DM 3fff 6d8eNrFW9t24yoM/SXQxcad7zgfcNomj/N2nrrm3w9gx7ETY6FIWfPQ1dZstJGAjUQcujDxlYCBIn3zlRMiTwg4hUBfdKWRJsL8cykoZMT8HHPLJ8b8V3l+1B9ra6v/8/PccmJtHs2Qn1V0CIwM2dBZj6TiRyTR2jiPoPIeWSbH8UjxSPt4HFig09k59iE2RjM52gIcRWu3SMeGhfTCeKgxnviSdy1rKNoSZk3YVUFch3i6L/SzNbxgrbWqqWPmzr3ribAUH/sYwksxiY3ID2aPrBZGY/9Y1erWMz9nIkZ40KvV8t7C3D8/rSPAou4VN8cm9xqJOdsiyoi4xOt1rlHBNJqY8rwouJIxghquycSV138317xXLDFMCq5o9AsVXGDiIpVfaPRrUHCRcb40a4ONMYwKLptqaFbGaNzJk4IrGVeGZhVaVaNfedGoGpOCyaYZtDKFFlP5TVYdhM0JKTHZPGKFT2DUin4mlQLO1eFqOzZtQ0YSRbeZwTZT9mLMfZh0MVsqbdmXGamzXuMUu+MEbuuqvSu5YN1OhXi2+zmQPTsJCq7odoqjyOUXQ5kLjecd9MXQPFu0OYEkpuiWtUpM4JazSkxo3L/90SOnM1XiYaNH3M00uO1diWl0ylMlnuSWpUpMk1uOKu5ac5Y1KbiseZaGy6gSCiarmpOCy1prKvTcqBXDJoYocg1u55TMNbqdVDJXcjurZK7JLduUuKx5BSvmC9zqTZkJ3E5HmQudTi2ZyaYZUcHEbiekzDW43a3IXKPbuSVzJad7HJlpMupgP5f1diopmKw1Y0/NHTMb5TZ7fQUqNmP+pOICtxq1hw3dco0eNnLLNnrYrHf2unkbjPOm8210ywN62JLbvWMP2+SUd3TtbHNGlZR80bhOgpIP3HQZu/jQ7aY9inz22dOxGTNiJRu4rZQeNr87tyDcw9pnjRVcfnMGIpdfHSNzZdf//PmdWz7CB/78jpfrB3z9+i9eLh/w6594+f6AT/opJSP+yyVJKy/MlKGkPJShbub1aUnhmGkoRQfPL9iMx+3r05KEXpmLi3xs9wGxtUFzUNcA1P+IYvmIa3lVp1jIsIyJPJUPgHqYX7P73Os2ea/z7i3UV5LKxJfJrjbMjEqLq726vJYZuS/AagHHLuZOSyvurG15lWtn/2hUyCMS7V5ny32G2ieu7Vzl4BhLGcsltXjAYhPLa7uMjd3Ymz99WOzG4uJbH5YV2Cff6AtjA3tvv9uFQ2wVw6V9xo6LXWsCETsOh82Rfvqa5jzKcfGo9UJ2XKQYN1J82Uvx9P1T7lkUUhwEKQ4OUhz0kvkOGT4TUJv8aoTSV3Y7hTK4SW44kdyglNy6HXcy+tiXal9YcfWY2UkJHshk2kgJClhSYEM3lkqd3o2FbiwqfEOFb/jk200mQXoTepWt27dQfMW1q146HSUsRxZ0iSvdxRXDXlzTUMQ1PYgrnIgrCuK6l87i/GXdlrAu/UOEa57bYH57ntvN65bnthjfn+e2mN+T597tH+e5R3kYPIlnELCkwIZu7F48JSx0Y1HhGyp8wwPfZhmMT9i9GJV2XrCihC1IxuMvSiWnj2D6BPcml7yRS3jIReEoFz2TSxDkEhzk0pqLOklldy6qlsiXc1GzNL6Ui1pkUZ+LtmVxLim5UZ4Om3a5TB8UJT0oyvSkwJICGxRl+qDAgqKkj4qSfnpTST8pRTCIWadU0k+LjA4bGaW9jH5/amXUcrsKf+12Ff7S7eoD7/9vkl52###1916:XlxV32DM 2883 764eNrFWU2y2zYMvkwPQBAgKcqbTLvJspm2B5BsaZmZLNqN5929oERL0DNlkbSdvMzENvHhV9JHEKIzjeTIE5rRIHlDZMI3pcgSkDY6yGg0xpAZ+fsunr95lnlGTWhe1yxpUBuPFissLvY8DTd7K3q2gC7Lc6alBfdINtn4ZD8VFZJBTZrF6qYzZQ90mbRx0tYLMqTM3w0iHWgZbBZkiRZVaakKLUJbpaUrtLCqGlhVDbyvBp0RDrQ0+gU5aYn7JeiwBls2vH7TjM8BamGjEfJxa4H1J+wcAQJ7m3C8DmhZy8V7Hoj/gixE9Dje3Rjv1024bh8f3y25VrV4/Q7D2KI9/QvD0OrTPzBcWt2MVw7GYxcf10+P6LrKn5qfIYuKEXOQLi3PefTTCGmD7miI/ycCxkJMMVhgGGPA+CzCKbZJu2RY52/Vzqfd15J4Ju2qlxG4ekDgqpDAdwgh6pj5gX5MHgvWFGAhGxs3mUwsZmOxIDcsyA0TuemJOiGBXeW3msxYfYeN5BqxQW4iFp8iVF9Ep80hnZoYISYp1EcCbQSBNlsCvbgUgcIDAsUDAt3SY0h7WB49WLqTJGKxAeVkd9cv7nius0v5fWq235d1xnse398Z73l+T2e82t/rjOmwM1YLsqRbtVU9blHfOX3rRdfaVPW6VKWlqrpW+0R+mKjOHXEnfMIxhe/21wuZL/21forMsbA7dtndvN7plG8dsReE3m0JfThfMYSQT+j6gND1Cwj92Y74RWSe3REXk3h1R/w0eVd1xM8Qd3lHvE/c+90dpEcSGSQf6VqSfMZxOnbU63F68qsPtXBBlmhBQuuYpl0VuWMVufsqLXN8vRhrJiweb8URq++iccYdVnnWmpHzgGQQ90E97Wt0RbRvD7v4Oa6pDdsZ5Ohw7Sfq7wT1n7fUPzal1A8H1A8PjtGQOQx5RS+/4/ntvXy23zdNueGXTbnhzVNuOJxyH/fykCCQY+r1FdSbNW55QPMlPa5JjCnmvKDo3KBJ+n/sc3tuyNdSFVrbcwOIdxrbkQ2tG0p8k7HjYcHCXTSp8Q6lTwRxQ3nuRABFG4M5Pg/EzSt9HoC4JfRiSxi2W8J5DFuCKtgSnpmPwy+Zj8NPno/DT5uPwy+Zj8Ob5+NQMR+Hgvk4FMzHoWA+DgXzcUh33hnbGlVta5jc1o61XMVmiMkTxbEvqIhQf84rQYP2yVecTRFpU8UrTrvzgrOJBH5eCdxs+LvrrvyodKx05kCa6MpNrkJfFRICE/ZCgbh7rDZBb/STyGUv3N7SdtKyYldS4rUIJDxY8YIiyIcHWLfIZ2yqXG6b50Y3nf9WN8imTmcq+kXsmpuiN3yMomTR53s43C+UUfT7NPFTahKZuGsyLGyxyRZhL4+Dkt0yhTh0hIvhgsFcMNK3irFgQCHohGCEVbA2JkEwCsF5FWh1EQJhSkMvBI0QaC8EVgjQCQEJAYk8UOShjcgDlRBYkYcepEDkoWUejfChZVRe1kpG1Yk8tBT0wgdI5/J6aJnHIGqlZR6j9CGKiEr6EHkgiOtBIg++g4QGCgEJHyCuILcoQgBC4EQe4ISgEXl4sd6JywFGCHpxyS9i/SyyGMW6vHF7sS7rJJImJexrERFTsCjTWtiP//6Gbggi/qeuf/mOq2tbuISaoetZphvFxfgK3Xj6Ab0+9c0YsK1m/Ffo4fQbdOfWjad+8JNArYJetYQL4KPXuk9AcFwh4e/Llz+udsTWAneVbux44ferucLgY6DWDRzL0J8+eNHcFvtxWeym68Ih2lFxPTn4i1g+8zK0BI7vbw7Q+pa8Zl7g3xf+zbU1amgN8KdVrWn8ZwPBrm6xj+sc35/Xb12r1OkbA4DDUOHHD4Dpl+WaN/zBKY3hg/iDdf4HG6p4gg== No newline at end of file
@@ -0,0 +1,3
1 XILINX-XDB 0.1 STUB 0.1 ASCII
2 XILINX-XDM V1.6e
3 $31;4=712:;<=>?01274>6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123452<88:;=;5>0;KMTPR=IMNYS_OB<883:42<9=0BB][[:@FGVD:6;3:5=95>4;KMTPR=IMNYN1?<:1<23>712@DDYY4KAUC\VDK;9<0;2<5=13906?OIX\^1HDO33083:44<;;0BB][[:EKA867=87;87><5OTVSQQ<CGH68=7>112906?IR\Y__6IAM<2394;7<<8;087GAPTV9@LVF4:0;2<?44;KMTPR=L@ZI0>4?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>99B@ATF49427LJKR@>24;?<IMNYM1?>>89B@ATF4885n6OKDSC?56<7601JHI\N<01=<>GCL[K7=364AEFQE94902KOH_O33?:8EABUI5>546OKDSC?1;><IMNYM1818:CG@WG;?720MIJ]A=:=<>GCL[K75364AEFQF96912KOH_L311<:?DBCZK6:=374AEFQF9756k1JHI\M<0194;?<IMNYN1?<>99B@ATE48437LJKRC>1:==FLMXI0>07;@FGVG:3611JHI\M<4<;?DBCZK6=255NDEPA828?3HNO^O27>99B@ATE404i7LJKR^PBI969j2KOH_Q]AL>2:g=FLMXT^LC32?`8EABUW[KF0>0m;@FGVZTFE5>5n6OKDS]QEH:26k1JHI\PR@O?2;d<IMNYS_OB<6<a?DBCZVXJA161d:CG@WYUID626=0m;@FGVZTFE535j6OKDS]QEHY7W@H^Jk5NDEP\VDKX9VCIYKh4AEFQ[WGJW;UBNXHi;@FGVZTFEV9TEO[If:CG@WYUIDU?SDLZFg9B@ATXZHGT9RGMUGd8EABUW[KFS;QFBTDe?DBCZVXJAR9PICWEb>GCL[UYM@Q7_H@VBc=FLMXT^LCP9^KAQC5<IEF>7O[IGQ:8FPUXAGLDh6LcopwfVDKXag~:7N<4CD68GIM7>2IGG<6?6:AOO717=2IGG?V7;BNH6]7?8<1H@F7?4:AOOD3<KEAJ=:5LLJC2@71<KEAJ=I:8;BNHE4B?<2IGGO;4CMIA50=DDBI:96MCKE36?FJLL_i0OAEKV^FJRLBB=2IGGKV7;BNHB]7?8:1H@_74CNONMQRBL>1H^HO[EE:8GVY6Wkkyh6M\_0]aewYNJ\L?7N]P289@WZ4XAK_M:6M\_`w{3>ETWhs=<5Kb:FBPDYUID6;2n5KAUC\VDK;994h7IO[A^PBI9766j1OMYOPR@O?578d3MK_MR\NM=30:f=CI]KT^LC315<f?AGSIVXJA1?::1<`?AGSIVXJA1?:>c9GEQGXZHG7=3l4D@VB[WGJ4;4i7IO[A^PBI959j2NJXLQ]AL>7:g=CI]KT^LC35?`8@DRFW[KF0;0m;ECWEZTFE5=5n6JNT@]QEH:?6k1OMYOPR@O?=;g<LH^JS_OB_133?AGSIVXJAR>PIN@VBd=CI]KT^LCP1c9GEQGXZHGT==?>;ECWEZTFEV;;SDAMUG`8@DRFW[KFS<?>1:FBPDYUIDU:=RG@BTDa?AGSIVXJAR?=109GEQGXZHGT=?QFOCWEf>BF\HUYM@Q>3038@DRFW[KFS<=PIN@VBg=CI]KT^LCP1532?AGSIVXJAR?;_HMAQCd<LH^JS_OB_0725>BF\HUYM@Q>5^KLFP@682NJXLQ]AL]2[LIE]Ok0HLZN_SCN[7773MK_MR\NM^0\MJDRNh1OMYOPR@O\746<LH^JS_OB_2]JKGSAi2NJXLQ]AL]755=CI]KT^LCP4^KLFP@f3MK_MR\NM^724>BF\HUYM@Q:_HMAQCg<LH^JS_OB_733?AGSIVXJAR8PIN@VBd=CI]KT^LCP7028@DRFW[KFS:QFOCWEe>BF\HUYM@Q7119GEQGXZHGT4RG@BTDb?AGSIVXJAR7>0:FBPDYUIDU2SDAMUGa8@DRFW^COXEQNc:FBPDYPAM^CSO84DHC?4;1<L@K7==08;EKB8479?2NBM1?=>69GMD:6;7=0HDO315<4?AOF48?5;6JFA=35:2=CAH6:;394DHC?5=803MCJ0<716:FJE979?2NBM1<?>69GMD:597=0HDO323<4?AOF4;95;6JFA=07:2=CAH699394DHC?63803MCJ0?917:FJE94?6>1OEL2=9?48@LG;:7=0HDO331<:?AOF4:;1<394DHC?74813MCJ0>09;EKB81813MCJ0809;EKB83813MCJ0:09;EKB8=813MCJ0409;EKA85803MCI0<>17:FJF9766>1OEO2>2?58@LD;9:4<7IGM<06=3>BNJ5;>2:5KIC>22;1<L@H7=:08;EKA84>9?2NBN1?6>79GMG:66>1OEO2=0?58@LD;:84<7IGM<30=3>BNJ5882:5KIC>10;1<L@H7>808;EKA8709?2NBN1<8>69GMG:507=0HDL328<5?AOE4;4<7IGM<22==>BNJ59:6=08;EKA8679>2NBN1=16:FJF929>2NBN1;16:FJF909>2NBN1916:FJF9>9>2NBN1717:FJTD:76>1OE]O31?58@LVF4;427IG_A=194;1<L@ZJ0>08;EKSF969?2NB\O2>>69GMUD;:730HD^M<283:2=CAYH7?384DNC?4;1<LFK7==08;EMB8479?2NDM1?=>69GKD:6;7=0HBO315<4?AIF48?5;6J@A=35:2=CGH6:;394DNC?5=803MEJ0<716:FLE979?2NDM1<?>69GKD:597=0HBO323<4?AIF4;95;6J@A=07:2=CGH699394DNC?63803MEJ0?917:FLE94?6>1OCL2=9?48@JG;:7=0HBO331<:?AIF4:;1<394DNC?74813MEJ0>09;EMB81813MEJ0809;EMB83813MEJ0:09;EMB8=813MEJ0408;EMB[WC@>2NDN1>17:FLF9776>1OCO2>1?58@JD;9;4<7IAM<01=3>BHJ5;?2:5KOC>21;1<LFH7=;08;EMA8419?2NDN1?7>69GKG:617<0HBL31?58@JD;:94<7IAM<33=3>BHJ5892:5KOC>17;1<LFH7>908;EMA8739?2NDN1<9>69GKG:5?7=0HBL329<4?AIE4;35:6J@B=0=3>BHJ59;245KOC>05?69?2NDN1=>>79GKG:46?1OCO2;>79GKG:26?1OCO29>79GKG:06?1OCO27>79GKG:>6>1OCOQ]EF58@JVF494<7IA_A=3=3>BHXH69245KOQC?7?69?2ND\L2<>69GKUD;87=0HB^M<0<4?AIWJ58556J@PC>0>5803ME[N1=13:GME6=BFKh0ICQ]SUPBIZGe3LDT^^Z]AL]A7>@CK:1MHH64FGDEBCBA92M87J@K1:K1?L653@;97D<=;H11?L233@H^J55FNHVS[56?3@DBX]Q?199JJLRWW9837D@FTQ]37==NF@^[S=:7;HLJPUY7=11BBDZ__14;?LHN\YU;;45FNHVPPDRB?2CEEYQ?069JJLRX88=0ECG[_104?LHN\V:8;6GAIU]302=NF@^T<894IOKW[5003@DBXR>87:KMMQY70>1BBDZP0858MKOSW9K<7D@FT^2A3>OIA]U;O:5FNHV\4A1<AGC_S=K8;HLJPZ6A?2CEEYQ>069JJLRX98=0ECG[_004?LHN\V;8;6GAIU]202=NF@^T=894IOKW[4003@DBXR?87:KMMQY60>1BBDZP1858MKOSW8K<7D@FT^3A3>OIA]U:O:5FNHV\5A1<AGC_S<K8;HLJPZ7A?2CEEYQ=069JJLRX:8=0ECG[_304?LHN\V88;6GAIU]102=NF@^T>894IOKW[7003@DBXR<87:KMMQY50>1BBDZP2858MKOSW;K<7D@FT^0A3>OIA]U9O:5FNHV\6A1<AGC_S?K8;HLJPZ4A?2CEEYQ<069JJLRX;8=0ECG[_204?LHN\V98;6GAIU]002=NF@^T?894IOKW[6003@DBXR=87:KMMQY40>1BBDZP3858MKOSW:K<7D@FT^1A3>OIA]U8O:5FNHV\7A1<AGC_S>K8;HLJPZ5A>2CEEYQN6:KMMQYE12CEEYQIIMG0?LHQ:2CD96G@BTD5?IDXjhx:96BM_ccq[FUXi|rTC_Q:7^m22>JEWkkySN]Patz\KWY2?Ve:n6BM_ccq[LDRN?1GCNEJD69OKBODIE>0@XZ=4:NVP12<D\^>>6@?3:L246=I9890B<<<;O307>H6<:1E=8=4N040?K70:2D9>6@<2:L76>H2:2D=>6@82:L;6>H>?2DNXZA]K59MKKC>3GETOEOAGD38K3=HMVhj~o5@E^`bvZOE]O;<7B^[ILKYAZVUADC_E[K\_OE@5>Vd3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF=>VLWAF^XCC9;QQGKKC602Z\^R?<_n]`hnYji`dTHKHP403`?UQUW89TcRmck^obmkYCNOU?=Rgav318TRTX9:UdSnbd_lcjjZBANV>:Sd`y1^KMRZ66?2Z\^R;Po^aooZkfagUOJKQ<30`8TRTX=VeToaePm`km[A@AW:9Tecx=2:RTVZ3XgViggRcnio]GBCY4;Vcez<QFNW]35>Wf3[KFN<8MWDPe?WGJJ8<I[H\Pioqw5<=UIDH::OYJR^kmwqYnfzgmyk?6:PFCFCF>2XNKNKM4:PPPD2<ZZ^I;6\\TU[SA`=U[]U[^DA]IU[\E`=U[]U[^DA]IU[\F47<[AXNKRKWTSC@PZH@Kl1XD_KH_LKM[VO]M890_DCPCNNOMVOHFVICINEn;RKN[ACQAJO=7^AZRBG;?VVFZ]KE::5\RWCO[D1<[[\J@RL9;RVBPPU33ZSEO<5[4:VQQ@2<\PZN:6[M_ccq50=RJVhj~RM\_`w{[JTX=9Ud=;5ZB^`bvZETWhsSB\P51]l5g=RJVhj~RGMUGa8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7=0[HOPb`p`?RCFWkkySDLZF79TAG:76?1\IO2>>79TAG:5611\IO2<:1<5?RCE4:4h7Z\FTD]NKACXIj1\^DZJ_LMGAZD6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO13QY_@DL8;YQW[BHC?2RXXRXLC79[`gYNl8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`<;Yqw3>^t|VIg=55Wsu]@h46?3QySNb>199[wqYDd8837U}{_Bn27==_{}UH`<:7;Yqw[Fj6=11SyQLl044?]usWJf9;6V|t^Ao72=_{}UH`994Xrv\Gi303QySNb97:ZppZEk?>1SyQLl958\vrXKe387TK\259\[Z^KFDUTS=QP_M@\fdtXKZUjyuQ@R^74[j75<2UTSUBAM^]\5ZYX]KUimQLS^cv|ZIUW<:Tc<84_o2204b<Wg::8<?PIOT\4d=Xf9;?5Rgavc9\j5731Vcez<o4_o2156Ynfh0Sc>=12]jjs7?3jf`S`ofnd9`hnYji`dTNXHHP0;8`drfW{kfSl{w_hl?74<6>76:2<?4d`vb[wgjWhsSd`P0038`drfW{kfSl{w_hl\544<lh~jSob_`w{[lhX99;97io{a^pbiZgrpVceS<?>2:fbpdYuidUjyuQfn^3157=ci}kT~lcPatz\mkY6;880hlzn_scn[dsW`dT=9?=;ecweZtfeVk~tRga_0725>bf|hUym`Qnuy]jjZ4692njxlQ}al]bq}YnfV9:=6jnt`]qehYf}qUbbR:>1:fbpdYuidUjyuQfn^725>bf|hUym`Qnuy]jjZ0692njxlQ}al]bq}YnfV=:=6jnt`]qehYf}qUbbR6>1:fbpdYuidUjyuQfn^;27>bf|hUym`Qnuy]lqq:768>0hlzn_scn[dsWf0<>1159geqgXzhgTmxvPotv?5486<2njxlQ}al]bq}Yh}}6:>3?;;ecweZtfeVk~tRazt=30:42<lh~jSob_`w{[jss48>5=95kauc\vdkXi|rTcxz314<27>bf|hUym`Qnuy]lqq:66890hlzn_scn[dsWf0?0>3:fbpdYuidUjyuQ`uu>0:45<lh~jSob_`w{[jss4=4:?6jnt`]qehYf}qUdyy2:>018`drfW{kfSl{w_nww8386;2njxlQ}al]bq}Yh}}6<2<=4d`vb[wgjWhsSb{{<9<27>bf|hUym`Qnuy]lqq:>6:1oh`<4nn33?}e1{er2!>#{qf370xFGx:9j7MNw4`8E>7<6sZ<96<h7:0`;>454?kkn6?9ie1ym5c0=92d:j:49;%3e1?7a92wX:<4>f982f=<6;:=imh4=7gg2?a2f290:6<u\6382b=<6j10:?>9mad813cc73^:h>4?:082>2c|[?81=k651c:95650jho1>:hj0:&2a7<61k1/=o752258f1g=838:69k57dyK5`6<,8ni69o4Z5;96~2=?3w/=i>58:&2gc<5801b?n4?:%3af?5e3g;im7>4;h1b>5<#9kh1?o5a1cc95>=n;00;6)?mb;1a?k7ei3807d=7:18'5gd=;k1e=oo53:9j72<72-;in7=m;o3ae?2<3`9=6=4+1c`97g=i9kk1965f3483>!7ej39i7c?ma;48?l53290/=ol53c9m5gg=?21b?>4?:%3af?5e3g;im764;h11>5<#9kh1?o5a1cc9=>=n:881<75f6283>>o1=3:17d?m4;29?l7b03:17d?kc;29?l7>>3:17&9>:18'5gd=?91e=oo50:9(2c<72-;in79?;o3ae?7<3"<n6=4+1c`935=i9kk1>65$6e83>!7ej3=;7c?ma;18?.0d290/=ol5719m5gg=<21 :o4?:%3af?173g;im7;4;*5b>5<#9kh1;=5a1cc92>=,?00;6)?mb;53?k7ei3=07&97:18'5gd=?91e=oo58:9(32<72-;in79?;o3ae??<3"==6=4+1c`935=i9kk1m65$7483>!7ej3=;7c?ma;`8?.13290/=ol5719m5gg=k21 ;>4?:%3af?173g;im7j4;*51>5<#9kh1;=5a1cc9a>=,>h0;6)?mb;53?k7ei3l07o?i4;295?6=8r.:ho4>e09K5c4<@8o;7b?m7;29?xd6n:0;6<4?:1y'5ad=9:l0D<h=;I3f4>i6;l0;66sm1b394?2=83:p(<jm:01a?M7a:2B:i=5f4c83>>o183:17d86:188k4e32900qo?me;290?6=8r.:ho4>3c9K5c4<@8o;7d:m:188m36=831b:44?::m2g1<722wi><m50;694?6|,8ni6<=l;I3e6>N6m91/=9h50:k7f?6=3`>h6=44i7;94?=h9j>1<75rb33:>5<3290;w)?kb;30g>N6n;1C=h>4$06e>5=n<k0;66g;c;29?l0>2900c<m;:188yg46j3:187>50z&2`g<6;j1C=k<4H0g3?!73n3:0e9l50;9j0f<722c=57>5;n3`0?6=3th9=l4?:583>5}#9mh1=>m4H0d1?M7b82.:8k4?;h6a>5<<a=i1<75f6883>>i6k=0;66sm23694?2=83:p(<jm:01`?M7a:2B:i=5+15d94>o3j3:17d:l:188m3?=831d=n:50;9~f77?290?6=4?{%3gf?74k2B:j?5G1d28 42a291b8o4?::k7g?6=3`<26=44o0a7>5<<uk8:;7>54;294~"6lk0:?n5G1g08L4c73-;?j7>4i5`94?=n<j0;66g99;29?j7d<3:17pl=2283>1<729q/=il512a8L4`53A;n<6*>4g83?l2e2900e9m50;9j2<<722e:o94?::a674=83>1<7>t$0fa>45d3A;m>6F>e19'51`=82c?n7>5;h6`>5<<a?31<75`1b694?=zj;8:6=4;:183!7cj3;8o6F>f39K5`6<,8>m6=5f4c83>>o3k3:17d86:188k4e32900qo<=0;290?6=8r.:ho4>3b9K5c4<@8o;7)?;f;28m1d=831b8n4?::k5=?6=3f;h87>5;|`15a<72=0;6=u+1e`956e<@8l97E?j0:&20c<73`>i6=44i5a94?=n>00;66a>c583>>{e:8l1<7:50;2x 4be289h7E?i2:J2a5=#9=l1<6g;b;29?l2d2900e;750;9l5f2=831vn??j:187>5<7s-;on7?<c:J2b7=O9l:0(<:i:19j0g<722c?o7>5;h4:>5<<g8i?6=44}c022?6=<3:1<v*>dc827f=O9o80D<k?;%37b?6<a=h1<75f4b83>>o113:17b?l4;29?xd59<0;694?:1y'5ad=9:i0D<h=;I3f4>"6<o0;7d:m:188m1e=831b:44?::m2g1<722wi:54?:283>5}#9mh1=4m4H0d1?M7b82.:8k4k;h375?6=3`;?>7>5;n3a7?6=3th?:7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th?97>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th?87>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th??7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th?>7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th?=7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th?<7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th8j7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th8i7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th8h7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th=87>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th=:7>52;294~"6lk0:8;5G1g08L4c73`;?<7>5;n3a7?6=3th:n84?:383>5}#9mh1=984H0d1?M7b82c:8=4?::m2f6<722wi=h750;094?6|,8ni6<:9;I3e6>N6m91b=9>50;9l5g5=831vn<ji:181>5<7s-;on7?;6:J2b7=O9l:0e<:?:188k4d42900qo?69;296?6=8r.:ho4>479K5c4<@8o;7d?;0;29?j7e;3:17pl>dd83>3<729q/=il518g8L4`53A;n<6*>4g827a=#9ki1>=l4i062>5<<a8>96=44i060>5<<a8>?6=44i066>5<<g8h86=44}c3:<?6=>3:1<v*>dc82=`=O9o80D<k?;%37b?74l2.:nn4=0`9j517=831b=9<50;9j515=831b=9:50;9j513=831d=o=50;9~f76a290>6=4?{%3gf?7>l2B:j?5G1d28 42a2:1/=om521`8m4262900e<:=:188m4242900e<:;:188k4d42900qo<>1;291?6=8r.:ho4>9e9K5c4<@8o;7)?;f;18 4dd2;:j7d?;1;29?l73:3:17d?;3;29?l73<3:17b?m3;29?xd59:0;6?4?:1y'5ad=<11C=k<4H0g3?l7383:17b?m3;29?xd58m0;6?4?:1y'5ad=9090D<h=;I3f4>o6<90;66a>b283>>{e9j91<7<50;2x 4be28387E?i2:J2a5=n9=:1<75`1c194?=zj8i;6=4=:183!7cj3;2?6F>f39K5`6<a8>;6=44o0`0>5<<uk226=4;:183!7cj3;296F>f39K5`6<a8>;6=44i0f:>5<<g8h86=44o0;7>5<<uk236=4;:183!7cj3;8n6F>f39K5`6<a=h1<75f6183>>o113:17b?l4;29?xd??3:187>50z&2`g<61<1C=k<4H0g3?l7383:17d?k9;29?j7e;3:17b?64;29?xd?>3:187>50z&2`g<6;k1C=k<4H0g3?l2e2900e;>50;9j2<<722e:o94?::a<0<72=0;6=u+1e`95<3<@8l97E?j0:k205<722c:h44?::m2f6<722e:594?::a<1<72=0;6=u+1e`956d<@8l97E?j0:k7f?6=3`<;6=44i7;94?=h9j>1<75rb9194?2=83:p(<jm:0;6?M7a:2B:i=5f15294?=n9m31<75`1c194?=h90>1<75rb9094?2=83:p(<jm:01a?M7a:2B:i=5f4c83>>o183:17d86:188k4e32900qo6>:187>5<7s-;on7?65:J2b7=O9l:0e<:?:188m4b>2900c<l<:188k4?32900qo6?:187>5<7s-;on7?<b:J2b7=O9l:0e9l50;9j25<722c=57>5;n3`0?6=3th<j7>54;294~"6lk0:585G1g08L4c73`;?<7>5;h3g=?6=3f;i?7>5;n3:0?6=3th<i7>54;294~"6lk0:?o5G1g08L4c73`>i6=44i7294?=n>00;66a>c583>>{e1h0;694?:1y'5ad=90?0D<h=;I3f4>o6<90;66g>d883>>i6j:0;66a>9583>>{e100;694?:1y'5ad=9:h0D<h=;I3f4>o3j3:17d8?:188m3?=831d=n:50;9~f<>=83>1<7>t$0fa>4?23A;m>6F>e19j516=831b=i750;9l5g5=831d=4:50;9~f<1=83>1<7>t$0fa>45e3A;m>6F>e19j0g<722c=<7>5;h4:>5<<g8i?6=44}c;5>5<3290;w)?kb;3:1>N6n;1C=h>4i063>5<<a8n26=44o0`0>5<<g83?6=44}c;6>5<3290;w)?kb;30f>N6n;1C=h>4i5`94?=n>90;66g99;29?j7d<3:17pl64;290?6=8r.:ho4>949K5c4<@8o;7d?;0;29?l7c13:17b?m3;29?j7><3:17pl63;290?6=8r.:ho4>3c9K5c4<@8o;7d:m:188m36=831b:44?::m2g1<722wi5?4?:583>5}#9mh1=4;4H0d1?M7b82c:8=4?::k2`<<722e:n>4?::m2=1<722wi5<4?:583>5}#9mh1=>l4H0d1?M7b82c?n7>5;h43>5<<a?31<75`1b694?=zj0:1<7:50;2x 4be283>7E?i2:J2a5=n9=:1<75f1e;94?=h9k91<75`18694?=zj1l1<7:50;2x 4be289i7E?i2:J2a5=n<k0;66g90;29?l0>2900c<m;:188yg>b290?6=4?{%3gf?7>=2B:j?5G1d28m4272900e<j6:188k4d42900c<7;:188yg>c290?6=4?{%3gf?74j2B:j?5G1d28m1d=831b:=4?::k5=?6=3f;h87>5;|`;g?6=<3:1<v*>dc82=0=O9o80D<k?;h374?6=3`;o57>5;n3a7?6=3f;287>5;|`;f?6=<3:1<v*>dc827g=O9o80D<k?;h6a>5<<a?:1<75f6883>>i6k=0;66sm8`83>1<729q/=il51878L4`53A;n<6g>4183>>o6l00;66a>b283>>i61=0;66sm7e83>1<729q/=il512`8L4`53A;n<6g;b;29?l072900e;750;9l5f2=831vn:m50;694?6|,8ni6<7:;I3e6>N6m91b=9>50;9j5a?=831d=o=50;9l5<2=831vn:l50;694?6|,8ni6<=m;I3e6>N6m91b8o4?::k54?6=3`<26=44o0a7>5<<uk;h:7>5bb83>5}#9mh1=n;4H0d1?M7b82P?57mt5;49a?752o0:?7??:c8b>f<69331q)?m6;002>h>j3;97c7l:008j46f291e=?m50:&27<<6lh1/=>o51ec8 4202;1/=9652:&20<<53-;?m7<4$06a>7=#9=i1>6*>4e81?!73m380(<;?:39'507=:2.:9?4=;%367?4<,8??6?5+14796>"6=?097)?:7;08 43?2;1/=8752:&21d<53-;>n7<4$07`>7=#9<n1>6*>5d81?!72n380(<8?:39'537=:2.::?4=;%357?4<,8<?6?5+17796>"6>?097)?97;08 40?2;1/=;752:&22d<53-;=n7<4$04`>7=#9?n1>6*>6d81?!71n380(<9?:39'527=:2.:;?4=;%347?4<,8=?6?5+16796>"6??097)?87;08 41?2;1/=:752:&23d<53-;<n7<4$05`>7=#9>n1>6*>7d81?!70n380(<6?:39'5=7=:2.:4?4=;%3;7?4<,82?6?5+19796>"60?097)?77;08 4>?2;1/=5752:&2<d<53-;3n7<4$0:`>7=#91n1>6*>8d81?!7?n380(<7?:09'5<7=92.:5?4>b09'5fe=9m20(<mk:5a8 4eb2=i0(<j>:558 4b528n?7)?k5;38 4b1281/=hh51c08 4`728h97d?l8;29?l342900e<=7:188m4ee2900e8650;9j5f?=831b=no50;9j561=831bi>4?:%3af?c53g;im7>4;hg2>5<#9kh1i?5a1cc95>=nm90;6)?mb;g1?k7ei3807dji:18'5gd=m;1e=oo53:9j5`1=83.:no4>e79m5gg=821b=h;50;&2fg<6m?1e=oo51:9j5`2=83.:no4>e79m5gg=:21b=h=50;&2fg<6m?1e=oo53:9l554=83.:no4>009m5gg=821d==>50;&2fg<6881e=oo51:9lb`<72-;in7??1:l2fd<532emh7>5$0`a>4663g;im7=4;nd`>5<#9kh1==?4n0`b>1=<goh1<7*>bc8244=i9kk1965`f`83>!7ej3;;=6`>b`85?>ia13:1(<lm:022?k7ei3=07bh7:18'5gd=99;0b<ln:998kc1=83.:no4>009m5gg=121dj;4?:%3af?7792d:nl4n;:me1?6=,8hi6<>>;o3ae?d<3fl86=4+1c`9557<f8hj6n54og094?"6jk0:<<5a1cc9`>=hn80;6)?mb;335>h6jh0n76ai0;29 4de28::7c?ma;d8?jca290/=ol51138j4df28:07bkj:18'5gd=99;0b<ln:038?jcc290/=ol51138j4df28807bkl:18'5gd=99;0b<ln:018?jce290/=ol51138j4df28>07bkn:18'5gd=99;0b<ln:078?j7713:1(<lm:022?k7ei3;=76a>0983>!7ej3;;=6`>b`823>=h99=1<7*>bc8244=i9kk1=554o025>5<#9kh1==?4n0`b>4?<3f;;97>5$0`a>4663g;im7?n;:m241<72-;in7??1:l2fd<6j21d===50;&2fg<6881e=oo51b98kc`=83.:no4>009m5gg=9m10ck:50;&2fg<6881e=oo51d98k`?=83.:no4>009m5gg=9o10e<750;&2fg<602d:nl4?;:k23?6=,8hi6<64n0`b>4=<a8<1<7*>bc82<>h6jh0976g>5;29 4de2820b<ln:298m74=83.:no4>8:l2fd<332c9=7>5$0`a>4><f8hj6854i3294?"6jk0:46`>b`85?>o6n3:1(<lm:0:8j4df2>10e<k50;&2fg<602d:nl47;:k2`?6=,8hi6<64n0`b><=<a8i1<7*>bc82<>h6jh0j76g>b;29 4de2820b<ln:c98m4g=83.:no4>8:l2fd<d32c:87>5$0`a>4><f8hj6i54i3:94?"6jk09;6`>b`83?>o5>3:1(<lm:358j4df2810e?;50;&2fg<5?2d:nl4=;:k10?6=,8hi6?94n0`b>6=<a:;1<7*>bc813>h6jh0?76g<0;29 4de2;=0b<ln:498m7`=83.:no4=7:l2fd<132c9i7>5$0`a>71<f8hj6:54i3f94?"6jk09;6`>b`8;?>o5k3:1(<lm:358j4df2010e?l50;&2fg<5?2d:nl4n;:k1e?6=,8hi6?94n0`b>g=<a;31<7*>bc813>h6jh0h76g=3;29 4de2;=0b<ln:e98ma1=83.:no4k6:l2fd<732co97>5$0`a>a0<f8hj6<54ie194?"6jk0o:6`>b`81?>oc:3:1(<lm:e48j4df2:10ei?50;&2fg<c>2d:nl4;;:kg4?6=,8hi6i84n0`b>0=<ajl1<7*>bc8g2>h6jh0=76gle;29 4de2m<0b<ln:698mfb=83.:no4k6:l2fd<?32cho7>5$0`a>a0<f8hj6454ib`94?"6jk0o:6`>b`8b?>odi3:1(<lm:e48j4df2k10en650;&2fg<c>2d:nl4l;:k`3?6=,8hi6i84n0`b>a=<aj<1<7*>bc8g2>h6jh0n76gl5;29 4de2m<0b<ln:g98mf2=83.:no4k6:l2fd<6821bo>4?:%3af?b13g;im7?>;:k`6?6=,8hi6i84n0`b>44<3`i:6=4+1c`9`3=i9kk1=>54ib294?"6jk0o:6`>b`820>=njo0;6)?mb;f5?k7ei3;>76gke;29 4de2m<0b<ln:048?lbc290/=ol5d79m5gg=9>10eim50;&2fg<c>2d:nl4>8:9j`g<72-;in7j9;o3ae?7>32com7>5$0`a>a0<f8hj6<o4;hf:>5<#9kh1h;5a1cc95g=<am21<7*>bc8g2>h6jh0:o65fd583>!7ej3n=7c?ma;3g?>od13:1(<lm:e48j4df28o07dlj:18'5gd=l?1e=oo51g98k456290/=ol51228j4df2910c<<i:18'5gd=9::0b<ln:098k44b290/=ol51228j4df2;10c<<k:18'5gd=9::0b<ln:298m`>=83.:no4j7:l2fd<732cn:7>5$0`a>`1<f8hj6<54id794?"6jk0n;6`>b`81?>ob<3:1(<lm:d58j4df2:10c<=9:18'5gd=9:?0b<ln:198k453290/=ol51278j4df2810c<=<:18'5gd=9:?0b<ln:398k455290/=ol51278j4df2:10c<<;:18'5gd=9;90b<ln:198k445290/=ol51318j4df2810c<<?:18'5gd=9;90b<ln:398k47a290/=ol51318j4df2:10c<?j:18'5gd=9;90b<ln:598k47c290/=ol51318j4df2<10c<?l:18'5gd=9;90b<ln:798k47e290/=ol51318j4df2>10c<?n:18'5gd=9;90b<ln:998k47>290/=ol51318j4df2010c<?7:18'5gd=9;90b<ln:`98k470290/=ol51318j4df2k10c<?::18'5gd=9;90b<ln:b98k473290/=ol51318j4df2m10c<?<:18'5gd=9;90b<ln:d98k475290/=ol51318j4df2o10c<?>:18'5gd=9;90b<ln:028?j7683:1(<lm:000?k7ei3;:76a>0g83>!7ej3;9?6`>b`826>=h99o1<7*>bc8266=i9kk1=>54o02g>5<#9kh1=?=4n0`b>42<3f;;o7>5$0`a>4443g;im7?:;:m26g<72-;in7?=3:l2fd<6>21d=?o50;&2fg<6::1e=oo51698k44>290/=ol51318j4df28207b?=8;29 4de28887c?ma;3:?>i6:>0;6)?mb;317>h6jh0:m65`13494?"6jk0:>>5a1cc95g=<g88>6=4+1c`9575<f8hj6<m4;n315?6=,8hi6<<<;o3ae?7c32e:=;4?:%3af?75;2d:nl4>e:9l55d=83.:no4>229m5gg=9o10e<kj:18'5gd=9ln0b<ln:198m4cd290/=ol51df8j4df2810e<km:18'5gd=9ln0b<ln:398m4cf290/=ol51df8j4df2:10eo850;&2fg<e=2d:nl4?;:ka0?6=,8hi6o;4n0`b>4=<ak81<7*>bc8a1>h6jh0976gm1;29 4de2k?0b<ln:298mg6=83.:no4m5:l2fd<332cjj7>5$0`a>g3<f8hj6854i`g94?"6jk0i96`>b`85?>ofl3:1(<lm:c78j4df2>10elm50;&2fg<e=2d:nl47;:kbf?6=,8hi6o;4n0`b><=<ahk1<7*>bc8a1>h6jh0j76gn9;29 4de2k?0b<ln:c98md1=83.:no4m5:l2fd<d32cj:7>5$0`a>g3<f8hj6i54i`794?"6jk0i96`>b`8f?>of<3:1(<lm:c78j4df2o10el=50;&2fg<e=2d:nl4>0:9je7<72-;in7l:;o3ae?7632cj=7>5$0`a>g3<f8hj6<<4;hc3>5<#9kh1n85a1cc956=<a0l1<7*>bc8a1>h6jh0:865f9d83>!7ej3h>7c?ma;36?>oel3:1(<lm:c78j4df28<07dll:18'5gd=j<1e=oo51698mgd=83.:no4m5:l2fd<6021bnl4?:%3af?d23g;im7?6;:ka=?6=,8hi6o;4n0`b>4g<3`h36=4+1c`9f0=i9kk1=o54ic594?"6jk0i96`>b`82g>=nj:0;6)?mb;`6?k7ei3;o76gn8;29 4de2k?0b<ln:0g8?l?c290/=ol5b49m5gg=9o10q~:9:18182128h870?l6;3:?xu3=3:1>v3;5;3a7>;6k?0:;6s|4583>7}:<=0:n>521b4953=z{=91<7<t=5195g5<58i=6<;4}r61>5<5s4>96<l<;<3`2?453ty?=7>52z?75?7e;27:o;4=1:p05<72;q68=4>b29>5f0=:91v>h50;0x96`=9k901<m9:0d8yv5b2909w0=j:0`0?87d>3;n7p}<d;296~;4l3;i?63>c782`>{t:891<7<;{<3`5?2e34;ii7:m;<02g?2e348:57:m;<02f?2e348:m7:m;<010?2e348:47:m;<023?2e3489?7:m;<016?2e3489=7:m;<014?2e348:h7:m;<02b?2e348:i7:m;<022?2e348:97:m;<027?7e;27347:m;<:5>1d<51>18o528387f>;?83>i709j:5`89<?=<k165:4;b:?:1?2e343869l4=8390g=:0o0?n637d;6a?8>e2=h01:j54c9>3g<3j27:o;4:3:p21<72?q6:54>409>21<6j:16=ik5150894??28>?70<?f;375>;5980:8<5rs7494?0|5?21=9<4=7495g5<58nn6<:<;<3:<?739279<k4>459>647=9=>0q~?m5;297~;6j<0:n>521eg9512<58336<::;|q2a<<72?q6=h751c1894bb28>:70?68;377>;58o0:8>522039515<5;:o6<:?;|q2`c<72:q6=ih51c1894bb28>>70<?f;376>{t9031<7=t=0;:>4d434;247?;2:?154<6<;1v?<n:1818>12?301<m9:dg8yv4513:1>v374;4:?87d>3oo7p}=2983>7}:0;0=563>c78fg>{t:;=1<7<t=9292<=:9j<1io5rs305>5<5s4=n6;74=0a5>`g<uz8887>52z?:=?0>34;h:7??9:p665=838p1495689>5f0=9920q~<<2;296~;>=3<270?l6;333>{t::;1<7<t=8192<=:9j<1==84}r004?6=:r72=786;<3`2?77=2wx>?h50;0x9=`=>016=n851168yv45m3:1>v37d;4:?87d>3;;?6s|23f94?4|51h1:4521b49bc=z{;8h6=4={<5g>3?<58i=6k:4}r011?6=:r7<n786;<3`2?c>3ty9>o4?:3y><=<1127:o;4jf:p5f7=838p1<m>:0a7?87d;3;?<6s|1cg94?4|58hn6<m;;<3`4?7382wx><m50;0x977d28i?70?l6;c0?xu59k0;6?u220`95f2<58i=6l<4}r02e?6=:r79=l4>c59>5f0=i81v??6:18184613;h863>c78b4>{t:821<7<t=33;>4e334;h:77i;|q152<72;q6><951b6894e120o0q~<=4;296~;5:=0:o9521b49fa=z{;886=4={<017?7d<27:o;4mc:p674=838p1?<=:0a7?87d>3hi7p}=2083>7}::;;1=n:4=0a5>gg<uz89<7>52z?165<6k=16=n85b89~w77a2909w0<>f;3`0>;6k?0i46s|20g94?4|5;;n6<m;;<3`2?d03ty9=i4?:3y>64b=9j>01<m9:c18yv46>3:1>v3=1782g1=:9j<1m55rs336>5<5s48:97?l4:?2g3<>l2wx::4?:3y>2=<6j:16=n851258yv7cl3:1mv3>c0854>;6ll0:n>5289854>;?>3<;706;:7289=4=>9164=490:?4a?0734326;>4=85925=z{83<6=4n{<3aa?0734;247?m3:?:1?0734386;>4=83925=:0o0=<637d;43?8>e2?:01:j5619>3g<182wx>=m50;7x976c28h870?l6;3f3>;6k?0:i8521b495`2<58i=6<k<;|q5b?6=:rT=j6377;3:0>{t>l0;6?uQ6d9><0<61=1v;j50;0xZ3b<5191=4:4}r4`>5<5sW<h706>:0;7?xu1j3:1>vP9b:?4b?7><2wx;l4?:3y]3d=:1h0:595rs6;94?4|V>3014651868yv1?2909wS97;<;5>4?33ty<;7>52z\43>;><3;286s|7783>7}Y??165?4>959~w23=838pR:;4=8295<2<uz=?6=4={_57?8>b283?7p}83;296~X0;273o7?64:p37<72;qU;?528`82=1=z{?k1<7<t^7c892e=90>0q~9>:181[1634226<7;;|q2=c<72=q6=k:51c5894e62?301<lj:7;894e128i37p}=1583>02|58l86<=j;<3`2?7d34;h:7?m;<3`2?7f34;h:7?;;<3`2?4?34;h:7<9;<3`2?4234;h:7<;;<3`2?5634;h:7=?;<3`2?4a34;h:7<j;<3`2?4c34;h:7<l;<3`2?4e34;h:7<n;<3`2?4>34;h:7<<;<3`2?d134;h:7l;;<3`2?d534;h:7l>;<3`2?d734;h:7oi;<3`2?gb34;h:7ok;<3`2?gd34;h:7om;<3`2?gf34;h:7o6;<3`2?g034;h:7o9;<3`2?g234;h:7o;;<3`2?b034;h:7j:;<3`2?b434;h:7j=;<3`2?b634;h:7j?;<3`2?ea34;h:7mj;<3`2?ec34;h:7ml;<3`2?ee34;h:7mn;<3`2?e?34;h:7m8;<3`2?e134;h:7m:;<3`2?e334;h:7m<;<3`2?e534;h:7m>;<3`2?e734;h:7li;<3`2?bb34;h:7jk;<3`2?bd34;h:7jm;<3`2?bf34;h:7j6;<3`2?b?34;h:7j;;<3`2?e>34;h:7lj;<3`2?c434;h:7k>;<3`2?c734;h:7ji;<3`2?c?34;h:7k9;<3`2?c234;h:7k;;<3`2?7bm27:o;4>eb9>5f0=9lh01<m9:0gb?87d>3?370?l6;30<>;6k?0:o4521b495fg<58i=6<mm;|q2g7<720q6=n=51c189=?=9m3015951e;89=3=9m3015=51e;89=7=9m301:h51e;89<g=9m3014651e;8yv7en3:15v3>c182f6=:1?0:h4529582`<=:1;0:h4529182`<=:0l0:h4528b82`<=:0h0:h4527b82`<=z{;:n6=46{<02g?2d3489?7:l;<023?2d348:47:l;<010?2d348:m7:l;<02f?2d348:57:l;<03b?7e;2wx><>50;;x97452=i01??::5a897712=i01??j:5a8977a2=i01??k:5a897472=i01?<>:5a8977628h87p}=1383>7}Y:8801??<:063?xu4k3:1>vP<c:?72?7382wx?l4?:3y]7d=:<<0:8=5rs2;94?4|V:3019:51528yv5?2909wS=7;<60>4273ty8;7>52z\03>;3:3;?<6s|3783>7}Y;?168<4>419~w63=838pR>;4=529516<uz9?6=4={_17?85a28>;7p}<3;296~X4;278i7?;0:p77<72;qU??523e8205=z{?91<7<t^718932=9=:0q~8::181[0234<=6<:?;|q2f1<72;qU=o:4=0`6>4273ty:i54?:3y]5`><58o26<:?;|q2`f<72;qU=im4=0fe>4273ty:5;4?:3y]5<0<58326<:?;|q2e=<72;q6><m5689><<<6j:1v<o6:181846j3<27068:0`0?xu6ih0;6?u220c92<=:0<0:n>5rs0ca>5<5s48:5786;<:0>4d43ty:mn4?:3y>64>=>0164<4>b29~w4gc2909w0<>7;4:?81a28h87p}>ad83>7}::;>1:4529`82f6=z{8km6=4={<017?0>34336<l<;|q2e5<72;q6>?<5689>=3<6j:1v<o>:18184593<2707;:0`0?xu6i;0;6?u223292<=:1;0:n>5rs0c0>5<5s48:j786;<;3>4d43ty:m94?:3y>64c=>0164h4>b29~w4g22909w0<>d;4:?8>d28h87p}>a783>7}::8<1:4528`82f6=z{8k<6=4={<021?0>34=h6<l<;|q;<?6=:r7347?l4:?;=?7382wx4;4?:3y><3<6k=164:4>419~w=2=838p15:51b689=3=9=:0q~6=:1818>528i?706<:063?xu?83:1>v370;3`0>;?93;?<6s|7d83>7}:?l0:o9527g8205=z{031<7<t=8;95f2<50k1=9>4}r;4>5<5s43<6<m;;<;;>4273ty297>52z?:1?7d<272:7?;0:p=6<72;q65>4>c59>=1<6<91v4?50;0x9<7=9j>014<51528yv>a2909w06i:0a7?8?728>;7p}7d;296~;?l3;h8637e;374>{t0k0;6?u28c82g1=:0j0:8=5rs6f94?4|5>n1=n:4=9c9516<uz=i6=4={<5a>4e334=h6<:?;|m66c=83;pD<k?;|l17c<728qC=h>4}o074?6=9rB:i=5rn362>5<6sA;n<6sa25094?7|@8o;7p`=4283>4}O9l:0qc<;4;295~N6m91vb?:::182M7b82we>9850;3xL4c73td98:4?:0yK5`6<ug8?47>51zJ2a5=zf;>26=4>{I3f4>{i:=k1<7?tH0g3?xh5<k0;6<uG1d28yk43k3:1=vF>e19~j72c290:wE?j0:m61c=83;pD<k?;|l10c<728qC=h>4}o064?6=9rB:i=5rn372>5<6sA;n<6sa24094?7|@8o;7p`=5283>4}O9l:0qc<:4;295~N6m91vb?;::182M7b82we>8850;3xL4c73td99:4?:0yK5`6<ug8>47>51zJ2a5=zf;?26=4>{I3f4>{i:<k1<7?tH0g3?xh5=k0;6<uG1d28yk42k3:1=vF>e19~j73c290:wE?j0:m60c=83;pD<k?;|l11c<728qC=h>4}o054?6=9rB:i=5rn342>5<6sA;n<6sa27094?7|@8o;7p`=6283>4}O9l:0qc<94;295~N6m91vb?8::182M7b82we>;850;3xL4c73td9::4?:0yK5`6<ug8=47>51zJ2a5=zf;<26=4>{I3f4>{i:?k1<7?tH0g3?xh5>k0;6<uG1d28yk41k3:1=vF>e19~j70c290:wE?j0:m63c=83;pD<k?;|l12c<728qC=h>4}o044?6=9rB:i=5rn352>5<6sA;n<6sa26094?7|@8o;7p`=7283>4}O9l:0qc<84;295~N6m91vb?9::182M7b82we>:850;3xL4c73td9;:4?:0yK5`6<ug8<47>51zJ2a5=zf;=26=4>{I3f4>{i:>k1<7?tH0g3?xh5?k0;6<uG1d28yk40k3:1=vF>e19~j71c290:wE?j0:m62c=83;pD<k?;|l13c<728qC=h>4}o0;4?6=9rB:i=5rn3:2>5<6sA;n<6sa29094?7|@8o;7p`=8283>4}O9l:0qc<74;295~N6m91vb?6::182M7b82we>5850;3xL4c73td94:4?:0yK5`6<ug8347>51zJ2a5=zf;226=4>{I3f4>{i:1k1<7?tH0g3?xh50k0;6<uG1d28yk4?k3:1=vF>e19~j7>c290:wE?j0:m6=c=83;pD<k?;|l1<c<728qC=h>4}o0:4?6=9rB:i=5rn3;2>5<6sA;n<6sa28094?7|@8o;7p`=9283>4}O9l:0qc<64;295~N6m91vb?7::182M7b82we>4850;3xL4c73td95:4?:0yK5`6<ug8247>51zJ2a5=zf;326=4>{I3f4>{i:0k1<7?tH0g3?xh51k0;6<uG1d28yk4>k3:1=vF>e19~j7?c290:wE?j0:m6<c=83;pD<k?;|l1=c<728qC=h>4}o0b4?6=9rB:i=5rn3c2>5<6sA;n<6sa2`094?7|@8o;7p`=a283>4}O9l:0qc<n4;295~N6m91vb?o::182M7b82we>l850;3xL4c73td9m:4?:0yK5`6<ug8j47>51zJ2a5=zf;k26=4>{I3f4>{i:hk1<7?tH0g3?xh5ik0;6<uG1d28yk4fk3:1=vF>e19~j7gc290:wE?j0:m6dc=83;pD<k?;|l1ec<728qC=h>4}o0a4?6=9rB:i=5rn3`2>5<6sA;n<6sa2c094?7|@8o;7p`=b283>4}O9l:0qc<m4;295~N6m91vb?l::182M7b82we>o850;3xL4c73td9n:4?:0yK5`6<ug8i47>51zJ2a5=zf;h26=4>{I3f4>{i:kk1<7?tH0g3?xh5jk0;6<uG1d28yx{zHIIp?>o57b7e20>2uIJIw=sO@Qy~DE No newline at end of file
@@ -0,0 +1,3
1 XILINX-XDB 0.1 STUB 0.1 ASCII
2 XILINX-XDM V1.6e
3 $3555=6:2.Yi{g|inl9$4(5=0*/=6>6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<95?11222>772@D[YY4NDEP\VDK;13:5=95>4;KMTPR=IMNYM1?<:1<20>732@D[YY4NDEPA845=87;<7<85IOMVP?BF\HUYM@2>5;2=5>46:2996D@_UU8GMD:493:5=?5<2;KMTPR=L@H7?<4?>01877<H]]Z^X7J@A=12>586;2996B[[PTV9@JD;;80;2<5;1097>LHW]]0OE]O33;2=54=32@D[YY4KIQ@?7?69991?6D@_UU8TAD:4294:<6:5IORVP?QBJ591<3?=;58LQQVR\3ND\L2<:1<26>2=G\^[YY4KOQ@?7?69l2<x`u7{qf370(523HNO^L64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?<IMNYM1?<>99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828?3HNO^L27>99B@ATF404>7LJKRC:8EABUJ5:556OKDS@?558>3HNO^O2>1?;8EABUJ5;92o5NDEPA845=8730MIJ]B=30:==FLMXI0<07;@FGVG:5611JHI\M<2<;?DBCZK6?255NDEPA808?3HNO^O29>99B@ATE4>437LJKRC>;:==FLMXI040m;@FGVZTFE5:5n6OKDS]QEH:66k1JHI\PR@O?6;d<IMNYS_OB<2<a?DBCZVXJA1:1b:CG@WYUID6>2o5NDEP\VDK;>7h0MIJ]_SCN828e3HNO^R\NM=:=`>GCL[UYM@26:1<a?DBCZVXJA171f:CG@WYUIDU;SDLZFg9B@ATXZHGT=RGMUGd8EABUW[KFS?QFBTDe?DBCZVXJAR=PICWEb>GCL[UYM@Q;_H@VBc=FLMXT^LCP5^KAQC`<IMNYS_OB_7]JFP@a3HNO^R\NM^5\MGSAn2KOH_Q]AL];[LDRNo1JHI\PR@O\=ZOE]Oo0MIJPGMMAEIYWAG90MI\<;@NO7>DRN=1IYKI:;CWECU773K_B@IQ[ASEFPDTD@11IY^QFNGMg?Gjhy|oYM@Qfnu38G7=DM<1HGO[I3:AOO1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M2?7NBDB49@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;?6MCR89@KHKN\]OO;6M]E@VF@==D[V;Tnl|k;BQ\5ZdfzVCIYK:4CR]1=>ETW;UBNXH9;BQ\ep~03JYTmxv>3:AWH4=Cj2NJXLQ]AL>3:f=CI]KT^LC311<`?AGSIVXJA1?>>b9GEQGXZHG7=?0l;ECWEZTFE5;82n5KAUC\VDK;9=4n7IO[A^PBI972294h7IO[A^PBI9726k1OMYOPR@O?5;d<LH^JS_OB<3<a?AGSIVXJA1=1b:FBPDYUID6?2o5KAUC\VDK;=7h0HLZN_SCN838e3MK_MR\NM=5=f>BF\HUYM@27>c9GEQGXZHG753o4D@VB[WGJW9;;7IO[A^PBIZ6XAFH^Jl5KAUC\VDKX9k1OMYOPR@O\55763MK_MR\NM^33[LIE]Oh0HLZN_SCN[47692NJXLQ]AL]25ZOHJ\Li7IO[A^PBIZ75981OMYOPR@O\57YNGK_Mn6JNT@]QEHY6;8;0HLZN_SCN[45XAFH^Jo5KAUC\VDKX9=;:7IO[A^PBIZ73W@EIYKl4D@VB[WGJW8?:=6JNT@]QEHY6=VCDNXH>0:FBPDYUIDU:SDAMUGc8@DRFW[KFS???;ECWEZTFEV8TEBLZF`9GEQGXZHGT?<>4D@VB[WGJW:UBCO[Ia:FBPDYUIDU?==5KAUC\VDKX<VCDNXHn;ECWEZTFEV?:<6JNT@]QEHY2W@EIYKo4D@VB[WGJW?;;7IO[A^PBIZ0XAFH^Jl5KAUC\VDKX?8:0HLZN_SCN[2YNGK_Mm6JNT@]QEHY?991OMYOPR@O\<ZOHJ\Lj7IO[A^PBIZ?682NJXLQ]AL]:[LIE]Oi0HLZN_VKGPMYFk2NJXLQXIEVK[G5<L@K=7IGN<1<4?AOF48:5;6JFA=32:2=CAH6:>394DHC?56803MCJ0<:17:FJE9726>1OEL2>6?58@LG;9>4<7IGN<0:=3>BNI5;22;5KI@>2:2=CAH69<394DHC?64803MCJ0?<17:FJE9446>1OEL2=4?58@LG;:<4<7IGN<34=3>BNI58<2:5KI@>1<;1<L@K7>409;EKB87803MCJ0>>19:FJE956294<7IGN<23=2>BNI595:6JFA=6=2>BNI5?5:6JFA=4=2>BNI5=5:6JFA=:=2>BNI535?6JFB79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;1<L@H7=808;EKA8409?2NBN1?8>69GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1<L@H7>>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?<L@H7?<4?>69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?68@LVF?2NB\L2?>69GMUG;97=0HD^N<3<:?AOWI591<394DHRB86833MC[N:5KIQ@?4;1<L@ZI0<08;EKSF94912NB\O2<:1<4?AOWJ595?6J@A79GKD:76>1OCL2>0?58@JG;984<7IAN<00=3>BHI5;82:5KO@>20;1<LFK7=808;EMB8409?2NDM1?8>69GKD:607=0HBO318<5?AIF484<7IAN<32=3>BHI58:2:5KO@>16;1<LFK7>>08;EMB8729?2NDM1<:>69GKD:5>7=0HBO326<4?AIF4;25;6J@A=0::3=CGH692:5KO@>04;?<LFK7?<4?>69GKD:497<0HBO33?48@JG;<7<0HBO35?48@JG;>7<0HBO37?48@JG;07<0HBO39?58@JGXZLM87IAM6:FLF969?2NDN1??>69GKG:697=0HBL313<4?AIE4895;6J@B=37:2=CGK6:9394DN@?53803MEI0<917:FLF97?6>1OCO2>9?48@JD;97=0HBL321<4?AIE4;;5;6J@B=01:2=CGK69?394DN@?61803MEI0?;17:FLF9416>1OCO2=7?58@JD;:14<7IAM<3;=2>BHJ585;6J@B=13:<=CGK68=7>17:FLF9566?1OCO2<>79GKG:36?1OCO2:>79GKG:16?1OCO28>79GKG:?6?1OCO26>69GKGYUMN>0HB^N7:FLTD:76>1OC]O31?58@JVF4;427IA_A=194;1<LFZJ0>0;;EMSF2=CGYH7<394DNRA84803ME[N1<19:FLTG:4294<7IA_B=1=a>BUKVY^ONK\SGWO7>CII:1NBOl4EO]QWQTFEVKi7H@PRRVQEHYE02OS\L@KEE32?@^WIGNNHRLLD^DJH@733LR[MCJJD^ALJVRUI@D__<?4EYRBJACCW@YNSKGCE29E@F5<NMO97KH7;GDEBC@CN81L?6IAD09J6>O7:2C:>6G=2:K06>O3<2CIYK;4ICWEC7=NF11BBKK]RDF;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[k4IO]MCAYFFMUIOI<4IN78MJDRN?1BCNABP79JKQ_WM?1GNRlnr078HGYei{UH_Rozx^MQ[01Xg8<0@OQmas]@WZgrpVEYS89Po0`8HGYei{UBNXH8;MKAVDT^>2FDMIKK6:NLGNCC?2FDKDMNL59OQQ433E__895CUU7`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O20?K77;2D:=>5A1318J4543G;??6@>529M535<F8=97C<=;O11?K253G?97C8=;O51?K>53G327CLPBTQJ@]g<FKUIY^@NMD58J@RPG[A?7CAAE89MKZEOIGMN=6A:;N@VBQ0<GLUiml4OD]aewYNJ\L87B@J169LTQOJASOT\_GBIUKUAVYIOJ90CXZ>;Qa8T+479:;;=>QC3:RB@1=WI[^j7]GA_CWPMA^e3YCESO[\N@OFf>VNFVCXOAALK89SOZNK]]DF?6^]E79SWAIIM820\Z\P12]l[fjlWdkbbRJIF^625f=W_[U:?RaPcmi\idoiWMLMS9?Piot17>VPZV;8SbQllj]nelhXLOLT8<Qfnw3\MKPX88=0\Z\P5^m\gimXehceSIHI_212f>VPZV?TcRmck^obmkYCNOU8?Rgav308TRTX=VeToaePm`km[A@AW:9Tecx>_HLU[57<Yh1YM@L>6CUFVc=UIDH::OYJR^kmwq7>3[KFN<8MWDP\mkusW`dxyao{e148V@ADMH<0^HILEC33?WCUMZEGZHQ_ASCNW6=UGD90^^Z;;SQWE1=U[]H<7_][TXRFa>TT\VZYEB\FTX]Ba>TT\VZYEB\FTX]A7>UB\11XIY\JSDV25>UOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C6;2YBARM@LMKPMJHXKAOHGl5\IL]GASODM11X\L\[AO44?VTQIEUJ;6]]V@N\F3=T\H^^_95\YOA2?Q><\LXFECOC3:VQM==SZ@Y_MYK;;UPVA1=SQYO=7XLPb`p21>SEWkkySN]Patz\KWY28Ve::6[M_ccq[FUXi|rTC_Q:0^m2f>SEWkkySDLZFb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K<;VGB2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?394WDC\fdtd3^OJSoo}_H@VB6=PMK<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=g>QUA]OTABJJ_@a8SWOSMVGDHHQM1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF6:ZPPIOE?2RXXRIAD69[WQYQKJ<0TilPIe`8\anXX{cfEivm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq1<Pz~TOa?7;Yqw[Fj6811SyQLl03;?]usWJf:>55Wsu]@h45?3QySNb>499[wqYDd8?37U}{_Bn222=_{}UH`?94Xrv\Gi503QySNb;7:ZppZEk=>1SyQLl758\vrXKe=<7U}{_Bn;3>^t|VIg5>5VER68^@TH:=1TSRVCNL]\[5YXWEHTnl|PCR]bq}YHZV?<Sb?=4:]\[]JIEVUT=RQPUC]aewYD[Vk~tRA]_42\k40<Wg::8<j4_o22047XAG\T<l5Pn137=Zoi~k1Tb=?;9^kmr4g<Wg:9=>Qfnw`8[k659:Ubb{?7;bnh[hgnfl1h`fQbahl\FP@@X830hlzn_scn[dsW`d7?<4>6?>2:47<lh~jSob_`w{[lhX88;0hlzn_scn[dsW`dT=<<4d`vb[wgjWhsSd`P1131?agsiVxjaRozx^km[476:2njxlQ}al]bq}YnfV;9=?5kauc\vdkXi|rTecQ>3008`drfW{kfSl{w_hl\51753mkmR|nm^cv|ZoiW8?:=6jnt`]qehYf}qUbbR<>1:fbpdYuidUjyuQfn^125>bf|hUym`Qnuy]jjZ2692njxlQ}al]bq}YnfV?:=6jnt`]qehYf}qUbbR8>1:fbpdYuidUjyuQfn^525>bf|hUym`Qnuy]jjZ>692njxlQ}al]bq}YnfV3:?6jnt`]qehYf}qUdyy2?>068`drfW{kfSl{w_nww84699=1omyoPr`o\ep~Xg|~7=<0>4:fbpdYuidUjyuQ`uu>26;733mkmR|nm^cv|Zir|5;82<:4d`vb[wgjWhsSb{{<06=51=ci}kT~lcPatz\kpr;9<4:?6jnt`]qehYf}qUdyy2>>018`drfW{kfSl{w_nww8786;2njxlQ}al]bq}Yh}}682<=4d`vb[wgjWhsSb{{<5<27>bf|hUym`Qnuy]lqq:26890hlzn_scn[dsWf0;0>3:fbpdYuidUjyuQ`uu>4:45<lh~jSob_`w{[jss414:?6jnt`]qehYf}qUdyy26>29g`h><fnniydbk2:ll<>uwi{~jb;64xhnjj}si911s`cvPatvl[5Ylk%hy wbbf~DEv3?m1KLu;;:G87>4}T>l09??4>e382761eil09;kk?{o004?7<f;9:6;5+23`9671<uZ<o6?==:0g1>454?kkn6?9ie09P5ge=:>;1=7?<36`ba?40noh0_;j526395?74;>hji7<8fgg8`02=83;1=v]9e;006?7b:3;8?:lne;04b`6<,<81>:=4H06`?sR6=80;6<4>:6dxW3c=::81=h<51214fdc=:>ln<6*=1782f`=Q:;l1>v{>c382?p7d;3:0q)?j4;35?!72<3:0(<;=:356?!72;3;i>6l:4;2964<1n3=nwE<>4:&14c<2<2P>?7<t6;;9y!47:3k0(?>>:360?l26290/=h85419m5`3=821b?k4?:%3f2?273g;n97?4;h1f>5<#9l<18=5a1d796>=n;m0;6)?j6;63?k7b=3907d=l:18'5`0=<91e=h;54:9j7g<72-;n:7:?;o3f1?3<3`9j6=4+1d4905=i9l?1:65f3883>!7b>3>;7c?j5;58?l5?290/=h85419m5`3=021b?:4?:%3f2?273g;n9774;h07`?6=3`<m6=44i6394?=n9mi1<75f20f94?=n:8:1<75f1c594?=,?l0;6)?j6;5g?k7b=3:07&9l:18'5`0=?m1e=h;51:9(3g<72-;n:79k;o3f1?4<3"=j6=4+1d493a=i9l?1?65$7883>!7b>3=o7c?j5;68?.1?290/=h857e9m5`3==21 4:4?:%3f2?1c3g;n9784;*:5>5<#9l<1;i5a1d793>=,0<0;6)?j6;5g?k7b=3207&6;:18'5`0=?m1e=h;59:9(<6<72-;n:79k;o3f1?g<3"296=4+1d493a=i9l?1n65$8083>!7b>3=o7c?j5;a8?.>7290/=h857e9m5`3=l21 ;k4?:%3f2?1c3g;n97k4;*54>5<#9l<1;i5a1d79b>=e:;k1<7?50;2x 76a2;;>7E<=8:J151=#:;o1=ik4$0`a>7133f;n=7>5$30f>4c732wi>?750;394?6|,;:m6<;n;I01<>N59=1/>?k52568 4de2;=?7b?:9;29 74b28o;76sm1dg94?0=83:p(?>i:076?M4502B9=95+23g9501<,8<o6?5G1g58 4de2;=?7d;::18'67c==110e;m50;&16`<6n010e:850;&16`<6>:10c<h>:18'67c=9l:07d;9:18'67c==?10e<k6:18'67c=9ol07pl>ec83>3<729q/>=h51478L74?3A8:86*=2d8212=#9?n1>6F>f69'5gd=:>>0e8;50;&16`<2021b:n4?:%01a?7a121b;;4?:%01a?71;21d=k?50;&16`<6m910e8850;&16`<2>21b=h750;&16`<6no10qo<:7;292?6=8r.9<k4>579K67><@;;?7)?9d;08 74b28?<7E?i7:&2fg<5?=1b984?:%01a?3?32c>:7>5$30f>00<3`==6=4+23g9535<3f;m=7>5$30f>4c732c:i44?:%01a?7an21b:n4?:%01a?7a121vn?;;:185>5<7s-8;j7?:6:J16==O:8>0(<8k:39'67c=9<=0D<h8;%3af?40<2c>97>5$30f>0><3`?=6=4+23g913=<a><1<7*=2d8226=<g8l:6=4+23g95`6<3`;n57>5$30f>4`a32c=o7>5$30f>4`>32wi>8850;494?6|,;:m6<;9;I01<>N59=1/=;j52:&16`<6=>1C=k94$0`a>7133`?>6=4+23g91==<a<<1<7*=2d862>=n??0;6)<=e;357>=h9o;1<7*=2d82a5=<a8o26=4+23g95c`<3`<h6=4+23g95c?<3th9984?:783>5}#:9l1=884H30;?M46<2.::i4=;%01a?72?2B:j:5+1c`9622<a<?1<7*=2d86<>=n=?0;6)<=e;75?>o0>3:1(?<j:040?>i6n80;6)<=e;3f4>=n9l31<7*=2d82bc=<a?i1<7*=2d82b<=<uk8>j7>56;294~"58o0:9;5G23:8L7733-;=h7<4$30f>4303A;m;6*>bc8131=n=<0;6)<=e;7;?>o2>3:1(?<j:448?l11290/>?k51718?j7a93:1(?<j:0g3?>o6m00;6)<=e;3eb>=n>j0;6)<=e;3e=>=zj;?86=49:183!47n3;>:6F=299K642<,8<o6?5+23g9501<@8l<7)?mb;040>o2=3:1(?<j:4:8?l31290/>?k55798m20=83.9>h4>6298k4`6290/>?k51d28?l7b13:1(?<j:0de?>o1k3:1(?<j:0d:?>{e:<81<7850;2x 76a28?=7E<=8:J151=#9?n1>6*=2d8212=O9o=0(<lm:357?l32290/>?k55998m00=83.9>h4:6:9j33<72-89i7?93:9l5c7=83.9>h4>e198m4c>290/>?k51gd8?l0d290/>?k51g;8?xd5=l0;6;4?:1y'65`=9<<0D?<7;I020>"6>m097)<=e;363>N6n>1/=ol52668m03=83.9>h4:8:9j13<72-89i7;9;:k42?6=,;8n6<8<;:m2b4<72-89i7?j0:9j5`?=83.9>h4>fg98m3e=83.9>h4>f898yg42l3:1:7>50z&14c<6=?1C>?64H337?!71l380(?<j:074?M7a?2.:no4=759j10<72-89i7;7;:k62?6=,;8n6884;h55>5<#:;o1=;=4;n3e5?6=,;8n6<k?;:k2a<<72-89i7?if:9j2f<72-89i7?i9:9~f73d290=6=4?{%03b?72>2B9>55G2068 40c2;1/>?k51458L4`03-;in7<84:k61?6=,;8n6864;h75>5<#:;o19;54i6494?"5:l0::>54o0d2>5<#:;o1=h>4;h3f=?6=,;8n6<hi;:k5g?6=,;8n6<h6;:a60d=83<1<7>t$32e>4313A8946F=159'53b=:2.9>h4>569K5c1<,8hi6?9;;h76>5<#:;o19554i4494?"5:l0>:65f7783>!45m3;=?65`1g394?"5:l0:i=54i0g:>5<#:;o1=kh4;h4`>5<#:;o1=k74;|`11=<72?0;6=u+21d9500<@;837E<>4:&22a<53-89i7?:7:J2b2=#9kh1>::4i4794?"5:l0>465f5783>!45m3?=76g86;29 74b28<876a>f083>!45m3;n<65f1d;94?"5:l0:jk54i7a94?"5:l0:j454}c06e?6=>3:1<v*=0g8213=O:;20D??;;%35`?4<,;8n6<;8;I3e3>"6jk09;95f5483>!45m3?376g:6;29 74b2<<07d99:18'67c=9?907b?i1;29 74b28o;76g>e883>!45m3;mj65f6b83>!45m3;m565rb37:>5<1290;w)<?f;362>N5:11C><:4$04g>7=#:;o1=894H0d4?!7ej38<86g:5;29 74b2<207d;9:18'67c==?10e:850;&16`<6>:10c<h>:18'67c=9l:07d?j9;29 74b28lm76g9c;29 74b28l276sm24394?0=83:p(?>i:075?M4502B9=95+17f96>"5:l0:9:5G1g58 4de2;=?7d;::18'67c==110e8850;&16`<2>21b;;4?:%01a?71;21d=k?50;&16`<6m910e<k6:18'67c=9ol07d8l:18'67c=9o307pl=5183>3<729q/>=h51448L74?3A8:86*>6e81?!45m3;>;6F>f69'5gd=:>>0e8;50;&16`<2021b9;4?:%01a?3132c<:7>5$30f>40432e:j<4?:%01a?7b821b=h750;&16`<6no10e;m50;&16`<6n010qo9;:180>5<7s-8;j7?mf:J16==O:8>0(?<j:0d;?!7ej38<86*>6e8e?l72k3:1(?<j:5f8j74d2910e<;k:18'67c=<m1e>?m51:9l5ag=83.9>h4>e198yg2e29096=4?{%03b?7192B9>55G2068 74b2=l0D<l:;%3a2?71;2.:no4=759j50d=83.9>h4>6298k4bf290/>?k51d28?xd3i3:1>7>50z&14c<6>81C>?64H337?!45m3>m7E?m5:&2f3<6>:1/=ol52668m43e290/>?k51718?j7ci3:1(?<j:0g3?>{e<00;6?4?:1y'65`=9?;0D?<7;I020>"5:l0?j6F>b49'5g0=9?90(<lm:357?l72j3:1(?<j:040?>i6lh0;6)<=e;3f4>=zj=21<7<50;2x 76a28<:7E<=8:J151=#:;o18k5G1c78 4d128<87)?mb;040>o6=k0;6)<=e;357>=h9mk1<7*=2d82a5=<uk><6=4=:183!47n3;==6F=299K642<,;8n69h4H0`6?!7e>3;=?6*>bc8131=n9<h1<7*=2d8226=<g8nj6=4+23g95`6<3th?:7>52;294~"58o0::<5G23:8L7733-89i7:i;I3a1>"6j?0::>5+1c`9622<a8?i6=4+23g9535<3f;om7>5$30f>4c732wi884?:383>5}#:9l1=;?4H30;?M46<2.9>h4;f:J2f0=#9k<1=;=4$0`a>7133`;>n7>5$30f>40432e:hl4?:%01a?7b821vn9:50;094?6|,;:m6<8>;I01<>N59=1/>?k54g9K5g3<,8h=6<8<;%3af?40<2c:9o4?:%01a?71;21d=io50;&16`<6m910qo:<:181>5<7s-8;j7?91:J16==O:8>0(?<j:5d8L4d23-;i:7?93:&2fg<5?=1b=8l50;&16`<6>:10c<jn:18'67c=9l:07pl;2;296?6=8r.9<k4>609K67><@;;?7)<=e;6e?M7e=2.:n;4>629'5gd=:>>0e<;m:18'67c=9?907b?ka;29 74b28o;76sm7183>7<729q/>=h51738L74?3A8:86*=2d87b>N6j<1/=o851718 4de2;=?7d?:b;29 74b28<876a>d`83>!45m3;n<65rb6094?4=83:p(?>i:042?M4502B9=95+23g90c=O9k?0(<l9:040?!7ej38<86g>5c83>!45m3;=?65`1ec94?"5:l0:i=54}c3g`?6=:3:1<v*=0g8224=O:;20D??;;%01a?2a3A;i96*>b78226=#9kh1>::4i07a>5<#:;o1=;=4;n3ge?6=,;8n6<k?;:a64c=8381<7>t$32e>4063A8946F=159'67c=<o1C=o;4$0`5>4043-;in7<84:k21g<72-89i7?93:9l5ag=83.9>h4>e198yg46;3:1>7>50z&14c<6>81C>?64H337?!45m3>m7E?m5:&2f3<6>:1/=ol52668m43e290/>?k51718?j7ci3:1(?<j:0g3?>{e9kk1<7<50;2x 76a28<:7E<=8:J151=#:;o18k5G1c78 4d128<87)?mb;040>o6=k0;6)<=e;357>=h9mk1<7*=2d82a5=<uk8:>7>56;294~"58o0:o<5G23:8L7733-;n47<;6:&16`<6n11/=ol52668 40c28?37d?:c;29 74b2=n0b?<l:198m43c290/>?k54e9m67e=921b=8k50;&16`<3l2d9>n4=;:k21c<72-89i7:k;o01g?5<3`;=<7>5$30f>1b<f;8h6954o0fb>5<#:;o1=h>4;|`2f<<72?0;6=u+21d95f7<@;837E<>4:&2a=<5<<1/>?k51g:8 4de2;=?7)?9d;36<>o6=j0;6)<=e;6g?k45k3:07d?:d;29 74b2=n0b?<l:098m43b290/>?k54e9m67e=:21b=8h50;&16`<3l2d9>n4<;:k225<72-89i7:k;o01g?2<3f;om7>5$30f>4c732wi>9o50;794?6|,;:m6<m?;I01<>N59=1/=h652548 74b28l37)?mb;040>"6>m0>7d?:c;29 74b2=n0b?<l:198m43c290/>?k54e9m67e=921b=8k50;&16`<3l2d9>n4=;:k21c<72-89i7:k;o01g?5<3f;om7>5$30f>4c732wi>9m50;794?6|,;:m6<m?;I01<>N59=1/=h652578 74b28l37)?mb;040>"6>m0>7d?:c;29 74b2=n0b?<l:198m43c290/>?k54e9m67e=921b=8k50;&16`<3l2d9>n4=;:k21c<72-89i7:k;o01g?5<3f;om7>5$30f>4c732wi>9k50;096?5|,;:m68?4H30;?M46<2.:no4=759j50d=831d=io50;9a534=8381<7>t$32e>4053-89i7;8;%3a2?71;2B:n85+1c`9622<a8?i6=4+23g9535<3f;om7>5$30f>4c732wi9=4?:383>5}#:9l19=5+23g912=#9kh1>::4i07a>5<#:;o1=;=4;n3ge?6=,;8n6<k?;:p50d=838pR<;m;<356?72j2wx=io50;0xZ4bf34?;6<jn;|q227<72;q6=;<51ec8906=9<h0qpl=4983>7<729q/>=h51c38L74?3A8:86*=2d87b>"6jk09;95f14`94?"5:l0::>54o0fb>5<#:;o1=h>4H30g?>{e9o:1<7<50;2x 76a28h:7E<=8:J151=#:;o18k5+1c`9622<a8?i6=4+23g9535<3f;om7>5$30f>4c73A89h65rb0gg>5<5290;w)<?f;3a5>N5:11C><:4$30f>1`<,8hi6?9;;h36f?6=,;8n6<8<;:m2`d<72-89i7?j0:J16a=<uk3=6=4;:387!47n3;i86F=299K642<,8hi6?9;;h36f?6=3`8;m7>5;n3ge?6=3";i?7>5;c355?6=:3:1<v*=0g8224=#:;o18k5G1c78 4d128<87)?mb;040>o6=k0;6)<=e;357>=h9mk1<7*=2d82a5=<uk;on7>53;294~"58o0:ho5+23g965e<@8h>7)?m6;3f4>"6jk09;95f21c94?"5:l0<96F=2e98m43e290/>?k51718?j7ci3:1D?>k;%01a?7b821v?>n:181[47i27:ho4=0`9~w43e2909wS?:b:?2`g<6=k1v<jn:181[7ci27::<4>d`9~w4d42908wS?m3:?224<6=k16=il51ec8yxd>=3:1:7>50z&14c<6=<1C>?64H337?!45m3;>;6*>6e81?M7a?2.:no4=759j10<72-89i7;7;:k5g?6=,;8n6<h6;:k42?6=,;8n6<8<;:m2b4<72-89i7?j0:9j13<72-89i7;9;:k2a<<72-89i7?if:9~f<2=83>1>7:t$32e>4d33A8946F=159'5gd=:>>0e<;m:188m76f2900c<jn:188/4d42900n<8>:181>5<7s-8;j7?91:&16`<3n2B:n85+1c49535<,8hi6?9;;h36f?6=,;8n6<8<;:m2`d<72-89i7?j0:9~f4be29086=4?{%03b?7cj2.9>h4=0b9K5g3<,8h=6<k?;%3af?40<2c9<l4?:%01a?123A89h65f14`94?"5:l0::>54o0fb>5<O:9n0(?<j:0g3?>{t:9k1<7<t^32b?87cj38;m6s|14`94?4|V8?i70?kb;36f>{t9mk1<7<t^0fb?87193;om6s|1c194?5|V8h870?91;36f>;6lk0:hl5r}c;0>5<1290;w)<?f;361>N5:11C><:4$30f>4303-;=h7<4H0d4?!7ej38<86g:5;29 74b2<207d8l:18'67c=9o307d99:18'67c=9?907b?i1;29 74b28o;76g:6;29 74b2<<07d?j9;29 74b28lm76sm9383>1<52=q/>=h51c68L74?3A8:86*>bc8131=n9<h1<75f21c94?=h9mk1<75$1c194?=e9?;1<7<50;2x 76a28<:7)<=e;6e?M7e=2.:n;4>629'5gd=:>>0e<;m:18'67c=9?907b?ka;29 74b28o;76sm1e`94?5=83:p(?>i:0fa?!45m38;o6F>b49'5g0=9l:0(<lm:357?l47i3:1(?<j:678L74c32c:9o4?:%01a?71;21d=io50;J14a=#:;o1=h>4;|q14d<72;qU>=o4=0fa>76f3ty:9o4?:3y]50d<58ni6<;m;|q2`d<72;qU=io4=042>4bf3ty:n>4?:2y]5g5<58<:6<;m;<3gf?7ci2wvn4?50;494?6|,;:m6<;:;I01<>N59=1/>?k51458 40c2;1C=k94$0`a>7133`?>6=4+23g91==<a?i1<7*=2d82b<=<a><1<7*=2d8226=<g8l:6=4+23g95`6<3`?=6=4+23g913=<a8o26=4+23g95c`<3th2<7>54;090~"58o0:n95G23:8L7733-;in7<84:k21g<722c9<l4?::m2`d<722!:n>4?::`224<72;0;6=u+21d9537<,;8n69h4H0`6?!7e>3;=?6*>bc8131=n9<h1<7*=2d8226=<g8nj6=4+23g95`6<3th:ho4?:283>5}#:9l1=il4$30f>76d3A;i96*>b782a5=#9kh1>::4i32b>5<#:;o1;85G23f8?l72j3:1(?<j:040?>i6lh0;6E<?d:&16`<6m910q~<?a;296~X58h16=il521c8yv72j3:1>vP>5c9>5ad=9<h0q~?ka;296~X6lh16=;?51ec8yv7e;3:1?vP>b29>537=9<h01<jm:0fb?x{e0o0;6;4?:1y'65`=9<?0D?<7;I020>"5:l0:9:5+17f96>N6n>1/=ol52668m03=83.9>h4:8:9j2f<72-89i7?i9:9j33<72-89i7?93:9l5c7=83.9>h4>e198m00=83.9>h4:6:9j5`?=83.9>h4>fg98yg>b290?6?4;{%03b?7e<2B9>55G2068 4de2;=?7d?:b;29?l47i3:17b?ka;29?.7e;3:17o?91;296?6=8r.9<k4>609'67c=<o1C=o;4$0`5>4043-;in7<84:k21g<72-89i7?93:9l5ag=83.9>h4>e198yg7cj3:1?7>50z&14c<6lk1/>?k521a8L4d23-;i:7?j0:&2fg<5?=1b>=o50;&16`<0=2B9>i54i07a>5<#:;o1=;=4;n3ge?6=@;:o7)<=e;3f4>=z{;:j6=4={_03e>;6lk09<l5rs07a>5<5sW;>n63>dc821g=z{8nj6=4={_3ge>;6>80:hl5rs0`0>5<4sW;i?63>60821g=:9mh1=io4}|`;`?6=>3:1<v*=0g8210=O:;20D??;;%01a?72?2.::i4=;I3e3>"6jk09;95f5483>!45m3?376g9c;29 74b28l276g86;29 74b28<876a>f083>!45m3;n<65f5783>!45m3?=76g>e883>!45m3;mj65rb9a94?2=:3>p(?>i:0`7?M4502B9=95+1c`9622<a8?i6=44i32b>5<<g8nj6=44+0`0>5<<j8<:6=4=:183!47n3;==6*=2d87b>N6j<1/=o851718 4de2;=?7d?:b;29 74b28<876a>d`83>!45m3;n<65rb0fa>5<4290;w)<?f;3gf>"5:l09<n5G1c78 4d128o;7)?mb;040>o58h0;6)<=e;56?M45l21b=8l50;&16`<6>:10c<jn:18K65b<,;8n6<k?;:p65g=838pR?>n;<3gf?47i2wx=8l50;0xZ43e34;on7?:b:p5ag=838pR<jn;<355?7ci2wx=o=50;1xZ4d434;==7?:b:?2`g<6lh1vqo6m:185>5<7s-8;j7?:5:J16==O:8>0(?<j:074?!71l380D<h8;%3af?40<2c>97>5$30f>0><3`<h6=4+23g95c?<3`==6=4+23g9535<3f;m=7>5$30f>4c732c>:7>5$30f>00<3`;n57>5$30f>4`a32wim:4?:581>1}#:9l1=o:4H30;?M46<2.:no4=759j50d=831b>=o50;9l5ag=831 =o=50;9a537=8381<7>t$32e>4063-89i7:i;I3a1>"6j?0::>5+1c`9622<a8?i6=4+23g9535<3f;om7>5$30f>4c732wi=il50;194?6|,;:m6<jm;%01a?47k2B:n85+1c495`6<,8hi6?9;;h03e?6=,;8n6:;4H30g?>o6=k0;6)<=e;357>=h9mk1<7F=0e9'67c=9l:07p}=0`83>7}Y:9k01<jm:32b?xu6=k0;6?uQ14`894be28?i7p}>d`83>7}Y9mk01<8>:0fb?xu6j:0;6>uQ1c18940628?i70?kb;3ge>{zjh<1<7850;2x 76a28?>7E<=8:J151=#:;o1=894$04g>7=O9o=0(<lm:357?l32290/>?k55998m3e=83.9>h4>f898m20=83.9>h4>6298k4`6290/>?k51d28?l31290/>?k55798m4c>290/>?k51gd8?xdf=3:187<54z&14c<6j=1C>?64H337?!7ej38<86g>5c83>>o58h0;66a>d`83>>-6j:0;66l>6083>7<729q/>=h51738 74b2=l0D<l:;%3a2?71;2.:no4=759j50d=83.9>h4>6298k4bf290/>?k51d28?xd6lk0;6>4?:1y'65`=9mh0(?<j:32`?M7e=2.:n;4>e19'5gd=:>>0e?>n:18'67c=?<1C>?j4;h36f?6=,;8n6<8<;:m2`d<72A8;h6*=2d82a5=<uz8;m7>52z\14d=:9mh1>=o4}r36f?6=:rT:9o521e`950d<uz;om7>52z\2`d=:9?;1=io4}r3a7?6=;rT:n>52173950d<58ni6<jn;|ae1<72?0;6=u+21d9503<@;837E<>4:&16`<6=>1/=;j52:J2b2=#9kh1>::4i4794?"5:l0>465f6b83>!45m3;m565f7783>!45m3;=?65`1g394?"5:l0:i=54i4494?"5:l0>:65f1d;94?"5:l0:jk54}cc0>5<32;0?w)<?f;3a0>N5:11C><:4$0`a>7133`;>n7>5;h03e?6=3f;om7>5;*3a7?6=3k;==7>52;294~"58o0::<5+23g90c=O9k?0(<l9:040?!7ej38<86g>5c83>!45m3;=?65`1ec94?"5:l0:i=54}c3gf?6=;3:1<v*=0g82`g=#:;o1>=m4H0`6?!7e>3;n<6*>bc8131=n:9k1<7*=2d841>N5:m10e<;m:18'67c=9?907b?ka;29L76c3-89i7?j0:9~w76f2909wS<?a:?2`g<58h1v<;m:181[72j27:ho4>5c9~w4bf2909wS?ka:?224<6lh1v<l<:180[7e;27::<4>5c9>5ad=9mk0qpln2;292?6=8r.9<k4>549K67><@;;?7)<=e;363>"6>m097E?i7:&2fg<5?=1b984?:%01a?3?32c=o7>5$30f>4`>32c<:7>5$30f>40432e:j<4?:%01a?7b821b9;4?:%01a?3132c:i44?:%01a?7an21vnl?50;696?2|,;:m6<l;;I01<>N59=1/=ol52668m43e2900e?>n:188k4bf2900'<l<:188f40629096=4?{%03b?7192.9>h4;f:J2f0=#9k<1=;=4$0`a>7133`;>n7>5$30f>40432e:hl4?:%01a?7b821vn<jm:180>5<7s-8;j7?kb:&16`<58j1C=o;4$0`5>4c73-;in7<84:k14d<72-89i79:;I01`>=n9<h1<7*=2d8226=<g8nj6=4G21f8 74b28o;76s|21c94?4|V;:j70?kb;03e>{t9<h1<7<t^07a?87cj3;>n6s|1ec94?4|V8nj70?91;3ge>{t9k91<7=t^0`0?87193;>n63>dc82`d=zukk;6=49:183!47n3;>96F=299K642<,;8n6<;8;%35`?4<@8l<7)?mb;040>o2=3:1(?<j:4:8?l0d290/>?k51g;8?l11290/>?k51718?j7a93:1(?<j:0g3?>o2>3:1(?<j:448?l7b13:1(?<j:0de?>{e1o0;694=:5y'65`=9k>0D?<7;I020>"6jk09;95f14`94?=n:9k1<75`1ec94?=,9k91<75m17394?4=83:p(?>i:042?!45m3>m7E?m5:&2f3<6>:1/=ol52668m43e290/>?k51718?j7ci3:1(?<j:0g3?>{e9mh1<7=50;2x 76a28ni7)<=e;03g>N6j<1/=o851d28 4de2;=?7d<?a;29 74b2>?0D?<k;:k21g<72-89i7?93:9l5ag=83B9<i5+23g95`6<3ty9<l4?:3y]65g<58ni6?>n;|q21g<72;qU=8l4=0fa>43e3ty:hl4?:3y]5ag<58<:6<jn;|q2f6<72:qU=o=4=042>43e34;on7?ka:~f<c=83<1<7>t$32e>4323A8946F=159'67c=9<=0(<8k:39K5c1<,8hi6?9;;h76>5<#:;o19554i7a94?"5:l0:j454i6494?"5:l0::>54o0d2>5<#:;o1=h>4;h75>5<#:;o19;54i0g:>5<#:;o1=kh4;|`:`?6=<3818v*=0g82f1=O:;20D??;;%3af?40<2c:9o4?::k14d<722e:hl4?::)2f6<722h::<4?:383>5}#:9l1=;?4$30f>1`<@8h>7)?m6;357>"6jk09;95f14`94?"5:l0::>54o0fb>5<#:;o1=h>4;|`2`g<72:0;6=u+21d95ad<,;8n6?>l;I3a1>"6j?0:i=5+1c`9622<a;:j6=4+23g930=O:;n07d?:b;29 74b28<876a>d`83>M47l2.9>h4>e198yv47i3:1>vP=0`9>5ad=:9k0q~?:b;296~X6=k16=il514`8yv7ci3:1>vP>d`9>537=9mk0q~?m3;297~X6j:16=;?514`894be28nj7psm9b83>3<729q/>=h51478L74?3A8:86*=2d8212=#9?n1>6F>f69'5gd=:>>0e8;50;&16`<2021b:n4?:%01a?7a121b;;4?:%01a?71;21d=k?50;&16`<6m910e8850;&16`<2>21b=h750;&16`<6no10qo7m:187>7<3s-8;j7?m4:J16==O:8>0(<lm:357?l72j3:17d<?a;29?j7ci3:17&?m3;29?g7193:1>7>50z&14c<6>81/>?k54g9K5g3<,8h=6<8<;%3af?40<2c:9o4?:%01a?71;21d=io50;&16`<6m910qo?kb;297?6=8r.9<k4>dc9'67c=:9i0D<l:;%3a2?7b82.:no4=759j65g=83.9>h485:J16a=<a8?i6=4+23g9535<3f;om7>5H32g?!45m3;n<65rs32b>5<5sW8;m63>dc814d=z{8?i6=4={_36f>;6lk0:9o5rs0fb>5<5sW;om63>6082`d=z{8h86=4<{_3a7>;6>80:9o521e`95ag<uth2m7>56;294~"58o0:985G23:8L7733-89i7?:7:&22a<53A;m;6*>bc8131=n=<0;6)<=e;7;?>o1k3:1(?<j:0d:?>o0>3:1(?<j:040?>i6n80;6)<=e;3f4>=n=?0;6)<=e;75?>o6m00;6)<=e;3eb>=zj031<7:52;6x 76a28h?7E<=8:J151=#9kh1>::4i07a>5<<a;:j6=44o0fb>5<<#8h86=44b042>5<5290;w)<?f;355>"5:l0?j6F>b49'5g0=9?90(<lm:357?l72j3:1(?<j:040?>i6lh0;6)<=e;3f4>=zj8ni6=4<:183!47n3;on6*=2d814f=O9k?0(<l9:0g3?!7ej38<86g=0`83>!45m3=>7E<=d:9j50d=83.9>h4>6298k4bf290C>=j4$30f>4c732wx>=o50;0xZ76f34;on7<?a:p50d=838pR<;m;<3gf?72j2wx=io50;0xZ4bf34;==7?ka:p5g5=839pR<l<;<355?72j27:ho4>d`9~yg??290=6=4?{%03b?72=2B9>55G2068 74b28?<7)?9d;08L4`03-;in7<84:k61?6=,;8n6864;h4`>5<#:;o1=k74;h55>5<#:;o1=;=4;n3e5?6=,;8n6<k?;:k62?6=,;8n6884;h3f=?6=,;8n6<hi;:a=2<72=0969u+21d95g2<@;837E<>4:&2fg<5?=1b=8l50;9j65g=831d=io50;9(5g5=831i=;?50;094?6|,;:m6<8>;%01a?2a3A;i96*>b78226=#9kh1>::4i07a>5<#:;o1=;=4;n3ge?6=,;8n6<k?;:a5ad=8391<7>t$32e>4be3-89i7<?c:J2f0=#9k<1=h>4$0`a>7133`8;m7>5$30f>23<@;8o76g>5c83>!45m3;=?65`1ec94?N58m1/>?k51d28?xu58h0;6?uQ21c894be2;:j7p}>5c83>7}Y9<h01<jm:07a?xu6lh0;6?uQ1ec8940628nj7p}>b283>6}Y9k901<8>:07a?87cj3;om6srb9c94?0=83:p(?>i:076?M4502B9=95+23g9501<,8<o6?5G1g58 4de2;=?7d;::18'67c==110e;m50;&16`<6n010e:850;&16`<6>:10c<h>:18'67c=9l:07d;9:18'67c==?10e<k6:18'67c=9ol07pl79;290?4=<r.9<k4>b59K67><@;;?7)?mb;040>o6=k0;66g=0`83>>i6lh0;66%>b283>>d6>80;6?4?:1y'65`=9?;0(?<j:5d8L4d23-;i:7?93:&2fg<5?=1b=8l50;&16`<6>:10c<jn:18'67c=9l:07pl>dc83>6<729q/>=h51e`8 74b2;:h7E?m5:&2f3<6m91/=ol52668m76f290/>?k5749K67b<3`;>n7>5$30f>40432e:hl4?:I03`>"5:l0:i=54}r03e?6=:rT9<l521e`965g<uz;>n7>52z\21g=:9mh1=8l4}r3ge?6=:rT:hl5217395ag<uz;i?7>53z\2f6=:9?;1=8l4=0fa>4bf3twi454?:783>5}#:9l1=8;4H30;?M46<2.9>h4>569'53b=:2B:j:5+1c`9622<a<?1<7*=2d86<>=n>j0;6)<=e;3e=>=n??0;6)<=e;357>=h9o;1<7*=2d82a5=<a<<1<7*=2d862>=n9l31<7*=2d82bc=<uk;m?7>5bb83>5}#:9l1=k<4H30;?M46<2P>?7mt7;:955<6<3;:6<;5138g>f<b2891n7s+1ed9624<fh21=?5aa8826>h69j0;7c?<f;28 42a2;:n7)?:0;03a>"6><0?7)?96;68 4002=1/=;654:&22<<33-;=m7:4$04a>1=#9?i186*>6d87?!71n3>0(<9?:59'527=<2.:;?4;;%347?2<,8=?695+16790>"6??0?7)?87;68 41?2=1/=:754:&23d<33-;<n7:4$05`>1=#9>n186*>7d87?!70n3>0(<6?:59'5=7=<2.:4?4;;%3;7?2<,82?695+19790>"60?0?7)?77;68 4>?2=1/=5754:&2<d<33-;3n7:4$0:`>1=#91n186*>8d87?!7?n3>0(<7?:59'5<7=<2.:5?4;;%3:7?2<,83?695+18790>"61?0?7)?67;68 4??2=1/=4754:&2=d<33-;2n7:4$0;`>1=#90n186*>9d87?!7>n3>0(<o?:59'5d7=<2.:m?4;;%3b7?2<,8k?695+1`790>"6i?0?7)?n7;68 4g?2=1/=l754:&2ed<33-;jn7:4$0c`>1=#9hn186*>ad80?!7fn390(<l?:0f;?!7ak38;56*>fe862>"6nl0>:6*=058140=#:9<1?6*=0680?!45=3;o56*=2782`<=O:9h0(?<j:0d1?!2d2;:;7)?mb;040>"58:0?i6g>f483>>o2n3:1D<k8;:k20`<722c:jo4?::k50?6=@8o<76g>f783>>o6nh0;66g>4e83>>oa;3:1(<k9:g08j4c2291/=i85ed9m5a3=;21bj<4?:%3f2?`53g;n97?4$0f5>`c<f8n>6?54ig294?"6m?0m>6`>e481?!7c>3on7c?k5;38?lca290/=h85f39m5`3=;2.:h;4je:l2`0<732c9=n4?:%3f2?46j2d:i84?;%3g2?46?2d:h84<;:k15d<72-;n:7<>b:l2a0<63-;o:7<>7:l2`0<532c9=44?:%3f2?46j2d:i84=;%3g2?46?2d:h84>;:k15=<72-;n:7<>b:l2a0<43-;o:7<>7:l2`0<732e:=94?:%3f2?76;2d:i84?;%3g2?`f3g;o97?i;:m257<72-;n:7?>3:l2a0<63-;o:7hn;o3g1?7b32e:==4?:%3f2?76;2d:i84=;%3g2?`f3g;o97?k;:m24c<72-;n:7?>3:l2a0<43-;o:7hn;o3g1?7d32e:<h4?:%3f2?76;2d:i84;;%3g2?`f3g;o97?m;:m24a<72-;n:7?>3:l2a0<23-;o:7hn;o3g1?7f32e:<n4?:%3f2?76;2d:i849;%3g2?`f3g;o97?6;:m24g<72-;n:7?>3:l2a0<03-;o:7hn;o3g1?7?32e:<l4?:%3f2?76;2d:i847;%3g2?`f3g;o97?8;:m24<<72-;n:7?>3:l2a0<>3-;o:7hn;o3g1?7132e:<54?:%3f2?76;2d:i84n;%3g2?`f3g;o97?:;:m242<72-;n:7?>3:l2a0<e3-;o:7hn;o3g1?7332e:<84?:%3f2?76;2d:i84l;%3g2?`f3g;o97?<;:m241<72-;n:7?>3:l2a0<c3-;o:7hn;o3g1?7532e:<>4?:%3f2?76;2d:i84j;%3g2?`f3g;o97?>;:m247<72-;n:7?>3:l2a0<a3-;o:7hn;o3g1?7732e:<<4?:%3f2?76;2d:i84>0:&2`3<ai2d:h84i;:m245<72-;n:7?>3:l2a0<692.:h;4ia:l2`0<b32emj7>5$0g5>4743g;n97?=;%3g2?`f3g;o97j4;ndf>5<#9l<1=<=4n0g6>45<,8n=6ko4n0f6>f=<gon1<7*>e78256=i9l?1=95+1e49bd=i9m?1n65`fb83>!7b>3;:?6`>e4821>"6l?0mm6`>d48b?>i69k0;6)?j6;327>h6m<0::6*>d78ee>h6l<0276a>1`83>!7b>3;:?6`>e4823>"6l?0mm6`>d48;?>i6900;6)?j6;327>h6m<0:46*>d78ee>h6l<0<76a>1983>!7b>3;:?6`>e482=>"6l?0mm6`>d485?>i69>0;6)?j6;327>h6m<0:m6*>d78ee>h6l<0>76a>1783>!7b>3;:?6`>e482f>"6l?0mm6`>d487?>i69<0;6)?j6;327>h6m<0:o6*>d78ee>h6l<0876a>1083>!7b>3;:?6`>e482`>"6l?0mm6`>d481?>i68?0;6)?j6;327>h6m<0:i6*>d78ee>h6l<0:76aib;29 4c128;87c?j5;3e?!7c>3lj7c?k5;28?l7c290/=h851b9m5`3=82.:h;4>7:l2`0<c32c:n7>5$0g5>4e<f8o>6<5+1e4952=i9m?1o65f1`83>!7b>3;h7c?j5;08 4b128=0b<j::c98m4?=83.:i;4>c:l2a0<43-;o:7?8;o3g1?g<3`8=6=4+1d495f=i9l?186*>d7823>h6l<0276g=5;29 4c128i0b<k::49'5a0=9>1e=i;58:9j61<72-;n:7?l;o3f1?0<,8n=6<94n0f6>2=<a;91<7*>e782g>h6m<0<7)?k6;34?k7c=3<07d<=:18'5`0=9j1e=h;58:&2`3<6?2d:h84:;:k15?6=,8o=6<m4n0g6><=#9m<1=:5a1e790>=n:90;6)?j6;3`?k7b=3k0(<j9:058j4b22:10e<h50;&2a3<6k2d:i84m;%3g2?703g;o97<4;h3f>5<#9l<1=n5a1d79g>"6l?0:;6`>d482?>o603:1(<k9:0a8j4c22m1/=i85169m5a3=821b>i4?:%3f2?4d3g;n97>4$0f5>71<f8n>6i54i3`94?"6m?09o6`>e482?!7c>38<7c?k5;a8?l4f290/=h852b9m5`3=:2.:h;4=7:l2`0<e32c957>5$0g5>7e<f8o>6>5+1e4962=i9m?1m65f3783>!7b>38h7c?j5;68 4b12;=0b<j::898m63=83.:i;4=c:l2a0<23-;o:7<8;o3g1?><3`9?6=4+1d496f=i9l?1:6*>d7813>h6l<0<76g<3;29 4c12;i0b<k::69'5a0=:>1e=i;56:9j77<72-;n:7<l;o3f1?><,8n=6?94n0f6>0=<a:;1<7*>e781g>h6m<027)?k6;04?k7c=3>07d=?:18'5`0=:j1e=h;5a:&2`3<5?2d:h84<;:k1b?6=,8o=6?m4n0g6>g=#9m<1>:5a1e796>=n:l0;6)?j6;0`?k7b=3i0(<j9:358j4b22810e?650;&2a3<5k2d:i84k;%3g2?403g;o97>4;hg5>5<#9l<1i85a1d794>"6l?0ho6`>d482b>=nm=0;6)?j6;g6?k7b=3;0(<j9:ba8j4b228o07dk=:18'5`0=m<1e=h;52:&2`3<dk2d:h84>d:9ja4<72-;n:7k:;o3f1?5<,8n=6nm4n0f6>4e<3`o;6=4+1d49a0=i9l?186*>d78`g>h6l<0:n65fdg83>!7b>3o>7c?j5;78 4b12ji0b<j::0c8?lbb290/=h85e49m5`3=>2.:h;4lc:l2`0<6121bhi4?:%3f2?c23g;n9794$0f5>fe<f8n>6<64;hf`>5<#9l<1i85a1d79<>"6l?0ho6`>d4823>=nlk0;6)?j6;g6?k7b=330(<j9:ba8j4b228<07djn:18'5`0=m<1e=h;5a:&2`3<dk2d:h84>5:9j`<<72-;n:7k:;o3f1?d<,8n=6nm4n0f6>42<3`n<6=4+1d49a0=i9l?1o6*>d78`g>h6l<0:?65fd783>!7b>3o>7c?j5;f8 4b12ji0b<j::008?lb2290/=h85e49m5`3=m2.:h;4lc:l2`0<6921bh94?:%3f2?c23g;n97h4$0f5>fe<f8n>6<>4;hf0>5<#9l<1i85a1d7955=#9m<1on5a1e79b>=nl;0;6)?j6;g6?k7b=3;:7)?k6;a`?k7c=3o07dj>:18'5`0=m<1e=h;5139'5a0=kj1e=i;5d:9j`5<72-;n:7k:;o3f1?743-;o:7ml;o3g1?e<3`im6=4+1d49a0=i9l?1=95+1e49gf=i9m?1n65fcd83>!7b>3o>7c?j5;36?!7c>3ih7c?k5;c8?lcc290/=h85e49m5`3=9?1/=i85cb9m5a3=121bin4?:%3f2?c23g;n97?8;%3g2?ed3g;o9764;hga>5<#9l<1i85a1d795==#9m<1on5a1e793>=nmh0;6)?j6;g6?k7b=3;27)?k6;a`?k7c=3<07dk6:18'5`0=m<1e=h;51`9'5a0=kj1e=i;55:9ja=<72-;n:7k:;o3f1?7e3-;o:7ml;o3g1?2<3`o<6=4+1d49a0=i9l?1=n5+1e49gf=i9m?1?65fe283>!7b>3o>7c?j5;3g?!7c>3ih7c?k5;08?lb?290/=h85e49m5`3=9l1/=i85cb9m5a3=921boi4?:%3f2?c23g;n97?i;%3g2?ed3g;o97>4;n371?6=,8o=6<:;;o3f1?6<,8n=6<:?;o3g1?5<3f;??7>5$0g5>4233g;n97?4$0f5>4273g;o97<4;n376?6=,8o=6<:;;o3f1?4<,8n=6<:?;o3g1?7<3f;?=7>5$0g5>4233g;n97=4$0f5>4273g;o97>4;hd:>5<#9l<1j55a1d794>"6l?0m86`>d480?>oa?3:1(<k9:g:8j4c2281/=i85f59m5a3=:21bj;4?:%3f2?`?3g;n97<4$0f5>c2<f8n>6<54ig794?"6m?0m46`>e480?!7c>3l?7c?k5;28?j73j3:1(<k9:06b?k7b=3:0(<j9:065?k7c=3907b?;9;29 4c128>j7c?j5;38 4b128>=7c?k5;08?j7303:1(<k9:06b?k7b=380(<j9:065?k7c=3;07b?;7;29 4c128>j7c?j5;18 4b128>=7c?k5;28?j74?3:1(<k9:015?k7b=3:0(<j9:03g?k7c=3;m76a>3483>!7b>3;8:6`>e482?!7c>3;:h6`>d482a>=h9:91<7*>e78273=i9l?1>6*>d7825a=i9m?1=i54o011>5<#9l<1=>84n0g6>6=#9m<1=<j4n0f6>4e<3f;8=7>5$0g5>4513g;n97:4$0f5>47c3g;o97?m;:m275<72-;n:7?<6:l2a0<23-;o:7?>d:l2`0<6i21d=?h50;&2a3<6;?1e=h;56:&2`3<69m1e=i;51898k44b290/=h851248j4c22>1/=i8510f8j4b228207b?=d;29 4c1289=7c?j5;:8 4b128;o7c?k5;34?>i6:j0;6)?j6;302>h6m<027)?k6;32`>h6l<0::65`13`94?"6m?0:?;5a1d79e>"6l?0:=i5a1e7950=<g88j6=4+1d49560<f8o>6o5+1e4954b<f8n>6<:4;n31<?6=,8o=6<=9;o3f1?e<,8n=6<?k;o3g1?7432e:>:4?:%3f2?74>2d:i84k;%3g2?76l2d:h84>2:9l570=83.:i;4>379m5`3=m2.:h;4>1e9m5a3=9810c<<::18'5`0=9:<0b<k::g9'5a0=98n0b<j::028?j75<3:1(<k9:015?k7b=3;;7)?k6;32`>h6l<0m76a>2283>!7b>3;8:6`>e4825>"6l?0:=i5a1e79a>=h9;81<7*>e78273=i9l?1=?5+1e4954b<f8n>6i54o002>5<#9l<1=>84n0g6>45<,8n=6<?k;o3g1?e<3f;9<7>5$0g5>4513g;n97?;;%3g2?76l2d:h84m;:m25c<72-;n:7?<6:l2a0<6=2.:h;4>1e9m5a3=i21d=>k50;&2a3<6;?1e=h;5179'5a0=98n0b<j::898k45c290/=h851248j4c228=0(<j9:03g?k7c=3207b?<c;29 4c1289=7c?j5;3;?!7c>3;:h6`>d484?>i6;k0;6)?j6;302>h6m<0:56*>d7825a=i9m?1:65`12c94?"6m?0:?;5a1d795d=#9m<1=<j4n0f6>0=<g8926=4+1d49560<f8o>6<l4$0f5>47c3g;o97:4;n30<?6=,8o=6<=9;o3f1?7d3-;o:7?>d:l2`0<432e:?94?:%3f2?74>2d:i84>d:&2`3<69m1e=i;52:9l57?=83.:i;4>379m5`3=9l1/=i8510f8j4b22810c<?j:18'5`0=9:<0b<k::0d8 4b128;o7c?k5;28?l45<3:1(<k9:300?k7b=3:0(<j9:33e?k7c=3907d<=2;29 4c12;887c?j5;38 4b12;;m7c?k5;08?l4593:1(<k9:300?k7b=380(<j9:33e?k7c=3;07d<=0;29 4c12;887c?j5;18 4b12;;m7c?k5;28?le3290/=h85c29m5`3=82.:h;4na:l2`0<6n21bo?4?:%3f2?e43g;n97?4$0f5>dg<f8n>6<k4;ha3>5<#9l<1o>5a1d796>"6l?0jm6`>d482`>=njo0;6)?j6;a0?k7b=390(<j9:`c8j4b228i07dlj:18'5`0=k:1e=h;54:&2`3<fi2d:h84>b:9jfa<72-;n:7m<;o3f1?3<,8n=6lo4n0f6>4g<3`hh6=4+1d49g6=i9l?1:6*>d78be>h6l<0:565fbc83>!7b>3i87c?j5;58 4b12hk0b<j::0:8?ldf290/=h85c29m5`3=02.:h;4na:l2`0<6?21bn44?:%3f2?e43g;n9774$0f5>dg<f8n>6<84;h`;>5<#9l<1o>5a1d79e>"6l?0jm6`>d4821>=nj>0;6)?j6;a0?k7b=3h0(<j9:`c8j4b228>07dl::18'5`0=k:1e=h;5c:&2`3<fi2d:h84>3:9jf1<72-;n:7m<;o3f1?b<,8n=6lo4n0f6>44<3`h86=4+1d49g6=i9l?1i6*>d78be>h6l<0:=65fb383>!7b>3i87c?j5;d8 4b12hk0b<j::028?ld6290/=h85c29m5`3=991/=i85a`9m5a3=n21bn=4?:%3f2?e43g;n97?>;%3g2?gf3g;o97k4;hce>5<#9l<1o>5a1d7957=#9m<1ml5a1e79`>=nil0;6)?j6;a0?k7b=3;87)?k6;cb?k7c=3i07dok:18'5`0=k:1e=h;5159'5a0=ih1e=i;5b:9jef<72-;n:7m<;o3f1?723-;o:7on;o3g1?g<3`ii6=4+1d49g6=i9l?1=;5+1e49ed=i9m?1565fc`83>!7b>3i87c?j5;34?!7c>3kj7c?k5;:8?le>290/=h85c29m5`3=911/=i85a`9m5a3=?21bo54?:%3f2?e43g;n97?6;%3g2?gf3g;o9784;ha4>5<#9l<1o>5a1d795d=#9m<1ml5a1e791>=nk?0;6)?j6;a0?k7b=3;i7)?k6;cb?k7c=3>07dm::18'5`0=k:1e=h;51b9'5a0=ih1e=i;53:9jg4<72-;n:7m<;o3f1?7c3-;o:7on;o3g1?4<3`h=6=4+1d49g6=i9l?1=h5+1e49ed=i9m?1=65fac83>!7b>3i87c?j5;3e?!7c>3kj7c?k5;28?xd0k3:1=7>50z&16`<6m:1C><:4H047?.7b;3:1(?<j:0g0?>{e?k0;6<4?:1y'67c=9l90D??;;I350>-6m:0;6)<=e;3f7>=zj>k1<7?50;2x 74b28o87E<>4:J221=,9l91<7*=2d82a6=<uk=26=4>:183!45m3;n?6F=159K532<#8o86=4+23g95`5<3th<47>51;294~"5:l0:i>5G2068L4033";n?7>5$30f>4c432wi4:4?:083>5}#:;o1=h=4H337?M71<2!:i>4?:%01a?7b;21vn5850;394?6|,;8n6<k<;I020>N6>=1 =h=50;&16`<6m:10qo6::182>5<7s-89i7?j3:J151=O9?>0'<k<:18'67c=9l907pl74;295?6=8r.9>h4>e29K642<@8<?7&?j3;29 74b28o876sm8283>4<729q/>?k51d18L7733A;=86%>e283>!45m3;n?65rb9094?7=83:p(?<j:0g0?M46<2B::95$1d194?"5:l0:i>54}c:2>5<6290;w)<=e;3f7>N59=1C=;:4+0g0>5<#:;o1=h=4;|`;4?6=93:1<v*=2d82a6=O:8>0D<8;;*3f7?6=,;8n6<k<;:a3c<7280;6=u+23g95`5<@;;?7E?94:)2a6<72-89i7?j3:9~f21=83;1<7>t$30f>4c43A8:86F>659(5`5=83.9>h4>e298yg1b290:6=4?{%01a?7b;2B9=95G1768/4c4290/>?k51d18?xd5<m0;6<4?:1y'67c=9l90D??;;I350>"6j?0::>5f1d194?"5:l0:i>54}c62>5<6290;w)<=e;3f7>N59=1C=;:4$0`5>4043`;n?7>5$30f>4c432wi?k4?:083>5}#:;o1=h=4H337?M71<2.:n;4>629j5`5=83.9>h4>e298yg5b290:6=4?{%01a?7b;2B9=95G1768 4d128<87d?j3;29 74b28o876sm3e83>4<729q/>?k51d18L7733A;=86*>b78226=n9l91<7*=2d82a6=<uk9h6=4>:183!45m3;n?6F=159K532<,8h=6<8<;h3f7?6=,;8n6<k<;:a7g<7280;6=u+23g95`5<@;;?7E?94:&2f3<6>:1b=h=50;&16`<6m:10qo=n:182>5<7s-89i7?j3:J151=O9?>0(<l9:040?l7b;3:1(?<j:0g0?>{e;00;6<4?:1y'67c=9l90D??;;I350>"6j?0::>5f1d194?"5:l0:i>54}c1;>5<6290;w)<=e;3f7>N59=1C=;:4$0`5>4043`;n?7>5$30f>4c432wi?:4?:083>5}#:;o1=h=4H337?M71<2.:n;4>629j5`5=83.9>h4>e298yg0a290:6=4?{%01a?7b;2B9=95G1768 4d128<87d?j3;29 74b28o876sm7083>4<729q/>?k51d18L7733A;=86*>b78226=n9l91<7*=2d82a6=<uk;oo7>51;294~"5:l0:i>5G2068L4033-;i:7?93:k2a6<72-89i7?j3:9~f77c290:6=4?{%01a?7b;2B9=95G1768 4d128<87d?j3;29 74b28o876sm20294?7=83:p(?<j:0g0?M46<2B::95+1c49535<a8o86=4+23g95`5<3th:n:4?:083>5}#:;o1=h=4H337?M71<2.:n;4>629j5`5=83.9>h4>e298yv2e2909w0:m:0fb?87a;3;o7p};a;296~;3i3;om63>f282f>{t<00;6?u24882`d=:9o91=l5rs5:94?4|5=21=io4=0d0>4?<uz><6=4={<64>4bf34;m?7<9;|q72?6=:r7?:7?ka:?2b6<5=2wx884?:3y>00<6lh16=k=5259~w12=838p19:51ec894`42;90q~:<:18182428nj70?i3;01?xu3:3:1>v3;2;3ge>;6n:09=6s|25g94?43s4;ni7;:;<3ff?32348>;7;:;<060?32348>:7;:;<061?32348>j7;:;<067?32348>>7;:;<06a?32348>h7;:;<06g?32348>n7;:;<06<?32348>m7;:;<06=?32348>=7;:;<064?32348?i7?ka:?:1?32343868;4=83910=:0o0>9637d;76?8>e2<?01l85549>e1<2=27j>7;:;<c3>03<50o198529b861>;>i3?>7077:4789=g==<16454:5:?2b6<2n2wx;=4?:7y>31<6=j16;=4>d`9>644=9<n01<l6:07e?843i3;>o63=4b821f=z{>81<78t=66950b<5>81=io4=331>43b34;i57?:c:?10d<6=o16>9m514d8yv7cl3:1?v3>de82`d=::881=8h4=0`:>4073ty9=h4?:7y>64c=9mk01??=:07`?87e13;>i63=4`821`=::=i1=8k4=36;>43e3ty9=>4?:2y>645=9mk01??=:043?843i3;>h6s|1cc94?5|58hj6<jn;<3a=?72l2798n4>5e9~w7022909w07<:64894`428:;7p}=6583>7}:180<:63>f28eb>{t:?91<7<t=9d933=:9o91jh5rs341>5<5s42o6:84=0d0>cb<uz8==7>52z?;f?1134;m?7hl;|q12c<72;q6m;486:?2b6<69k1v?8j:1818g32><01<h<:03b?xu5>m0;6?u2a3842>;6n:0:=45rs34`>5<5s4k;6:84=0d0>47?3ty9:o4?:3y>=`<0>27:j>4>169~w70f2909w07l:64894`428;=7p}=6883>7}:1h0<:63>f28250=z{;<36=4={<;;>20<58l86<?>;|q122<72;q64l486:?2b6<68?1v?8?:1818>?2><01<h<:g`8yv41>3:1>v365;55?87a;3;;=6s|1dg94?4|58on6<h>;<3e4?72j2wx=hl50;0x94ce28l:70?jd;36f>{t:<=1<7<t=374>4`634;m?7l>;|q113<72;q6>8851g3894`42k:0q~<:5;296~;5=<0:j<521g19ec=z{;??6=4={<060?7a927:j>4ne:p605=838p1?;<:0d2?87a;3ko7p}=5383>7}::<81=k?4=0d0>de<uz8>j7>52z?11c<6n816=k=5cc9~w73b2909w0<:e;3e5>;6n:0hm6s|24f94?4|5;?o6<h>;<3e7?e>3ty99n4?:3y>60e=9o;01<h<:b:8yv42j3:1>v3=5c82b4=:9o91o:5rs37b>5<5s48>m7?i1:?2b6<d>2wx>8750;0x973>28l:70?i3;a6?xu5=10;6?u224:95c7<58l86n?4}r065?6=:r799<4>f09>5c5=j?1v?;?:18184283;m=63>f28bf>{t?:0;6?u27582`d=:9o91=9j4}r025?6=ir7:ih49c:?157<6lh165849c:?:7?0d343:6;m4=9d92f=:0m0=o637b;4`?8g12?i01l:56b9~w4d?290jw0?jb;4`?87e13;om63n2;4`?8g72?i014k56b9>=f<1k272m78l;<;;>3e<51k1:n528985g>{t:==1<7;t=36;>4bf34;m?7<>c:?2b6<59h16=k=520;894`42;;37p}8c;297~X0k27287?m3:?4g?7b;2wx;o4?:2y]3g=:1;0:n>527c82a6=z{>k1<7=t^6c89<6=9k901:o51d18yv1>2908wS96;<:f>4d434=26<k<;|q4<?6=;rT<4637c;3a7>;003;n?6s|8683>6}Y0>16m:4>b29><2<6m:1v5850;1xZ=0<5h?1=o=4=9495`5<uz2>6=4<{_:6?8g428h8706::0g0?xu?<3:1?vP74:?b5?7e;27387?j3:p<6<72:qU4>529g82f6=:0:0:i>5rs9094?5|V18014j51c189=4=9l90q~6>:180[>6343i6<l<;<:2>4c43ty3<7>53z\;4>;>13;i?6370;3f7>{t?o0;6>uQ7g9>=2<6j:16;k4>e29~w21=839pR:94=9;95g5<5>=1=h=4}r5f>5<4sW=n7079:0`0?81b28o87p}>c583>1}::;k1=h?4=0gf>20<58oi6:84=0d0>4`23ty98k4?:46x974>28?270?i3;03?87a;3;m70?i3;3f?87a;3;370?i3;0g?87a;38i70?i3;0b?87a;38270?i3;15?87a;39>70?i3;17?87a;39870?i3;11?87a;39:70?i3;13?87a;38m70?i3;0f?87a;38370?i3;a7?87a;3i970?i3;a3?87a;3hm70?i3;`f?87a;3ho70?i3;``?87a;3hi70?i3;`b?87a;3h270?i3;`;?87a;3h<70?i3;`6?87a;3h?70?i3;`0?87a;3h970?i3;g5?87a;3o?70?i3;g1?87a;3o:70?i3;g3?87a;3nm70?i3;ff?87a;3no70?i3;f`?87a;3ni70?i3;fb?87a;3n270?i3;f4?87a;3n=70?i3;f6?87a;3n?70?i3;f0?87a;3n970?i3;f2?87a;3n;70?i3;ae?87a;3in70?i3;gg?87a;3oh70?i3;ga?87a;3oj70?i3;g:?87a;3o370?i3;g4?87a;3o870?i3;f;?87a;3io70?i3;d0?87a;3l:70?i3;d3?87a;3om70?i3;d:?87a;3l<70?i3;d5?87a;3l>70?i3;010>;6n:09>?521g19677<58l86?<?;<3e7?0334;m?7?;e:?2b6<6n?16=k=51gc894`428li7p}>eg83><}:9o:1=io4=84965g<50>1>=o4=80965g<50:1>=o4=9g965g<51i1>=o4=`5965g<5h?1>=o4}r3fg?6=1r7:ii4>d`9>e6<58h16m<4=0`9>=c<58h165i4=0`9>=g<58h16544=0`9>=2<58h16444=0`9~w72>2902w0<:7;75?842m3?=70<:2;75?842;3?=70<:f;75?842=3?=70<:6;75?842<3?=70<;a;3ge>{t:=h1<77t=37g>00<5;?;6884=372>00<5;?26884=37b>00<5;?36884=37a>00<5;?h6884=36`>4bf3ty98i4?:2y]61b<5;>n6<;m;<07`?7b;2wx8<4?:2y]04=:<k0:9o524082a6=z{:l1<7=t^2d891g=9<h01>h51d18yv5b2908wS=j;<6:>43e349n6<k<;|q0`?6=;rT8h63;8;36f>;4l3;n?6s|3b83>6}Y;j168:4>5c9>7f<6m:1v>l50;1xZ6d<5=<1=8l4=2`95`5<uz9j6=4<{_1b?82228?i70=n:0g0?xu413:1?vP<9:?70?72j27857?j3:p7=<72:qU?55242821g=:;10:i>5rs2594?5|V:=019<514`8961=9l90q~8i:180[0a34=;6<;m;<4e>4c43ty<=7>53z\45>;0:3;>n6381;3f7>{t9mi1<7=t^0f`?87cl3;>n63>db82a6=z{;;o6=4<{_02`>;59l0:9o5220f95`5<uz8:<7>53z\155=::891=8l4=333>4c43ty:n:4?:2y]5g1<58hj6<;m;<3a3?7b;2wx=nj50;0x97302><014851ec8yv7dm3:1>v3=57842>;><3;om6s|1bd94?4|5;?>6:84=8095ag<uz;o<7>52z?111<0>272<7?ka:p5a7=838p1?;<:6489=c=9mk0q~?k2;296~;5=;0<:637c;3ge>{t9m91<7<t=37e>20<5h=1=io4}r3g0?6=:r799h486:?b1?7ci2wx=n;50;0x973c2><01l=51ec8yv7d>3:1>v3=5b842>;f93;om6s|1b594?4|5;?i6:84=8d95ag<uz;h47>52z?11d<0>272h7?ka:p5f?=838p1?;6:6489<d=9mk0q~?la;296~;5=10<:6369;3ge>{t9jh1<7<t=372>20<50=1=io4}r3`g?6=:r799=486:?;=?7ci2wx584?:3y>=0<6n8165;4>5c9~w<5=838p14=51g389<2=9<h0q~7>:1818?628l:707=:07a?xu?n3:1>v37f;3e5>;>83;>n6s|8e83>7}:0m0:j<528d821g=z{1h1<7<t=9`95c7<51i1=8l4}rc5>5<5s4k=6<h>;<c4>43e3tyj87>52z?b0?7a927j97?:b:pe7<72;q6m?4>f09>e6<6=k1vl>50;0x9d6=9o;01l?514`8yv?b2909w07j:0d2?8?a28?i7p}6c;296~;>k3;m=636d;36f>{t1h0;6?u29`82b4=:1k0:9o5rs8:94?4|5021=k?4=8;950d<uz2j6=4={<:b>4`6343<6<;m;|q;<?6=:r7347?i1:?;=?72j2wvb>6?:182M46<2we?5?50;3xL7733td84?4?:0yK642<ug93?7>51zJ151=zf:2?6=4>{I020>{i;1?1<7?tH337?xh40?0;6<uG2068yk5??3:1=vF=159~j6>?290:wE<>4:m7=?=83;pD??;;|l0<d<72;qC><:4}o1;f?6=9rB9=95rn2:`>5<6sA8:86sa39f94?7|@;;?7p`<8d83>4}O:8>0qc=7f;295~N59=1vb>7?:182M46<2we?4?50;3xL7733td85?4?:0yK642<ug92?7>51zJ151=zf:3?6=4>{I020>{i;0?1<7?tH337?xh41?0;6<uG2068yk5>?3:1=vF=159~j6??290:wE<>4:m7<?=83;pD??;;|l0=d<728qC><:4}o1:f?6=9rB9=95rn2;`>5<6sA8:86sa38f94?7|@;;?7p`<9d83>4}O:8>0qc=6f;295~N59=1vb>o?:182M46<2we?l?50;3xL7733td8m?4?:0yK642<ug9j?7>51zJ151=zf:k?6=4>{I020>{i;h?1<7?tH337?xh4i?0;6<uG2068yk5f?3:1=vF=159~j6g?290:wE<>4:m7d?=83;pD??;;|l0ed<728qC><:4}o1bf?6=9rB9=95rn2c`>5<6sA8:86sa3`f94?7|@;;?7p`<ad83>4}O:8>0qc=nf;295~N59=1vb>l?:182M46<2we?o?50;3xL7733td8n?4?:0yK642<ug9i?7>51zJ151=zf:h?6=4>{I020>{i;k?1<7?tH337?xh4j?0;6<uG2068yk5e?3:1>vF=159J5`5=;r>1=7=5}|l0f=<72;qC><:4I0g0>6}328086psa3c;94?4|@;;?7D?j3;1x0?7=;3wvb>ln:181M46<2C:i>4<{582>6<zug9in7>52zJ151=N9l91?v:51;19yxh4jj0;6?uG2068M4c42:q?6<4<:|m7gb=838pD??;;H3f7?5|<3;1?7srn2`f>5<5sA8:86G>e2801<62:0vqc=mf;296~N59=1B=h=53z695?5=utd8o=4?:3yK642<A8o86>u;:080>x{i;j;1<7<tH337?L7b;39p87?53;~j6e52909wE<>4:K2a6<4s=0:6>4r}o1`7?6=:rB9=95F1d197~2=9391qp`<c583>7}O:8>0E<k<:2y7>4<42twe?n;50;0xL7733@;n?7=t4;397?{zf:i=6=4={I020>O6m:08w94>:28~yk5d?3:1=vF=159~j6e?290:wE<>4:m7f?=833pD??;;|l0gd<720qC><:4}o1`f?6=9rB9=95rn2a`>5<6sA8:86sa3bf94?4|@;;?7D?j3;3x5?{zf:in6=4>{I020>O6m:0:w<4r}o1`b?6=9rB9=95F1d195~7=utd8h=4?:0yK642<A8o86<u>:|m7a7=83;pD??;;H3f7?7|93wvb>j=:182M46<2C:i>4>{08~yk5c;3:1=vF=159J5`5=9r;1qp`<d583>4}O:8>0E<k<:0y2>x{i;m?1<7?tH337?L7b;3;p=7srn2f5>5<6sA8:86G>e2824<zug9o;7>51zJ151=N9l91=v?5}|l0`=<728qC><:4I0g0>4}62twe?i750;3xL7733@;n?7?t1;~j6bf290:wE<>4:K2a6<6s80vqc=kb;295~N59=1B=h=51z39yxh4lj0;6<uG2068M4c428q:6psa3ef94?7|@;;?7D?j3;3x5?{zf:nn6=4={I020>{i;ml1<7<tH337?xh4m90;6?uG2068yk5b93:1>vF=159~j6c52909wE<>4:m7`5=838pD??;;|l0a1<72;qC><:4}o1f1?6=:rB9=95rn2g5>5<5sA8:86sa3d594?4|@;;?7p`<e983>7}O:8>0qc=j9;296~N59=1vb>kn:181M46<2we?hl50;0xL7733td8in4?:3yK642<ug9nh7>52zJ151=zf:on6=4={I020>{i;ll1<7<tH337?xh4n90;6?uG2068yk5a93:1>vF=159~j6`52909wE<>4:m7c5=838pD??;;|l0b1<72;qC><:4}o1e1?6=:rB9=95rn2d5>5<5sA8:86sa3g594?4|@;;?7p`<f983>7}O:8>0qc=i9;296~N59=1vb>hn:181M46<2we?kl50;0xL7733td8jn4?:3yK642<ug9mh7>52zJ151=zf8:<6=4>{|~yEFDs==o6k6>97cb0xFGJr:vLM^t}AB No newline at end of file
@@ -0,0 +1,3
1 XILINX-XDB 0.1 STUB 0.1 ASCII
2 XILINX-XDM V1.6e
3 $30a4=712:;<=>?01274>6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123457738:1MIJ]_SCN8<<768<0==4FNQWW>DBCZVXJA1750?37?42=AGZ^X7OKDSC?56<768>0=94FNQWW>DBCZK6:?7>110922?BF\HUYM@2>5;2=52=6>3CECXZ5D@VB[WGJ48?1<3<41931?64=AGZ^X7JFA=12>586:2996D@_UU8GMG:493:5=>5<2;MVPUSS2MEJ0>?50?30?64=G\^[YY4KOC>05?699018>7jnt`]qehYf}qUdyy2<1;2=54=32@D[YY4KIQC?7?69981?6D@_UU8GMUD;;3:5==5;:HLSQQ<PMH686=0>0:69MKVR\3]NN1=50?31?1<H]]Z^X7J@P@>0>586:2>1CXZ_UU8GKUD;;3:5h68|ly;wub73<$937LJKR@>3:<=FLMXJ0<>19:CG@WG;98427LJKR@>26;d<IMNYM1?<:1<:?DBCZH6:?364AEFQE97902KOH_O32?:8EABUI59546OKDSC?0;><IMNYM1;18:CG@WG;>720MIJ]A=5=<>GCL[K74364AEFQE9?902KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L313<a?DBCZK6:?7>19:CG@WD;9:437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE41437LJKRC>::g=FLMXT^LC30?`8EABUW[KF0<0m;@FGVZTFE585n6OKDS]QEH:46k1JHI\PR@O?0;d<IMNYS_OB<4<a?DBCZVXJA181b:CG@WYUID6<2o5NDEP\VDK;07n0MIJ]_SCN8<<76k1JHI\PR@O?=;5<IEF?7L@K279BJA4E9=1IYKZ7;CWP[LHAGm1I`bzeSCN[lhs92I=o6M5\TqfvvZAzhdhe~m`\Em`qhci|zVM~l`liral[WIMTYxdoh}|ioeFjboimUZycgkltr^NahiuahUX^LCP17ia[s65TKfd}xk]AL]jjq(q`m80OH:4CMIB0>EKCK90OA\6;BMNILRSMM=0O_KNTDF;?FUX9Vhj~95LS^05?FUXi|r<7N]Patz25>Be3MK_MR\NM=2=74=CI]KT^LC30?]geqgXzhgTmxvPotvX4XYddbUfmd`PDGD\53Yvk2NJXLQ]AL>24;553MK_MR\NM=33:Zbf|hUym`Qnuy]lqq]68TUh`fQbahl\@C@X>V{h7IO[A^PBI9766:80HLZN_SCN8479WmkmR|nm^cv|Zir|R;:QRmck^obmkYCNOU>S|m4D@VB[WGJ4885??5KAUC\VDK;9;4Thlzn_scn[dsWfW<<R_bnh[hgnfVNMJR:Pqb9GEQGXZHG7=>0<2:FBPDYUID6:?3Qkauc\vdkXi|rTcxzT12_\gimXehceSIHI_2]rg>BF\HUYM@2>4?11?AGSIVXJA1?;>^fbpdYuidUjyuQ`uuY20XYddbUfmd`PDGD\6Zwb3MK_MR\NM=36>58d3MK_MR\NM=36:64<LH^JS_OB<07=[agsiVxjaRozx^mvp^72UViggRcnio]GBCY6Wxh0HLZN_SCN848492NJXLQ]AL>2:Zbf|hUym`Qnuy]lqq]6UViggRcnio]GBCY6=V{i7IO[A^PBI949;81OMYOPR@O?6;Yci}kT~lcPatz\kpr\:TUh`fQbahl\@C@X9=Uzn6JNT@]QEH:46:;0HLZN_SCN868Xlh~jSob_`w{[jssS:WToaePm`km[A@AW89T}o5KAUC\VDK;<79:7IO[A^PBI929WmkmR|nm^cv|Zir|R>VSnbd_lcjjZBANV;9S|l4D@VB[WGJ4<48=6JNT@]QEH:26VnjxlQ}al]bq}Yh}}Q>QRmck^obmkYCNOU:=Rm;ECWEZTFE5<5?<5KAUC\VDK;>7UomyoPr`o\ep~Xg|~P:PQllj]nelhXLOLT==Q~b:FBPDYUID6<2>>4D@VB[WGJ4>4Thlzn_scn[dsWfW:SPcmi\idoiWMLMS4Q~b:FBPDYUID632>>4D@VB[WGJ414Thlzn_scn[dsWfW5SPcmi\idoiWMLMS5Q~b:FBPDYUID622>>4D@VB[WGJ404Thlzn_scn[dsWfW4SPcmi\idoiWMLMS:Q~a:FBPDYUIDU;m6JNT@]QEHY6j2NJXLQ]AL]24g=CI]KT^LCP10`8@DRFW[KFS<<m;ECWEZTFEV;8n6JNT@]QEHY6<k1OMYOPR@O\50g<LH^JS_OB_3c8@DRFW[KFS>o4D@VB[WGJW=k0HLZN_SCN[0g<LH^JS_OB_7c8@DRFW[KFS:o4D@VB[WGJW1k0HLZN_SCN[<e<LH^JSZGKTI]Bg>BF\HU\EIZG_C48@LG;87=0HDO311<4?AOF48;5;6JFA=31:2=CAH6:?394DHC?51803MCJ0<;17:FJE9716>1OEL2>7?58@LG;914<7IGN<0;=2>BNI5;5;6JFA=03:2=CAH69=394DHC?67803MCJ0?=17:FJE9436>1OEL2=5?58@LG;:?4<7IGN<35=3>BNI5832:5KI@>1=;0<L@K7>394DHC?758>3MCJ0>?50?58@LG;;84=7IGN<2<5?AOF4=4=7IGN<4<5?AOF4?4=7IGN<6<5?AOF414=7IGN<8<5?AOE494<7IGM<02=3>BNJ5;:2:5KIC>26;1<L@H7=>08;EKA8429?2NBN1?:>69GMG:6>7=0HDL316<4?AOE4825;6JFB=3::3=CAK6:2:5KIC>14;1<L@H7><08;EKA8749?2NBN1<<>69GMG:5<7=0HDL324<4?AOE4;<5;6JFB=04:2=CAK694394DH@?6<813MCI0?08;EKA866912NBN1=>:1<4?AOE4:;5:6JFB=1=2>BNJ5>5:6JFB=7=2>BNJ5<5:6JFB=5=2>BNJ525:6JFB=;=3>BNXH6;2:5KIQC?5;1<L@ZJ0?06;EKSE95=87=0HD^N<2<4?AOWJ5:5;6JFPC>2:2=CAYH7>374DHRA86<76>1OE]L33?48@JG;87=0HBO311<4?AIF48;5;6J@A=31:2=CGH6:?394DNC?51803MEJ0<;17:FLE9716>1OCL2>7?58@JG;914<7IAN<0;=2>BHI5;5;6J@A=03:2=CGH69=394DNC?67803MEJ0?=17:FLE9436>1OCL2=5?58@JG;:?4<7IAN<35=3>BHI5832:5KO@>1=;0<LFK7>394DNC?758>3MEJ0>?50?58@JG;;84=7IAN<2<5?AIF4=4=7IAN<4<5?AIF4?4=7IAN<6<5?AIF414=7IAN<8<4?AIFW[OL:6J@B=2=3>BHJ5;;2:5KOC>25;1<LFH7=?08;EMA8459?2NDN1?;>69GKG:6=7=0HBL317<4?AIE48=5;6J@B=3;:2=CGK6:5384DN@?5;1<LFH7>=08;EMA8779?2NDN1<=>69GKG:5;7=0HBL325<4?AIE4;?5;6J@B=05:2=CGK69;394DN@?6=803MEI0?716:FLF949?2NDN1=?>89GKG:493:5;6J@B=12:3=CGK682;5KOC>7:3=CGK6>2;5KOC>5:3=CGK6<2;5KOC>;:3=CGK622:5KOC]QAB1<LFZJ0=08;EMSE979?2ND\L2=>89GKUG;;3:5;6J@P@>0:2=CGYH7<394DNRA84803ME[N1<19:FLTG:4294<7IA_B=1=7>CII:1NBOl4EO]QWQTFEVKi7H@PRRVQEHYE;2LOO>5IDD38C6=@FM;0E?5F039J57=N:;1B??5F459JJLR?3@DBX]Q?099JJLRWW9;37D@FTQ]36==NF@^[S==7;HLJPUY7<11BBDZ__17;?LHN\YU;:55FNHVS[5103@DBXR>?7:KMMQY79>1BBDZP0358MKOSW99<7D@FT^273>OIA]U;9:5FNHV\431<AGC_S=98;HLJPZ6??2CEEYQ?969JJLRX8H=0ECG[_1@4?LHN\V:H;6GAIU]3@2=NF@^T<H94IOKW[5@03@DBXR??7:KMMQY69>1BBDZP1358MKOSW89<7D@FT^373>OIA]U:9:5FNHV\531<AGC_S<98;HLJPZ7??2CEEYQ>969JJLRX9H=0ECG[_0@4?LHN\V;H;6GAIU]2@2=NF@^T=H94IOKW[4@03@DBXR<?7:KMMQY59>1BBDZP2358MKOSW;9<7D@FT^073>OIA]U99:5FNHV\631<AGC_S?98;HLJPZ4??2CEEYQ=969JJLRX:H=0ECG[_3@4?LHN\V8H;6GAIU]1@2=NF@^T>H94IOKW[7@03@DBXR=?7:KMMQY49>1BBDZP3358MKOSW:9<7D@FT^173>OIA]U89:5FNHV\731<AGC_S>98;HLJPZ5??2CEEYQ<969JJLRX;H=0ECG[_2@4?LHN\V9H;6GAIU]0@2=NF@^T?H94IOKW[6@13@DBXRO9;HLJPZD>3@DBXRHFLD18MKP13EHTnl|>5:NA[gguWJYTmxvPOS]63Zi6>2FISoo}_BQ\ep~XG[U>;Ra>7:NLCLEFD;1E<95AOOG:?KIXKAKEKH?4O79LAZdfz:1D^?;4OS0A50=HZ<H9=6^l;Q,14456889T@95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN=55_WS]27ZiXkeaTalga_EDE[176k2Z\^R?<_n]`hnYji`dTHKHP40]jjs7c3Y]YS<=Po^aooZkfagUOJKQ;1^kmr4703Y]YS8Q`_bnh[hgnfVNMJR=<1c9SSWY2WfUh`fQbahl\@C@X;:Ubb{?l;QUQ[0YhWjf`S`ofn^FEBZ54W`d}=<5^a:PBIG71J^OYj6\NMC35FRCUW`dxx;5]EFAFE3=UMNINN95]SUC7?WUSJ>1Y_YZVPDg8VVRXX[CD^DZV_@g8VVRXX[CD^DZV_C18VQJe3ZCLNX]PIODLe>UNOVH^_DJWb:QJCZDR[GKFI<=4SHO\GJJKAZCDBRMGEBIb?VOJWMO]ENKi;RMVVFCXN@FNBLCJd:QLQWEBWECEICL7;RRBVQGI>>1X^[OC_@58WWPFDVH?7^WAC09W0>RU]L>0XT^J6:WA[ggu9<1^NRlnr^AP[dsWFXT9=Q`179VFZdfzVIXSl{w_NP\15Yh98?0YOQmas]OFZdfzVKEHR?Po048QGYei{UGNRlnr^CM@Z7Xg890ZNM6;WKFSZR^XL<0[HO30?48S@G;97<0[HO32?:8S@G;;3:5:6YJA=1=3>QBIVhj~;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA868d3^XBXHQBOEG\Ef=PZ@^NS@AKE^@2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK5?]USD@H<7U][_FLG5`=_[]UBA]BJMDLWEQOHFVIDB^Z]AHLWW46<PZ^T^YBPPSMSAWR^?2RXXRXLC79[`gYNl8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`<;Yqw=>^t|NGdhhb9;^l351703Vd;=9?>6:]m4424?2Ue<<:<179\j573<>1Tb=?;4048[k66<?=0Sc>>4735?Zh79==<7R`?15522>Yi88>3;6Qa006;53=Xf9;?5:5Pn137=4g<Wg::84Qfnw`8[k66<0Ubb{?9;^l365103Vd;>=9>6:]m476??2Ue<?>7179\j5468>1Tb=<>0048[k6598=0Sc>=1035?Zh7:88<7R`?20022>Yi8;;8;6Qa03305d=Xf98:?Rgavc9\j546;Vcez<64cmi\idoi9;1omyoPr`o\ep~Xag6;2<=4d`vb[wgjWhsSd`311<27>bf|hUym`Qnuy]jj9766890hlzn_scn[dsW`d7=?0>3:fbpdYuidUjyuQfn=30:45<lh~jSob_`w{[lh;9=4:?6jnt`]qehYf}qUbb1?:>008`drfW{kfSl{w_hl?5;753mkmR|nm^cv|Zoi4;4:56jnt`]qehYf}qUbb1=>:04=8486:2njxlQ}al]bq}Ynf595=?5kauc\vdkXi|rTec2;>008`drfW{kfSl{w_hl?1;753mkmR|nm^cv|Zoi4?4:>6jnt`]qehYf}qUbb191139geqgXzhgTmxvPio>;:44<lh~jSob_`w{[lh;17;:7io{a^pbiZgrpVceS=?>;ecweZtfeVk~tRga_031?agsiVxjaRozx^km[466:2njxlQ}al]bq}YnfV;:=?5kauc\vdkXi|rTecQ>2008`drfW{kfSl{w_hl\56753mkmR|nm^cv|ZoiW8>:>6jnt`]qehYf}qUbbR?:109geqgXzhgTmxvPio]154=ci}kT~lcPatz\mkY4981omyoPr`o\ep~XagU?=<5kauc\vdkXi|rTecQ:109geqgXzhgTmxvPio]554=ci}kT~lcPatz\mkY0981omyoPr`o\ep~XagU3=<5kauc\vdkXi|rTecQ6129geqgXzhgTmxvPotv?4;733mkmR|nm^cv|Zir|5;;2<:4d`vb[wgjWhsSb{{<03=51=ci}kT~lcPatz\kpr;9;4:86jnt`]qehYf}qUdyy2>3?37?agsiVxjaRozx^mvp97368>0hlzn_scn[dsWf0<;1129geqgXzhgTmxvPotv?5;743mkmR|nm^cv|Zir|585=;5kauc\vdkXi|rTcxz33083:45<lh~jSob_`w{[jss4:4:?6jnt`]qehYf}qUdyy2;>018`drfW{kfSl{w_nww8086;2njxlQ}al]bq}Yh}}6=2<=4d`vb[wgjWhsSb{{<6<27>bf|hUym`Qnuy]lqq:?6890hlzn_scn[dsWf040=5:fbpdYuidUjyuQ`uuY3YZekcVgjecQKFG]22Zw5=2njxlQ}al]bq}Yh}}Q:<PQllj]nelhXLOLT:R=5:fbpdYuidUjyuQ`uuY25XYddbUfmd`PDGD\1Zw5=2njxlQ}al]bq}Yh}}Q:>PQllj]nelhXLOLT8R=5:fbpdYuidUjyuQ`uuY27XYddbUfmd`PDGD\7Zw5=2njxlQ}al]bq}Yh}}Q:8PQllj]nelhXLOLT>R=5:fbpdYuidUjyuQ`uuY21XYddbUfmd`PDGD\5Zw5=2njxlQ}al]bq}Yh}}Q:QRmck^obmkYCNOU:9R=5:fbpdYuidUjyuQ`uuY1YZekcVgjecQKFG]20Zw5=2njxlQ}al]bq}Yh}}Q8QRmck^obmkYCNOU:?R=5:fbpdYuidUjyuQ`uuY7YZekcVgjecQKFG]26Zw5=2njxlQ}al]bq}Yh}}Q>QRmck^obmkYCNOU:=R=5:fbpdYuidUjyuQ`uuY5YZekcVgjecQKFG]24Zw5<2njxlQ}al]bq}Yh}}Q<QRmck^obmkYCNOU2S|<;;ecweZtfeVk~tRaztZ:^[fjlWdkbbRJIF^:\u72<lh~jSob_`w{[jssS0WToaePm`km[A@AW>Uz==5wc7qo|<+4%}{l=9:r@Ar1`1=GHq;h47H52;3xW1e=9j=1=5951214fdc=:>ln=v`>c482?k7d>3<0(<m;:0`f?xU3j3;h;7?77;3072dfm38<jh?4d5694?7=9rY?o7?l7;3;3?74;>hji7<8fd38rC7>j3:1=7?50zQ7g?7d?3;3;7?<36`ba?40nl;0(<l?:05g?!7?03;>7o:;:1815?2f2?kp@<m>:0y'5=>=:;k0qA?l2;0x 4g62=<0b<o=:328y!7f13>?7W:<:3y7>=<zR83h6>u<:d84>xo4m3:1(<6n:2f8j4>>2910e>m50;&2<d<4l2d:444>;:k0f?6=,82j6>j4n0::>7=<a:k1<7*>8`80`>h6000876g<9;29 4>f2:n0b<66:598m6>=83.:4l4<d:l2<<<232c8;7>5$0:b>6b<f8226;54i2494?"60h08h6`>8884?>o4=3:1(<6n:2f8j4>>2110e>:50;&2<d<4l2d:4446;:k2a2<722c?h7>5;h6f>5<<a8296=44i0`5>5<<a8kj6=44i05b>5<<#<l1<7*>8`86a>h6000;76%:c;29 4>f2<o0b<66:098/0g=83.:4l4:e:l2<<<532!>47>5$0:b>0c<f8226>54+4494?"60h0>i6`>8887?>-2<3:1(<6n:4g8j4>>2<10':?50;&2<d<2m2d:4449;:)5b?6=,82j68k4n0::>2=<#?n1<7*>8`86a>h6000376%9b;29 4>f2<o0b<66:898/3?=83.:4l4:e:l2<<<f32!=;7>5$0:b>0c<f8226o54+7794?"60h0>i6`>888`?>-1;3:1(<6n:4g8j4>>2m10';?50;&2<d<2m2d:444j;:)66?6=,82j68k4n0::>c=<j8i86=4>:183!7f13;jj6F>bg9l5=0=831vn<m?:182>5<7s-;j57?=6:J2fc=h9;?1<75rb6;94?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;8;|k71?6=3`>j6=44i4394?=h90;1<75rb6:94?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;8;|k71?6=3`>j6=44i4394?=h90;1<75rb6594?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;8;|k71?6=3`>j6=44i4394?=h90;1<75rb9094?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;8;|k71?6=3`>j6=44i4394?=h90;1<75rb6494?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;8;|k71?6=3`>j6=44i4394?=h90;1<75rb6794?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;8;|k71?6=3`>j6=44i4394?=h90;1<75rb6d94?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;i;|k71?6=3`>j6=44i4394?=h90;1<75rb9394?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;8;|k71?6=3`>j6=44i4394?=h90;1<75rb9294?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;i;|k71?6=3`>j6=44i4394?=h90;1<75rb6a94?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;i;|k71?6=3`>j6=44i4394?=h90;1<75rb6g94?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;i;|k71?6=3`>j6=44i4394?=h90;1<75rb6f94?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;i;|k71?6=3`>j6=44i4394?=h90;1<75rb6`94?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;i;|k71?6=3`>j6=44i4394?=h90;1<75rb6694?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;i;|k71?6=3`>j6=44i4394?=h90;1<75rb6194?2=83:p(<o6:000?M7en2F:o?4={%3b5?213g;j>7;i;|k71?6=3`>j6=44i4394?=h90;1<75rb0:f>5<3290;w)?n9;317>N6jo1G=n<52z&2e4<3>2d:m?4:7:j00<722c?m7>5;h72>5<<g83:6=44}c3;f?6=<3:1<v*>a88266=O9kl0@<m=:3y'5d7=<?1e=l<55g9~m13=831b8l4?::k65?6=3f;2=7>5;|`4e?6=<3:1<v*>a88266=O9kl0@<m=:3y'5d7=<?1e=l<5569~m13=831b8l4?::k65?6=3f;2=7>5;|`6`?6=;3:1<v*>a8876>N6jo1b=?950;9j5d1=831d=5?50;9~f01=8391<7>t$0c:>14<@8hm7d?=7;29?l7f?3:17b?71;29?xd2j3:1?7>50z&2e<<3:2B:nk5f13594?=n9h=1<75`19394?=zj<31<7=50;2x 4g>2=80D<li;h313?6=3`;j;7>5;n3;5?6=3th<<7>53;294~"6i00?>6F>bg9j571=831b=l950;9l5=7=831vn8;50;194?6|,8k269<4H0`e?l75?3:17d?n7;29?j7?93:17pl82;297?6=8r.:m44;2:J2fc=n9;=1<75f1`594?=h91;1<75rb7c94?5=83:p(<o6:508L4da3`;9;7>5;h3b3?6=3f;3=7>5;|`5a?6=;3:1<v*>a8876>N6jo1b=?950;9j5d1=831d=5?50;9~f3e=8391<7>t$0c:>14<@8hm7d?=7;29?l7f?3:17b?71;29?xd1<3:1?7>50z&2e<<3:2B:nk5f13594?=n9h=1<75`19394?=zj?21<7=50;2x 4g>2=80D<li;h313?6=3`;j;7>5;n3;5?6=3th=:7>53;294~"6i00?>6F>bg9j571=831b=l950;9l5=7=831vn;>50;194?6|,8k269<4H0`e?l75?3:17d?n7;29?j7?93:17pl92;297?6=8r.:m44;2:J2fc=n9;=1<75f1`594?=h91;1<75rb4194?5=83:p(<o6:508L4da3`;9;7>5;h3b3?6=3f;3=7>5;|`145<72=0;6=u+1`;9572<@8hm7A?l1;3x 44c291v@<m=:0y'5d7=<?1ve9;50;9j02<722c>=7>5;n3:5?6=3th:ji4?:583>5}#9h31=?:4H0`e?I7d93;p(<<k:19~H4e528q/=l?5479~m13=831b8:4?::k65?6=3f;2=7>5;|`2bc<72=0;6=u+1`;9572<@8hm7A?l1;3x 44c291v@<m=:0y'5d7=<?1ve9;50;9j02<722c>=7>5;n3:5?6=3th:jh4?:583>5}#9h31=?:4H0`e?I7d93;p(<<k:19~H4e528q/=l?5479~m13=831b8:4?::k65?6=3f;2=7>5;|`14=<72=0;6=u+1`;9572<@8hm7A?l1;3x 44c291v@<m=:0y'5d7=<?1ve9;50;9j02<722c>=7>5;n3:5?6=3th:jn4?:583>5}#9h31=?:4H0`e?I7d93;p(<<k:19~H4e528q/=l?5479~m13=831b8:4?::k65?6=3f;2=7>5;|`2bg<72=0;6=u+1`;9572<@8hm7A?l1;3x 44c291v@<m=:0y'5d7=<?1ve9;50;9j02<722c>=7>5;n3:5?6=3th9<:4?:583>5}#9h31=?:4H0`e?I7d93;p(<<k:19~H4e528q/=l?5479~m13=831b8:4?::k65?6=3f;2=7>5;|`143<72=0;6=u+1`;9572<@8hm7A?l1;3x 44c291v@<m=:0y'5d7=<?1ve9;50;9j02<722c>=7>5;n3:5?6=3th9<84?:583>5}#9h31=?:4H0`e?I7d93;p(<<k:19~H4e528q/=l?5479~m13=831b8:4?::k65?6=3f;2=7>5;|`141<72=0;6=u+1`;9572<@8hm7A?l1;3x 44c291v@<m=:0y'5d7=<?1ve9;50;9j02<722c>=7>5;n3:5?6=3th9<<4?:583>5}#9h31=?:4H0`e?I7d93;p(<<k:19~H4e528q/=l?5479~m13=831b8:4?::k65?6=3f;2=7>5;|`146<72=0;6=u+1`;9572<@8hm7A?l1;3x 44c291v@<m=:0y'5d7=<?1ve9;50;9j02<722c>=7>5;n3:5?6=3th9<?4?:583>5}#9h31=?:4H0`e?I7d93;p(<<k:19~H4e528q/=l?5479~m13=831b8:4?::k65?6=3f;2=7>5;|`2bd<72=0;6=u+1`;9572<@8hm7A?l1;3x 44c291v@<m=:0y'5d7=<?1ve9;50;9j02<722c>=7>5;n3:5?6=3th:j44?:583>5}#9h31=?:4H0`e?I7d93;p(<<k:19~H4e528q/=l?5479~m13=831b8:4?::k65?6=3f;2=7>5;|`2=6<72ki1<7>tL0a2>0g|,19156*74;;8 c4=82.:=94?;%315?7f02.:>?4>a99'57c=:2.:>k4=;%304?4<,89:6?5+12096>"6;:097)?<4;08 4522;1/=>852:&272<53-;847<4$01:>7=#9:k1>6*>3c81?!74k380(<=k:39'56c=:2.:?k4=;%374?4<,8>:6?5+15096>"6<:097)?;4;08 4222;1/=9852:&202<53-;?47<4$06:>7=#9=k1>6*>4c81?!73k380(<:k:39'51c=:2.:8k4=;%364?4<,8?:6?5+14096>"6=:097)?:4;08 4322;1/=8852:&212<53-;>47<4$07:>7=#9<k1>6*>5c81?!72k380(<;k:39'50c=:2.:9k4=;%354?4<,8<:6?5+17096>"6>:097)?94;08 4022;1/=;852:&222<53-;=47<4$04:>7=#9?k1>6*>6c81?!71k380(<8k:39'53c=:2.::k4=;%344?4<,8=:6?5+16096>"6?:097)?84;08 4122;1/=:851:&232<63-;<47?8f:&2==<6i?1/=475469'5<g=<>1/=4h53g9'5d6=9h90(<o;:09'5d3=92.:nn4>819'5gb=91:0qA?l2;0x 4g62=<0b<o=:968y!7f13;2>6T;3;ax1?0=n3;86<>515825?e=j3n1=?4n:|k2f?6=,82j6<o4n0::>5=<a831<7*>8`82e>h6000:76g>8;29 4>f28k0b<66:398m41=83.:4l4>a:l2<<<432c987>5$0:b>4g<f8226954i3194?"60h0:m6`>8886?>o5:3:1(<6n:0c8j4>>2?10e??50;&2<d<6i2d:4448;:k14?6=,82j6<o4n0::>==<a8l1<7*>8`82e>h6000276g>e;29 4>f28k0b<66:`98m4b=83.:4l4>a:l2<<<e32c:o7>5$0:b>4g<f8226n54i0494?"60h0:m6`>888g?>o5i3:1(<6n:3;8j4>>2910e?650;&2<d<512d:444>;:k13?6=,82j6?74n0::>7=<a;<1<7*>8`81=>h6000876g<3;29 4>f2;30b<66:598m64=83.:4l4=9:l2<<<232c8=7>5$0:b>7?<f8226;54i2294?"60h0956`>8884?>o5n3:1(<6n:3;8j4>>2110e?k50;&2<d<512d:4446;:k1`?6=,82j6?74n0::>d=<a;i1<7*>8`81=>h6000i76g=b;29 4>f2;30b<66:b98m73=83.:4l4=9:l2<<<c32c2i7>5$0:b><b<f8226=54i8a94?"60h02h6`>8882?>o>i3:1(<6n:8f8j4>>2;10e4750;&2<d<>l2d:444<;:k:<?6=,82j64j4n0::>1=<a0=1<7*>8`8:`>h6000>76g66;29 4>f20n0b<66:798m<3=83.:4l46d:l2<<<032c287>5$0:b><b<f8226554i8194?"60h02h6`>888:?>o>:3:1(<6n:8f8j4>>2h10e4?50;&2<d<>l2d:444m;:k;b?6=,82j64j4n0::>f=<a1o1<7*>8`8:`>h6000o76g7d;29 4>f20n0b<66:d98m=e=83.:4l46d:l2<<<a32c3n7>5$0:b><b<f8226<>4;h:b>5<#91k15i5a19;954=<a131<7*>8`8:`>h6000:>65f8983>!7?i33o7c?79;30?>o??3:1(<6n:8f8j4>>28>07d69:18'5=g=1m1e=5751498md3=83.:4l46d:l2<<<6>21bm94?:%3;e??c3g;357?8;:kb7?6=,82j64j4n0::>4><3`k96=4+19c9=a=i9131=454i`394?"60h02h6`>8882e>=ni90;6)?7a;;g?k7?13;i76g6f;29 4>f20n0b<66:0a8?l?e290/=5o59e9m5=?=9m10e4>50;&2<d<>l2d:444>e:9j<0<72-;3m77k;o3;=?7a32cij7>5$0:b>gc<f8226=54icf94?"60h0ii6`>8882?>oej3:1(<6n:cg8j4>>2;10eoo50;&2<d<em2d:444<;:ka=?6=,82j6ok4n0::>1=<ak21<7*>8`8aa>h6000>76gm7;29 4>f2ko0b<66:798mg0=83.:4l4me:l2<<<032ci97>5$0:b>gc<f8226554ic694?"60h0ii6`>888:?>oe;3:1(<6n:cg8j4>>2h10eo<50;&2<d<em2d:444m;:ka4?6=,82j6ok4n0::>f=<ahl1<7*>8`8aa>h6000o76gne;29 4>f2ko0b<66:d98mdb=83.:4l4me:l2<<<a32cjo7>5$0:b>gc<f8226<>4;hca>5<#91k1nh5a19;954=<ahk1<7*>8`8aa>h6000:>65fa883>!7?i3hn7c?79;30?>of03:1(<6n:cg8j4>>28>07do8:18'5=g=jl1e=5751498mf0=83.:4l4me:l2<<<6>21bo84?:%3;e?db3g;357?8;:k`0?6=,82j6ok4n0::>4><3`i86=4+19c9f`=i9131=454ib094?"60h0ii6`>8882e>=nk80;6)?7a;`f?k7?13;i76gl0;29 4>f2ko0b<66:0a8?ldd290/=5o5bd9m5=?=9m10eo?50;&2<d<em2d:444>e:9je3<72-;3m7lj;o3;=?7a32chn7>5$0:b>fg<f8226=54ib;94?"60h0hm6`>8882?>od03:1(<6n:bc8j4>>2;10en950;&2<d<di2d:444<;:kg4?6=,82j6nh4n0::>5=<ajo1<7*>8`8`b>h6000:76gld;29 4>f2jl0b<66:398mfe=83.:4l4lf:l2<<<432c:n84?:%3;e?7e<2d:444?;:k2f6<72-;3m7?m4:l2<<<632c:n?4?:%3;e?7e<2d:444=;:k2f4<72-;3m7?m4:l2<<<432c:no4?:%3;e?7ei2d:444?;:k2f<<72-;3m7?ma:l2<<<632c:n54?:%3;e?7ei2d:444=;:k2f2<72-;3m7?ma:l2<<<432c?47>5;h6:>5<<a8;m6=44i003>5<<a83?6=44i0;6>5<<a83=6=44i0;4>5<<glk1<7*>8`8f=>h6000;76aj8;29 4>f2l30b<66:098k`0=83.:4l4j9:l2<<<532en97>5$0:b>`?<f8226>54od694?"60h0n56`>8887?>ib;3:1(<6n:d;8j4>>2<10ch<50;&2<d<b12d:4449;:mf5?6=,82j6h74n0::>2=<gl:1<7*>8`8f=>h6000376akf;29 4>f2l30b<66:898kac=83.:4l4j9:l2<<<f32eoh7>5$0:b>`?<f8226o54oe`94?"60h0n56`>888`?>ici3:1(<6n:d;8j4>>2m10ci750;&2<d<b12d:444j;:mg<?6=,82j6h74n0::>c=<gm=1<7*>8`8f=>h6000:<65`d783>!7?i3o27c?79;32?>ic=3:1(<6n:d;8j4>>28807bj;:18'5=g=m01e=5751298ka5=83.:4l4j9:l2<<<6<21dh?4?:%3;e?c>3g;357?:;:me5?6=,82j6h74n0::>40<3fl;6=4+19c9a<=i9131=:54odd94?"60h0n56`>8882<>=hml0;6)?7a;g:?k7?13;276ajd;29 4>f2l30b<66:0c8?jcd290/=5o5e89m5=?=9k10chl50;&2<d<b12d:444>c:9la2<72-;3m7k6;o3;=?7c32eoo7>5$0:b>`?<f8226<k4;nf2>5<#91k1i45a19;95c=<g8:h6=4+19c955d<f8226=54o02b>5<#91k1==l4n0::>4=<g8:36=4+19c955d<f8226?54o024>5<#91k1==l4n0::>6=<g8:=6=4+19c955d<f8226954o026>5<#91k1==l4n0::>0=<g8:?6=4+19c955d<f8226;54o020>5<#91k1==l4n0::>2=<g8:96=4+19c955d<f8226554o022>5<#91k1==l4n0::><=<g8:;6=4+19c955d<f8226l54ogd94?"60h0:<o5a19;9f>=hnm0;6)?7a;33f>h6000h76aic;29 4>f28:i7c?79;f8?j`e290/=5o511`8j4>>2l10cko50;&2<d<68k1e=575f:9lb<<72-;3m7??b:l2<<<6821dj54?:%3;e?77j2d:444>1:9lb2<72-;3m7??b:l2<<<6:21dj;4?:%3;e?77j2d:444>3:9lb0<72-;3m7??b:l2<<<6<21dj94?:%3;e?77j2d:444>5:9l545=83.:4l4>0c9m5=?=9?10c<?=:18'5=g=99h0b<66:058?j7693:1(<6n:02a?k7?13;376a>1183>!7?i3;;n6`>8882=>=h99l1<7*>8`824g=i9131=l54o02f>5<#91k1==l4n0::>4d<3f;;h7>5$0:b>46e3g;357?l;:m24<<72-;3m7??b:l2<<<6l21djh4?:%3;e?77j2d:444>e:9lb6<72-;3m7??b:l2<<<6n21d=<750;&2<d<6911e=5750:9l541=83.:4l4>199m5=?=921d=<850;&2<d<6911e=5752:9l543=83.:4l4>199m5=?=;21d=<k50;&2<d<69m1e=5750:9l54e=83.:4l4>1e9m5=?=921d=<l50;&2<d<69m1e=5752:9l54g=83.:4l4>1e9m5=?=;21vn8>50;194?6|,8k269?4H0`e?l7503:17d?=9;29?j7?93:17pl>c`83>7<729q/=l7516;8L4da3`;9;7>5;n3;5?6=3th:oh4?:283>5}#9h31=5=4H0`e?l7503:17d?=9;29?j7?93:17pl>db83>6<729q/=l751918L4da3`;947>5;h31=?6=3f;3=7>5;|`2e`<72:0;6=u+1`;905=O9kl0e<<7:188m44>2900c<6>:188yg7dk3:1?7>50z&2e<<392B:nk5f13:94?=n9;31<75`19394?=zj8nj6=4<:183!7f13>:7E?mf:k26=<722c:>44?::m2<4<722wi=i:50;194?6|,8k269>4H0`e?l7503:17d?=9;29?j7?93:17pl>e383>6<729q/=l75419K5g`<a8836=44i00:>5<<g82:6=44}c3g4?6=;3:1<v*>a8875>N6jo1b=?650;9j57?=831d=5?50;9~f4bb29086=4?{%3b=?263A;ij6g>2983>>o6:00;66a>8083>>{e9m81<7=50;2x 4g>28287E?mf:k26=<722c:>44?::m2<4<722wi=h>50;194?6|,8k26<6<;I3ab>o6:10;66g>2883>>i6080;66sm1e494?5=83:p(<o6:0:7?M7en2c:>54?::k26<<722e:4<4?::a5`2=8391<7>t$0c:>4>33A;ij6g>2983>>o6:00;66a>8083>>{e90:1<7<50;2x 4g>28=27E?mf:k262<722e:4<4?::a5=b=8381<7>t$0c:>41>3A;ij6g>2683>>i6080;66sm1e:94?4=83:p(<o6:05:?M7en2c:>:4?::m2<4<722wi=h850;094?6|,8k26<96;I3ab>o6:>0;66a>8083>>{e9hi1<7850;2x 4g>282>7E?mf:k26=<722c:>44?::k26d<722c:>o4?::k26f<722e:4<4?::a52e=83<1<7>t$0c:>4>23A;ij6g>2983>>o6:00;66g>2`83>>o6:k0;66g>2b83>>i6080;66s|3d83>7}Y;l16=4=51c9O5f7=9r.:>i4?;|&2=`<4l2d:5i4?;|q0g?6=:rT8o63>9282=>J6k80:w)?=d;28y!7>m39o7c?6d;38yv5e2909wS=m;<3:7?7?3E;h=7?t$00g>5=z,83n6>j4n0;g>7=z{:k1<7<t^2c894?428=0@<m>:0y'57b=82w/=4k53e9m5<b=;2wx?44?:3y]7<=:9091>95C1b395~"6:m0;7p*>9d80`>h61m0?7p}<8;296~X4027:5>4=3:N2g4<6s-;9h7>4}%3:a?5c3g;2h7;4}r14>5<5sW9<70?63;01?I7d93;p(<<k:19~ 4?b2:n0b<7k:79~w60=838pR>84=0;0>77<D8i:6<u+13f94>{#90o1?i5a18f93>{t;<0;6?uQ349>5<5=:91G=n?51z&26a<73t.:5h4<d:l2=a<?3ty887>52z\00>;61:0:j6B>c082!75l3:0q)?6e;1g?k7>l330q~?j7;2961}Y9l=01:75449>3=<3=27<;7::;<:1>13<5><1885274871>;0n3>>706>:5789=6=<<16;n4;5:?4a?2234=o69;4=6`900=:?=0?96383;66?87?m3>>70?7b;66?81f2=?01?>?:57894`c2=?01<hi:57894`b2=?01?>7:57894`d2=?01<hm:57897602=?01?>9:57897622=?01?>;:57897662=?01?><:57897652=?01<hn:57894`>2=?01<7<:5:8yv2c2909wS:k;<73>44?3ty?i7>52z\7a>;283;956s|19094?5|V82970?nc;31e>;6?j0:>o5rs0`5>5<?sW;i:63>c`8262=:9jo1=?74=0f`>44>34;ho7?=8:?2`d<6:116=lm513:8941d28837p}>a`83>1}Y9hk01<oj:00;?87dk3;9563>ab826g=z{8=j6=4;{_34e>;6il0:>4521ec957?<58=h6<<n;|q14`<72;q6;44:1:?2=6<c>2.:5h4=139m5<b=981v?>k:18181?2<;01<7<:e78 4?b2;;97c?6d;31?xu58j0;6?u276865>;61:0o86*>9d8157=i90n1=>5rs32a>5<5s4==68?4=0;0>a5<,83n6??=;o3:`?733ty9<l4?:3y>30<2927:5>4k2:&2=`<59;1e=4j5149~w77>2909w06=:43894?42o;0(<7j:331?k7>l3;=7p}=1983>7}:080>=63>928e4>"61l09=?5a18f952=z{;;<6=4={<:3>07<58386hh4$0;f>7753g;2h7?7;|q153<72;q6;k4:1:?2=6<bm2.:5h4=139m5<b=901v??::18181b2<;01<7<:df8 4?b2;;97c?6d;3b?xu59=0;6?u27e865>;61:0no6*>9d8157=i90n1=o5rs330>5<5s4=h68?4=0;0>`d<,83n6??=;o3:`?7d3ty9=<4?:3y>3g<2927:5>4j7:&2=`<59;1e=4j51e9~w7772909w09;:43894?42mi0(<7j:331?k7>l3;n7p}=0883>7}:?:0>=63>928g5>"61l09=?5a18f95c=z{;:m6=4={<5b>07<58386i94$0;f>7753g;2h7??;|q15c<72;q6;44>909>1a<6:>1v??j:18181?283:70;m:004?xu59m0;6?u27682=4=:=00:>:5rs33`>5<5s4==6<7>;<74>4403ty9=o4?:3y>30<61816984>269~w74>2909w06=:0;2?815288<7p}=2983>7}:080:5<52718262=z{;8<6=4={<:3>4?634<n6<<8;|q163<72;q6;k4>909>2f<6:>1v?<::18181b283:708n:004?xu5:=0;6?u27e82=4=:>10:>:5rs300>5<5s4=h6<7>;<45>4403ty9>?4?:3y>3g<61816:94>269~w7462909w09;:0;2?805288<7p}=1`83>7}:?:0:5<52528262=z{82n6=4={<3;a?7>927:5=4>269~w4>e2909w0?7b;3:5>;60m0:>:5rs303>5<5s4=j6<7>;<43>4403ty:ih4?:3y>656=90;01<7<:9`8yv7bl3:1>v3>fg82=4=:90914l5rs0g`>5<5s4;mi7?61:?2=6<?12wx=hl50;0x94`c283:70?63;:;?xu6mh0;6?u21ga95<7<58386594}r3f=?6=:r7:jo4>909>5<5=0?1v<h7:18184703;2=63>928b1>{t9o=1<7<t=324>4?634;2?7o;;|q2b3<72;q6>=85183894?42h90q~?i5;296~;58<0:5<521819e7=z{8l?6=4={<030?7>927:5>4n1:p5c5=838p1?><:0;2?87>;3k;7p}>f383>7}::981=4?4=0;0><`<uz;m<7>52z?144<61816=4=59c9~w4ca2909w0?ia;3:5>;61:02<6s|1d:94?4|58l26<7>;<3:7?>23ty?j7>58z?2=6<69o169=4>809>5a2=9;201<k=:00;?87c>3;9463>e5826==:9hi1=?74=05`>44>3ty:mo4?:`y>3<<3i27<47:n;<54>1g<51818l527787e>;0=3>j706>:5c894>b2=k01:o54`9>5de=91;0q~?8b;29e~;0n3>j706?:5c892e=<h16;h4;a:?4`?2f34=i69o4=6690d=:?:0?m63>8c87e>;6?j0:4<5rs0a:>5<2s4;2?7?m5:?2=6<6j:16=4=51c0894?428h:70?la;3;5>{t9jn1<7<t=0af>4>634;o<7?=9:p5ad=838p1<jl:0:2?87cm3;956s|1`f94?1|58kn6<6>;<3g4?75027:hh4>299>5a4=9;301<k?:00:?87fk3;9o63>7b826f=z{8ii6=4={<3`a?75027:on4>809~w4b>2909w0?kc;31<>;6lh0:4<5rs4a94?5|V<i018j5193894`a2<;0(<7j:4g8j4?c281v8o50;1xZ0g<5<h1=5?4=0df>07<,83n68k4n0;g>7=z{<21<7=t^4:890?=91;01<hk:438 4?b2<o0b<7k:29~w00=839pR884=4595=7<58lh68?4$0;f>0c<f83o695rs4694?5|V<>018;5193894`e2<;0(<7j:4g8j4?c2<1v:?50;1xZ27<5>81=5?4=32;>07<,83n68k4n0;g>3=z{?l1<7=t^7d8926=91;01?>8:438 4?b2<o0b<7k:69~w3b=839pR;j4=7g95=7<5;:=68?4$0;f>0c<f83o655rs7`94?5|V?h01;m5193897622<;0(<7j:4g8j4?c201v;750;1xZ3?<5?k1=5?4=327>07<,83n68k4n0;g>d=z{?=1<7=t^75893>=91;01?><:438 4?b2<o0b<7k:c9~w33=839pR;;4=7495=7<5;:968?4$0;f>0c<f83o6n5rs7194?5|V?901;:5193897662<;0(<7j:4g8j4?c2m1v;?50;1xZ37<5?81=5?4=0db>07<,83n68k4n0;g>`=z{<81<7=t^408905=91;01<h6:438 4?b2<o0b<7k:g9~w0`=839pR8h4=7295=7<5;:;68?4$0;f>0c<f83o6=5rs0f0>5<5s4;o87?71:?2`3<6:01v<k>:18187b:3;3=63>e5826<=z{8im6=4={<3g4?7?927:h?4>299~w4bc2909w0?ke;3;5>;6m90:>55rs0f2>5<5s4;o87?=9:?2`7<6081v<ji:18187b:3;9563>e182<4=z{8n>6=4={<3g2?7?927:h54>269~w4c42909w0?j4;3;5>;6m?0:>:5rs05f>5<3s4;h?7?76:?2<`<2927:4o4:1:?2=6<61=1v<h>:1860~;6k90:>85218195`=:9091=i5218195f=:9091=;5218196d=:9091>552181962=:9091>;52181976=:9091??52181974=:9091?=5218196c=:9091>h5218196a=:9091>n5218196g=:9091>8521819=`=:90915n521819=d=:909154521819===:90915:521819=3=:909158521819=1=:90915>521819=7=:90915<521819<c=:90914h521819<a=:90914n521819fc=:9091ni521819fg=:9091nl521819f<=:9091n5521819f2=:9091n;521819f0=:9091n9521819f6=:9091n?521819f5=:9091mk521819e`=:9091mi521819ef=:9091mo521819ed=:9091m4521819e==:9091m:521819g3=:9091o8521819g1=:9091o>521819g7=:9091o<521819g5=:9091nn521819f4=:9091m;521819gg=:9091o4521819g==:9091o:521819`5=:9091oh521819ga=:9091on5218195gd<58386<l6;<3:7?7e027:5>4>b69>5<5=<016=4=5132894?4283>70?63;3:2>;61:0:5:5C1b395~"6:m0;7ps|19d94??|5<n1=l94=7295d1<5>81=l94=4795d1<5>:1=l94=4;95d1<5<h1=l94=4595d1<583;6<6>;|q2<f<720q6:l4>a69>16<6i>16:?4>a69>23<6i>16:54>a69>21<6i>16:n4>a69>2`<6i>16=5j51938yv7c?3:15v3=01873>;58>0?;63>fc873>;6nj0?;63=09873>;6nl0?;63>fg873>;6nm0?;63>d982<4=z{8o>6=46{<032?2034;m57:8;<3ee?20348;>7:8;<037?20348;=7:8;<030?20348;97:8;<3f2?7?92wvqpsO@By1`1<e?0k=>;lr@A@x4xFGXrwKL No newline at end of file
@@ -0,0 +1,174
1 Release 14.7 - par P.20131013 (nt64)
2 Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
3
4 Mon Dec 14 11:39:10 2015
5
6
7 # NOTE: This file is designed to be imported into a spreadsheet program
8 # such as Microsoft Excel for viewing, printing and sorting. The |
9 # character is used as the data field separator. This file is also designed
10 # to support parsing.
11 #
12 INPUT FILE: BloqueRAM_int_map.ncd
13 OUTPUT FILE: BloqueRAM_int.pad
14 PART TYPE: xc6slx9
15 SPEED GRADE: -3
16 PACKAGE: tqg144
17
18 Pinout by Pin Number:
19
20 -----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|
21 Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity|
22 P1||IOBS|IO_L83N_VREF_3|UNUSED||3|||||||||
23 P2||IOBM|IO_L83P_3|UNUSED||3|||||||||
24 P3|||GND||||||||||||
25 P4|||VCCO_3|||3|||||any******||||
26 P5||IOBS|IO_L52N_3|UNUSED||3|||||||||
27 P6||IOBM|IO_L52P_3|UNUSED||3|||||||||
28 P7||IOBS|IO_L51N_3|UNUSED||3|||||||||
29 P8||IOBM|IO_L51P_3|UNUSED||3|||||||||
30 P9||IOBS|IO_L50N_3|UNUSED||3|||||||||
31 P10||IOBM|IO_L50P_3|UNUSED||3|||||||||
32 P11||IOBS|IO_L49N_3|UNUSED||3|||||||||
33 P12||IOBM|IO_L49P_3|UNUSED||3|||||||||
34 P13|||GND||||||||||||
35 P14||IOBS|IO_L44N_GCLK20_3|UNUSED||3|||||||||
36 P15||IOBM|IO_L44P_GCLK21_3|UNUSED||3|||||||||
37 P16||IOBS|IO_L43N_GCLK22_IRDY2_3|UNUSED||3|||||||||
38 P17||IOBM|IO_L43P_GCLK23_3|UNUSED||3|||||||||
39 P18|||VCCO_3|||3|||||any******||||
40 P19|||VCCINT||||||||1.2||||
41 P20|||VCCAUX||||||||2.5||||
42 P21|clk_main|IOB|IO_L42N_GCLK24_3|INPUT|LVCMOS25*|3||||NONE||UNLOCATED|NO|NONE|
43 P22||IOBM|IO_L42P_GCLK25_TRDY2_3|UNUSED||3|||||||||
44 P23||IOBS|IO_L41N_GCLK26_3|UNUSED||3|||||||||
45 P24||IOBM|IO_L41P_GCLK27_3|UNUSED||3|||||||||
46 P25|||GND||||||||||||
47 P26||IOBS|IO_L37N_3|UNUSED||3|||||||||
48 P27||IOBM|IO_L37P_3|UNUSED||3|||||||||
49 P28|||VCCINT||||||||1.2||||
50 P29||IOBS|IO_L36N_3|UNUSED||3|||||||||
51 P30||IOBM|IO_L36P_3|UNUSED||3|||||||||
52 P31|||VCCO_3|||3|||||any******||||
53 P32||IOBS|IO_L2N_3|UNUSED||3|||||||||
54 P33||IOBM|IO_L2P_3|UNUSED||3|||||||||
55 P34||IOBS|IO_L1N_VREF_3|UNUSED||3|||||||||
56 P35||IOBM|IO_L1P_3|UNUSED||3|||||||||
57 P36|||VCCAUX||||||||2.5||||
58 P37|||PROGRAM_B_2||||||||||||
59 P38||IOBS|IO_L65N_CSO_B_2|UNUSED||2|||||||||
60 P39||IOBM|IO_L65P_INIT_B_2|UNUSED||2|||||||||
61 P40||IOBS|IO_L64N_D9_2|UNUSED||2|||||||||
62 P41||IOBM|IO_L64P_D8_2|UNUSED||2|||||||||
63 P42|||VCCO_2|||2|||||any******||||
64 P43||IOBS|IO_L62N_D6_2|UNUSED||2|||||||||
65 P44||IOBM|IO_L62P_D5_2|UNUSED||2|||||||||
66 P45||IOBS|IO_L49N_D4_2|UNUSED||2|||||||||
67 P46||IOBM|IO_L49P_D3_2|UNUSED||2|||||||||
68 P47||IOBS|IO_L48N_RDWR_B_VREF_2|UNUSED||2|||||||||
69 P48||IOBM|IO_L48P_D7_2|UNUSED||2|||||||||
70 P49|||GND||||||||||||
71 P50||IOBS|IO_L31N_GCLK30_D15_2|UNUSED||2|||||||||
72 P51||IOBM|IO_L31P_GCLK31_D14_2|UNUSED||2|||||||||
73 P52|||VCCINT||||||||1.2||||
74 P53|||VCCAUX||||||||2.5||||
75 P54|||GND||||||||||||
76 P55||IOBS|IO_L30N_GCLK0_USERCCLK_2|UNUSED||2|||||||||
77 P56||IOBM|IO_L30P_GCLK1_D13_2|UNUSED||2|||||||||
78 P57||IOBS|IO_L14N_D12_2|UNUSED||2|||||||||
79 P58||IOBM|IO_L14P_D11_2|UNUSED||2|||||||||
80 P59||IOBS|IO_L13N_D10_2|UNUSED||2|||||||||
81 P60||IOBM|IO_L13P_M1_2|UNUSED||2|||||||||
82 P61||IOBS|IO_L12N_D2_MISO3_2|UNUSED||2|||||||||
83 P62||IOBM|IO_L12P_D1_MISO2_2|UNUSED||2|||||||||
84 P63|||VCCO_2|||2|||||any******||||
85 P64||IOBS|IO_L3N_MOSI_CSI_B_MISO0_2|UNUSED||2|||||||||
86 P65||IOBM|IO_L3P_D0_DIN_MISO_MISO1_2|UNUSED||2|||||||||
87 P66||IOBS|IO_L2N_CMPMOSI_2|UNUSED||2|||||||||
88 P67||IOBM|IO_L2P_CMPCLK_2|UNUSED||2|||||||||
89 P68|||GND||||||||||||
90 P69||IOBS|IO_L1N_M0_CMPMISO_2|UNUSED||2|||||||||
91 P70||IOBM|IO_L1P_CCLK_2|UNUSED||2|||||||||
92 P71|||DONE_2||||||||||||
93 P72|||CMPCS_B_2||||||||||||
94 P73|||SUSPEND||||||||||||
95 P74||IOBS|IO_L74N_DOUT_BUSY_1|UNUSED||1|||||||||
96 P75||IOBM|IO_L74P_AWAKE_1|UNUSED||1|||||||||
97 P76|||VCCO_1|||1|||||any******||||
98 P77|||GND||||||||||||
99 P78||IOBS|IO_L47N_1|UNUSED||1|||||||||
100 P79||IOBM|IO_L47P_1|UNUSED||1|||||||||
101 P80||IOBS|IO_L46N_1|UNUSED||1|||||||||
102 P81||IOBM|IO_L46P_1|UNUSED||1|||||||||
103 P82||IOBS|IO_L45N_1|UNUSED||1|||||||||
104 P83||IOBM|IO_L45P_1|UNUSED||1|||||||||
105 P84||IOBS|IO_L43N_GCLK4_1|UNUSED||1|||||||||
106 P85||IOBM|IO_L43P_GCLK5_1|UNUSED||1|||||||||
107 P86|||VCCO_1|||1|||||any******||||
108 P87||IOBS|IO_L42N_GCLK6_TRDY1_1|UNUSED||1|||||||||
109 P88|OE_bar|IOB|IO_L42P_GCLK7_1|INPUT|LVCMOS25*|1||||NONE||UNLOCATED|NO|NONE|
110 P89|||VCCINT||||||||1.2||||
111 P90|||VCCAUX||||||||2.5||||
112 P91|||GND||||||||||||
113 P92||IOBS|IO_L41N_GCLK8_1|UNUSED||1|||||||||
114 P93||IOBM|IO_L41P_GCLK9_IRDY1_1|UNUSED||1|||||||||
115 P94||IOBS|IO_L40N_GCLK10_1|UNUSED||1|||||||||
116 P95|CS_2|IOB|IO_L40P_GCLK11_1|INPUT|LVCMOS25*|1||||NONE||UNLOCATED|NO|NONE|
117 P96|||GND||||||||||||
118 P97|UB_bar|IOB|IO_L34N_1|INPUT|LVCMOS25*|1||||NONE||UNLOCATED|NO|NONE|
119 P98|LB_bar|IOB|IO_L34P_1|INPUT|LVCMOS25*|1||||NONE||UNLOCATED|NO|NONE|
120 P99|WEA_bar|IOB|IO_L33N_1|INPUT|LVCMOS25*|1||||NONE||UNLOCATED|NO|NONE|
121 P100|CS_1_bar|IOB|IO_L33P_1|INPUT|LVCMOS25*|1||||NONE||UNLOCATED|NO|NONE|
122 P101||IOBS|IO_L32N_1|UNUSED||1|||||||||
123 P102||IOBM|IO_L32P_1|UNUSED||1|||||||||
124 P103|||VCCO_1|||1|||||any******||||
125 P104||IOBS|IO_L1N_VREF_1|UNUSED||1|||||||||
126 P105||IOBM|IO_L1P_1|UNUSED||1|||||||||
127 P106|||TDO||||||||||||
128 P107|||TMS||||||||||||
129 P108|||GND||||||||||||
130 P109|||TCK||||||||||||
131 P110|||TDI||||||||||||
132 P111|DATA_RAM<15>|IOB|IO_L66N_SCP0_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
133 P112|DATA_RAM<14>|IOB|IO_L66P_SCP1_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
134 P113|||GND||||||||||||
135 P114|DATA_RAM<13>|IOB|IO_L65N_SCP2_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
136 P115|DATA_RAM<12>|IOB|IO_L65P_SCP3_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
137 P116|DATA_RAM<11>|IOB|IO_L64N_SCP4_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
138 P117|DATA_RAM<10>|IOB|IO_L64P_SCP5_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
139 P118|DATA_RAM<9>|IOB|IO_L63N_SCP6_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
140 P119|DATA_RAM<8>|IOB|IO_L63P_SCP7_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
141 P120|DATA_RAM<7>|IOB|IO_L62N_VREF_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
142 P121|DATA_RAM<6>|IOB|IO_L62P_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
143 P122|||VCCO_0|||0|||||2.50||||
144 P123|DATA_RAM<5>|IOB|IO_L37N_GCLK12_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
145 P124|DATA_RAM<4>|IOB|IO_L37P_GCLK13_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
146 P125|||VCCO_0|||0|||||2.50||||
147 P126|DATA_RAM<3>|IOB|IO_L36N_GCLK14_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
148 P127|DATA_RAM<2>|IOB|IO_L36P_GCLK15_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
149 P128|||VCCINT||||||||1.2||||
150 P129|||VCCAUX||||||||2.5||||
151 P130|||GND||||||||||||
152 P131|DATA_RAM<1>|IOB|IO_L35N_GCLK16_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
153 P132|DATA_RAM<0>|IOB|IO_L35P_GCLK17_0|BIDIR|LVCMOS25*|0|12|SLOW||NONE||UNLOCATED|NO|NONE|
154 P133|ADDR_RAM<9>|IOB|IO_L34N_GCLK18_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
155 P134|ADDR_RAM<8>|IOB|IO_L34P_GCLK19_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
156 P135|||VCCO_0|||0|||||2.50||||
157 P136|||GND||||||||||||
158 P137|ADDR_RAM<7>|IOB|IO_L4N_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
159 P138|ADDR_RAM<6>|IOB|IO_L4P_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
160 P139|ADDR_RAM<5>|IOB|IO_L3N_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
161 P140|ADDR_RAM<4>|IOB|IO_L3P_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
162 P141|ADDR_RAM<3>|IOB|IO_L2N_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
163 P142|ADDR_RAM<2>|IOB|IO_L2P_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
164 P143|ADDR_RAM<1>|IOB|IO_L1N_VREF_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
165 P144|ADDR_RAM<0>|IOB|IO_L1P_HSWAPEN_0|INPUT|LVCMOS25*|0||||NONE||UNLOCATED|NO|NONE|
166
167 -----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|
168
169 * Default value.
170 ** This default Pullup/Pulldown value can be overridden in Bitgen.
171 ****** Special VCCO requirements may apply. Please consult the device
172 family datasheet for specific guideline on VCCO requirements.
173
174
@@ -0,0 +1,172
1 Release 14.7 par P.20131013 (nt64)
2 Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
3
4 LENOVO-PC:: Mon Dec 14 11:39:04 2015
5
6 par -w -intstyle ise -ol high -mt off BloqueRAM_int_map.ncd BloqueRAM_int.ncd
7 BloqueRAM_int.pcf
8
9
10 Constraints file: BloqueRAM_int.pcf.
11 Loading device for application Rf_Device from file '6slx9.nph' in environment C:\Xilinx\14.7\ISE_DS\ISE\.
12 "BloqueRAM_int" is an NCD, version 3.2, device xc6slx9, package tqg144, speed -3
13
14 Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius)
15 Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts)
16
17 INFO:Par:282 - No user timing constraints were detected or you have set the option to ignore timing constraints ("par
18 -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all
19 internal clocks in this design. Because there are not defined timing requirements, a timing score will not be
20 reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock.
21 Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high".
22
23 Device speed data version: "PRODUCTION 1.23 2013-10-13".
24
25
26
27 Device Utilization Summary:
28
29 Slice Logic Utilization:
30 Number of Slice Registers: 34 out of 11,440 1%
31 Number used as Flip Flops: 34
32 Number used as Latches: 0
33 Number used as Latch-thrus: 0
34 Number used as AND/OR logics: 0
35 Number of Slice LUTs: 5 out of 5,720 1%
36 Number used as logic: 5 out of 5,720 1%
37 Number using O6 output only: 3
38 Number using O5 output only: 0
39 Number using O5 and O6: 2
40 Number used as ROM: 0
41 Number used as Memory: 0 out of 1,440 0%
42
43 Slice Logic Distribution:
44 Number of occupied Slices: 11 out of 1,430 1%
45 Number of MUXCYs used: 0 out of 2,860 0%
46 Number of LUT Flip Flop pairs used: 37
47 Number with an unused Flip Flop: 3 out of 37 8%
48 Number with an unused LUT: 32 out of 37 86%
49 Number of fully used LUT-FF pairs: 2 out of 37 5%
50 Number of slice register sites lost
51 to control set restrictions: 0 out of 11,440 0%
52
53 A LUT Flip Flop pair for this architecture represents one LUT paired with
54 one Flip Flop within a slice. A control set is a unique combination of
55 clock, reset, set, and enable signals for a registered element.
56 The Slice Logic Distribution report is not meaningful if the design is
57 over-mapped for a non-slice resource or if Placement fails.
58
59 IO Utilization:
60 Number of bonded IOBs: 33 out of 102 32%
61
62 Specific Feature Utilization:
63 Number of RAMB16BWERs: 1 out of 32 3%
64 Number of RAMB8BWERs: 0 out of 64 0%
65 Number of BUFIO2/BUFIO2_2CLKs: 0 out of 32 0%
66 Number of BUFIO2FB/BUFIO2FB_2CLKs: 0 out of 32 0%
67 Number of BUFG/BUFGMUXs: 1 out of 16 6%
68 Number used as BUFGs: 1
69 Number used as BUFGMUX: 0
70 Number of DCM/DCM_CLKGENs: 0 out of 4 0%
71 Number of ILOGIC2/ISERDES2s: 0 out of 200 0%
72 Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0%
73 Number of OLOGIC2/OSERDES2s: 0 out of 200 0%
74 Number of BSCANs: 0 out of 4 0%
75 Number of BUFHs: 0 out of 128 0%
76 Number of BUFPLLs: 0 out of 8 0%
77 Number of BUFPLL_MCBs: 0 out of 4 0%
78 Number of DSP48A1s: 0 out of 16 0%
79 Number of ICAPs: 0 out of 1 0%
80 Number of MCBs: 0 out of 2 0%
81 Number of PCILOGICSEs: 0 out of 2 0%
82 Number of PLL_ADVs: 0 out of 2 0%
83 Number of PMVs: 0 out of 1 0%
84 Number of STARTUPs: 0 out of 1 0%
85 Number of SUSPEND_SYNCs: 0 out of 1 0%
86
87
88 Overall effort level (-ol): High
89 Router effort level (-rl): High
90
91 Starting initial Timing Analysis. REAL time: 3 secs
92 Finished initial Timing Analysis. REAL time: 3 secs
93
94 Starting Router
95
96
97 Phase 1 : 219 unrouted; REAL time: 3 secs
98
99 Phase 2 : 121 unrouted; REAL time: 4 secs
100
101 Phase 3 : 51 unrouted; REAL time: 4 secs
102
103 Phase 4 : 51 unrouted; (Par is working to improve performance) REAL time: 5 secs
104
105 Updating file: BloqueRAM_int.ncd with current fully routed design.
106
107 Phase 5 : 0 unrouted; (Par is working to improve performance) REAL time: 5 secs
108
109 Phase 6 : 0 unrouted; (Par is working to improve performance) REAL time: 5 secs
110
111 Phase 7 : 0 unrouted; (Par is working to improve performance) REAL time: 5 secs
112
113 Phase 8 : 0 unrouted; (Par is working to improve performance) REAL time: 5 secs
114
115 Phase 9 : 0 unrouted; (Par is working to improve performance) REAL time: 5 secs
116
117 Phase 10 : 0 unrouted; (Par is working to improve performance) REAL time: 5 secs
118 Total REAL time to Router completion: 5 secs
119 Total CPU time to Router completion: 4 secs
120
121 Partition Implementation Status
122 -------------------------------
123
124 No Partitions were found in this design.
125
126 -------------------------------
127
128 Generating "PAR" statistics.
129 INFO:Par:459 - The Clock Report is not displayed in the non timing-driven mode.
130 Timing Score: 0 (Setup: 0, Hold: 0)
131
132 Asterisk (*) preceding a constraint indicates it was not met.
133 This may be due to a setup or hold violation.
134
135 ----------------------------------------------------------------------------------------------------------
136 Constraint | Check | Worst Case | Best Case | Timing | Timing
137 | | Slack | Achievable | Errors | Score
138 ----------------------------------------------------------------------------------------------------------
139 Autotimespec constraint for clock net clk | SETUP | N/A| 3.387ns| N/A| 0
140 _main_BUFGP | HOLD | 0.481ns| | 0| 0
141 ----------------------------------------------------------------------------------------------------------
142
143
144 All constraints were met.
145 INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the
146 constraint is not analyzed due to the following: No paths covered by this
147 constraint; Other constraints intersect with this constraint; or This
148 constraint was disabled by a Path Tracing Control. Please run the Timespec
149 Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.
150
151
152 Generating Pad Report.
153
154 All signals are completely routed.
155
156 Total REAL time to PAR completion: 5 secs
157 Total CPU time to PAR completion: 4 secs
158
159 Peak Memory Usage: 306 MB
160
161 Placer: Placement generated during map.
162 Routing: Completed - No errors found.
163
164 Number of error messages: 0
165 Number of warning messages: 0
166 Number of info messages: 2
167
168 Writing design to file BloqueRAM_int.ncd
169
170
171
172 PAR done!
@@ -0,0 +1,4
1 //! **************************************************************************
2 // Written by: Map P.20131013 on Mon Dec 14 11:38:57 2015
3 //! **************************************************************************
4
@@ -0,0 +1,1
1 vhdl work "BloqueRAM_int.vhd"
@@ -0,0 +1,332
1 <?xml version="1.0" encoding="UTF-8"?>
2 <!DOCTYPE twReport [
3 <!ELEMENT twReport (twHead?, (twWarn | twDebug | twInfo)*, twBody, twSum?,
4 twDebug*, twFoot?, twClientInfo?)>
5 <!ATTLIST twReport version CDATA "10,4">
6 <!ELEMENT twHead (twExecVer?, twCopyright, twCmdLine?, twDesign?, twPCF?, twDevInfo, twRptInfo, twEnvVar*)>
7 <!ELEMENT twExecVer (#PCDATA)>
8 <!ELEMENT twCopyright (#PCDATA)>
9 <!ELEMENT twCmdLine (#PCDATA)>
10 <!ELEMENT twDesign (#PCDATA)>
11 <!ELEMENT twPCF (#PCDATA)>
12 <!ELEMENT twDevInfo (twDevName, twSpeedGrade, twSpeedVer?)>
13 <!ELEMENT twDevName (#PCDATA)>
14 <!ATTLIST twDevInfo arch CDATA #IMPLIED pkg CDATA #IMPLIED>
15 <!ELEMENT twSpeedGrade (#PCDATA)>
16 <!ELEMENT twSpeedVer (#PCDATA)>
17 <!ELEMENT twRptInfo (twItemLimit?, (twUnconst, twUnconstLimit?)?)>
18 <!ATTLIST twRptInfo twRptLvl (twErr | twVerbose | twTerseErr | twSum | twTimeGrp) #REQUIRED>
19 <!ATTLIST twRptInfo twAdvRpt (TRUE | FALSE) "FALSE">
20 <!ATTLIST twRptInfo twTimeUnits (twPsec | twNsec | twUsec | twMsec | twSec) "twNsec">
21 <!ATTLIST twRptInfo twFreqUnits (twGHz | twMHz | twHz) "twMHz">
22 <!ATTLIST twRptInfo twReportMinPaths CDATA #IMPLIED>
23 <!ELEMENT twItemLimit (#PCDATA)>
24 <!ELEMENT twUnconst EMPTY>
25 <!ELEMENT twUnconstLimit (#PCDATA)>
26 <!ELEMENT twEnvVar EMPTY>
27 <!ATTLIST twEnvVar name CDATA #REQUIRED>
28 <!ATTLIST twEnvVar description CDATA #REQUIRED>
29 <!ELEMENT twWarn (#PCDATA)>
30 <!ELEMENT twInfo (#PCDATA)>
31 <!ELEMENT twDebug (#PCDATA)>
32 <!ELEMENT twBody (twDerating?, (twSumRpt | twVerboseRpt | twErrRpt | twTerseErrRpt | twTimeGrpRpt), twNonDedClks?)>
33 <!ATTLIST twBody twFastPaths CDATA #IMPLIED>
34 <!ELEMENT twDerating (twProc?, twTemp?, twVolt?)>
35 <!ELEMENT twProc (#PCDATA)>
36 <!ELEMENT twTemp (#PCDATA)>
37 <!ELEMENT twVolt (#PCDATA)>
38 <!ELEMENT twSumRpt (twConstRollupTable*, twConstList?, twConstSummaryTable?, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?)>
39 <!ELEMENT twErrRpt (twCycles?, (twConst | twTIG | twConstRollupTable)*, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?, twTimeGrp*)>
40 <!ELEMENT twTerseErrRpt (twConstList, twUnmetConstCnt?, twDataSheet?)>
41 <!ELEMENT twVerboseRpt (twCycles?, (twConst | twTIG | twConstRollupTable)*, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?, twTimeGrp*)>
42 <!ELEMENT twCycles (twSigConn+)>
43 <!ATTLIST twCycles twNum CDATA #REQUIRED>
44 <!ELEMENT twSigConn (twSig, twDriver, twLoad)>
45 <!ELEMENT twSig (#PCDATA)>
46 <!ELEMENT twDriver (#PCDATA)>
47 <!ELEMENT twLoad (#PCDATA)>
48 <!ELEMENT twConst (twConstHead, ((twPathRpt?,twRacePathRpt?, twPathRptBanner?)* | (twPathRpt*, twRacePathRpt?) | twNetRpt* | twClkSkewLimit*))>
49 <!ATTLIST twConst twConstType (NET |
50 NETDELAY |
51 NETSKEW |
52 PATH |
53 DEFPERIOD |
54 UNCONSTPATH |
55 DEFPATH |
56 PATH2SETUP |
57 UNCONSTPATH2SETUP |
58 PATHCLASS |
59 PATHDELAY |
60 PERIOD |
61 FREQUENCY |
62 PATHBLOCK |
63 OFFSET |
64 OFFSETIN |
65 OFFSETINCLOCK |
66 UNCONSTOFFSETINCLOCK |
67 OFFSETINDELAY |
68 OFFSETINMOD |
69 OFFSETOUT |
70 OFFSETOUTCLOCK |
71 UNCONSTOFFSETOUTCLOCK |
72 OFFSETOUTDELAY |
73 OFFSETOUTMOD| CLOCK_SKEW_LIMITS) #IMPLIED>
74 <!ELEMENT twConstHead (twConstName, twItemCnt, twErrCntSetup, twErrCntEndPt?, twErrCntHold,
75 twEndPtCnt?,
76 twPathErrCnt?, (twMinPer| twMaxDel| twMaxFreq| twMaxNetDel| twMaxNetSkew| twMinOff| twMaxOff)*)>
77 <!ELEMENT twConstName (#PCDATA)>
78 <!ATTLIST twConstName UCFConstName CDATA #IMPLIED>
79 <!ATTLIST twConstHead uID CDATA #IMPLIED>
80 <!ELEMENT twItemCnt (#PCDATA)>
81 <!ELEMENT twErrCnt (#PCDATA)>
82 <!ELEMENT twErrCntEndPt (#PCDATA)>
83 <!ELEMENT twErrCntSetup (#PCDATA)>
84 <!ELEMENT twErrCntHold (#PCDATA)>
85 <!ATTLIST twErrCntHold twRaceChecked (TRUE | FALSE) "FALSE">
86 <!ELEMENT twEndPtCnt (#PCDATA)>
87 <!ELEMENT twPathErrCnt (#PCDATA)>
88 <!ELEMENT twMinPer (#PCDATA) >
89 <!ELEMENT twFootnote EMPTY>
90 <!ATTLIST twFootnote number CDATA #REQUIRED>
91 <!ELEMENT twMaxDel (#PCDATA)>
92 <!ELEMENT twMaxFreq (#PCDATA)>
93 <!ELEMENT twMinOff (#PCDATA)>
94 <!ELEMENT twMaxOff (#PCDATA)>
95 <!ELEMENT twTIG (twTIGHead, (twPathRpt*,twRacePathRpt?))>
96 <!ELEMENT twTIGHead (twTIGName, twInstantiated, twBlocked)>
97 <!ELEMENT twTIGName (#PCDATA)>
98 <!ELEMENT twInstantiated (#PCDATA)>
99 <!ELEMENT twBlocked (#PCDATA)>
100 <!ELEMENT twRacePathRpt (twRacePath+)>
101 <!ELEMENT twPathRpt (twUnconstPath | twConstPath | twUnconstOffIn | twConstOffIn | twUnconstOffOut | twConstOffOut | twModOffOut)>
102 <!ELEMENT twUnconstPath (twTotDel, twSrc, twDest, (twDel, twSUTime)?, twTotPathDel?, twClkSkew?, tw2Phase?, twClkUncert?, twDetPath?)>
103 <!ATTLIST twUnconstPath twDataPathType CDATA #IMPLIED
104 twSimpleMinPath CDATA #IMPLIED>
105 <!ELEMENT twTotDel (#PCDATA)>
106 <!ELEMENT twSrc (#PCDATA)>
107 <!ATTLIST twSrc BELType CDATA #IMPLIED>
108 <!ELEMENT twDest (#PCDATA)>
109 <!ATTLIST twDest BELType CDATA #IMPLIED>
110 <!ELEMENT twDel (#PCDATA)>
111 <!ELEMENT twSUTime (#PCDATA)>
112 <!ELEMENT twTotPathDel (#PCDATA)>
113 <!ELEMENT twClkSkew (#PCDATA)>
114 <!ATTLIST twClkSkew dest CDATA #IMPLIED src CDATA #IMPLIED>
115 <!ELEMENT twConstPath (twSlack, twSrc, twDest, twTotPathDel?, twClkSkew?, twDelConst, tw2Phase?, twClkUncert?, twDetPath?)>
116 <!ATTLIST twConstPath twDataPathType CDATA "twDataPathMaxDelay">
117 <!ATTLIST twConstPath constType (period | fromto | unknown) "unknown">
118 <!ELEMENT twSlack (#PCDATA)>
119 <!ELEMENT twDelConst (#PCDATA)>
120 <!ELEMENT tw2Phase EMPTY>
121 <!ELEMENT twClkUncert (#PCDATA)>
122 <!ATTLIST twClkUncert fSysJit CDATA #IMPLIED fInputJit CDATA #IMPLIED
123 fDCMJit CDATA #IMPLIED
124 fPhaseErr CDATA #IMPLIED
125 sEqu CDATA #IMPLIED>
126 <!ELEMENT twRacePath (twSlack, twSrc, twDest, twClkSkew, twDelConst?, twClkUncert?, twDetPath)>
127 <!ELEMENT twPathRptBanner (#PCDATA)>
128 <!ATTLIST twPathRptBanner sType CDATA #IMPLIED iPaths CDATA #IMPLIED iCriticalPaths CDATA #IMPLIED>
129 <!ELEMENT twUnconstOffIn (twOff, twSrc, twDest, twGuaranteed?, twClkUncert?, (twDataPath, twClkPath)?)>
130 <!ATTLIST twUnconstOffIn twDataPathType CDATA #IMPLIED>
131 <!ELEMENT twOff (#PCDATA)>
132 <!ELEMENT twGuaranteed EMPTY>
133 <!ELEMENT twConstOffIn (twSlack, twSrc, twDest, ((twClkDel, twClkSrc, twClkDest) | twGuarInSetup), twOff, twOffSrc, twOffDest, twClkUncert?, (twDataPath, twClkPath)?)>
134 <!ATTLIST twConstOffIn twDataPathType CDATA "twDataPathMaxDelay">
135 <!ATTLIST twConstOffIn twDurationNotSpecified CDATA #IMPLIED>
136 <!ELEMENT twClkDel (#PCDATA)>
137 <!ELEMENT twClkSrc (#PCDATA)>
138 <!ELEMENT twClkDest (#PCDATA)>
139 <!ELEMENT twGuarInSetup (#PCDATA)>
140 <!ELEMENT twOffSrc (#PCDATA)>
141 <!ELEMENT twOffDest (#PCDATA)>
142 <!ELEMENT twUnconstOffOut (twOff, twSrc, twDest, twClkUncert?, (twClkPath, twDataPath)?)>
143 <!ATTLIST twUnconstOffOut twDataPathType CDATA #IMPLIED>
144 <!ELEMENT twConstOffOut (twSlack, twSrc, twDest, twClkDel, twClkSrc, twClkDest, twDataDel, twDataSrc, twDataDest, twOff, twOffSrc, twOffDest, twClkUncert?, (twClkPath, twDataPath)?)>
145 <!ATTLIST twConstOffOut twDataPathType CDATA "twDataPathMaxDelay">
146 <!ELEMENT twDataDel (#PCDATA)>
147 <!ELEMENT twDataSrc (#PCDATA)>
148 <!ELEMENT twDataDest (#PCDATA)>
149 <!ELEMENT twModOffOut (twSlack, twDest, twDataDel, twDataSrc, twDataDest, twClkUncert?, twDataPath?)>
150 <!ELEMENT twDetPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
151 <!ATTLIST twDetPath maxSiteLen CDATA #IMPLIED>
152 <!ELEMENT twDataPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
153 <!ATTLIST twDataPath maxSiteLen CDATA #IMPLIED>
154 <!ELEMENT twClkPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
155 <!ATTLIST twClkPath maxSiteLen CDATA #IMPLIED>
156 <!ELEMENT twLogLvls (#PCDATA)>
157 <!ELEMENT twSrcSite (#PCDATA)>
158 <!ELEMENT twSrcClk (#PCDATA)>
159 <!ATTLIST twSrcClk twEdge (twRising | twFalling) "twRising">
160 <!ATTLIST twSrcClk twArriveTime CDATA #IMPLIED>
161 <!ATTLIST twSrcClk twClkRes CDATA #IMPLIED>
162 <!ELEMENT twPathDel (twSite, twDelType, twFanCnt?, twDelInfo?, twComp, twNet?, twBEL*)>
163 <!ATTLIST twPathDel twHoldTime (TRUE | FALSE) "FALSE">
164 <!ELEMENT twDelInfo (#PCDATA)>
165 <!ATTLIST twDelInfo twEdge (twRising | twFalling | twIndet) #REQUIRED>
166 <!ATTLIST twDelInfo twAcc (twRouted | twEst | twApprox) "twRouted">
167 <!ELEMENT twSite (#PCDATA)>
168 <!ELEMENT twDelType (#PCDATA)>
169 <!ELEMENT twFanCnt (#PCDATA)>
170 <!ELEMENT twComp (#PCDATA)>
171 <!ELEMENT twNet (#PCDATA)>
172 <!ELEMENT twBEL (#PCDATA)>
173 <!ELEMENT twLogDel (#PCDATA)>
174 <!ELEMENT twRouteDel (#PCDATA)>
175 <!ELEMENT twDestClk (#PCDATA)>
176 <!ATTLIST twDestClk twEdge (twRising | twFalling) "twRising">
177 <!ATTLIST twDestClk twArriveTime CDATA #IMPLIED>
178 <!ATTLIST twDestClk twClkRes CDATA #IMPLIED>
179 <!ELEMENT twPctLog (#PCDATA)>
180 <!ELEMENT twPctRoute (#PCDATA)>
181 <!ELEMENT twNetRpt (twDelNet | twSlackNet | twSkewNet)>
182 <!ELEMENT twDelNet (twDel, twNet, twDetNet?)>
183 <!ELEMENT twSlackNet (twSlack, twNet, twDel, twNotMet?, twTimeConst, twAbsSlack, twDetNet?)>
184 <!ELEMENT twTimeConst (#PCDATA)>
185 <!ELEMENT twAbsSlack (#PCDATA)>
186 <!ELEMENT twSkewNet (twSlack, twNet, twSkew, twNotMet?, twTimeConst, twAbsSlack, twDetSkewNet?)>
187 <!ELEMENT twSkew (#PCDATA)>
188 <!ELEMENT twDetNet (twNetDel*)>
189 <!ELEMENT twNetDel (twSrc, twDest, twNetDelInfo)>
190 <!ELEMENT twNetDelInfo (#PCDATA)>
191 <!ATTLIST twNetDelInfo twAcc (twRouted | twEst | twApprox) "twRouted">
192 <!ELEMENT twDetSkewNet (twNetSkew*)>
193 <!ELEMENT twNetSkew (twSrc, twDest, twNetDelInfo, twSkew)>
194 <!ELEMENT twClkSkewLimit EMPTY>
195 <!ATTLIST twClkSkewLimit slack CDATA #IMPLIED skew CDATA #IMPLIED arrv1name CDATA #IMPLIED arrv1 CDATA #IMPLIED
196 arrv2name CDATA #IMPLIED arrv2 CDATA #IMPLIED uncert CDATA #IMPLIED>
197 <!ELEMENT twConstRollupTable (twConstRollup*)>
198 <!ATTLIST twConstRollupTable uID CDATA #IMPLIED>
199 <!ELEMENT twConstRollup EMPTY>
200 <!ATTLIST twConstRollup name CDATA #IMPLIED fullName CDATA #IMPLIED type CDATA #IMPLIED requirement CDATA #IMPLIED prefType CDATA #IMPLIED actual CDATA #IMPLIED>
201 <!ATTLIST twConstRollup actualRollup CDATA #IMPLIED errors CDATA #IMPLIED errorRollup CDATA #IMPLIED items CDATA #IMPLIED itemsRollup CDATA #IMPLIED>
202 <!ELEMENT twConstList (twConstListItem)*>
203 <!ELEMENT twConstListItem (twConstName, twNotMet?, twReqVal?, twActVal?, twLogLvls?)>
204 <!ATTLIST twConstListItem twUnits (twTime | twFreq) "twTime">
205 <!ELEMENT twNotMet EMPTY>
206 <!ELEMENT twReqVal (#PCDATA)>
207 <!ELEMENT twActVal (#PCDATA)>
208 <!ELEMENT twConstSummaryTable (twConstStats|twConstSummary)*>
209 <!ATTLIST twConstSummaryTable twEmptyConstraints CDATA #IMPLIED>
210 <!ELEMENT twConstStats (twConstName)>
211 <!ATTLIST twConstStats twUnits (twTime | twFreq) "twTime">
212 <!ATTLIST twConstStats twRequired CDATA #IMPLIED>
213 <!ATTLIST twConstStats twActual CDATA #IMPLIED>
214 <!ATTLIST twConstStats twSlack CDATA #IMPLIED>
215 <!ATTLIST twConstStats twLogLvls CDATA #IMPLIED>
216 <!ATTLIST twConstStats twErrors CDATA #IMPLIED>
217 <!ATTLIST twConstStats twPCFIndex CDATA #IMPLIED>
218 <!ATTLIST twConstStats twAbsSlackIndex CDATA #IMPLIED>
219 <!ATTLIST twConstStats twTCType CDATA #IMPLIED>
220 <!ELEMENT twConstSummary (twConstName, twConstData?, twConstData*)>
221 <!ATTLIST twConstSummary PCFIndex CDATA #IMPLIED slackIndex CDATA #IMPLIED>
222 <!ELEMENT twConstData EMPTY>
223 <!ATTLIST twConstData type CDATA #IMPLIED units (MHz | ns) "ns" slack CDATA #IMPLIED
224 best CDATA #IMPLIED requested CDATA #IMPLIED
225 errors CDATA #IMPLIED
226 score CDATA #IMPLIED>
227 <!ELEMENT twTimeGrpRpt (twTimeGrp)*>
228 <!ELEMENT twTimeGrp (twTimeGrpName, twCompList?, twBELList?, twMacList?, twBlockList?, twSigList?, twPinList?)>
229 <!ELEMENT twTimeGrpName (#PCDATA)>
230 <!ELEMENT twCompList (twCompName+)>
231 <!ELEMENT twCompName (#PCDATA)>
232 <!ELEMENT twSigList (twSigName+)>
233 <!ELEMENT twSigName (#PCDATA)>
234 <!ELEMENT twBELList (twBELName+)>
235 <!ELEMENT twBELName (#PCDATA)>
236 <!ELEMENT twBlockList (twBlockName+)>
237 <!ELEMENT twBlockName (#PCDATA)>
238 <!ELEMENT twMacList (twMacName+)>
239 <!ELEMENT twMacName (#PCDATA)>
240 <!ELEMENT twPinList (twPinName+)>
241 <!ELEMENT twPinName (#PCDATA)>
242 <!ELEMENT twUnmetConstCnt (#PCDATA)>
243 <!ELEMENT twDataSheet (twSUH2ClkList*, (twClk2PadList|twClk2OutList)*, twClk2SUList*, twPad2PadList?, twOffsetTables?)>
244 <!ATTLIST twDataSheet twNameLen CDATA #REQUIRED>
245 <!ELEMENT twSUH2ClkList (twDest, twSUH2Clk+)>
246 <!ATTLIST twSUH2ClkList twDestWidth CDATA #IMPLIED>
247 <!ATTLIST twSUH2ClkList twPhaseWidth CDATA #IMPLIED>
248 <!ELEMENT twSUH2Clk (twSrc, twSUHTime, twSUHTime?)>
249 <!ELEMENT twSUHTime (twSU2ClkTime?,twH2ClkTime?)>
250 <!ATTLIST twSUHTime twInternalClk CDATA #IMPLIED>
251 <!ATTLIST twSUHTime twClkPhase CDATA #IMPLIED>
252 <!ELEMENT twSU2ClkTime (#PCDATA)>
253 <!ATTLIST twSU2ClkTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
254 <!ELEMENT twH2ClkTime (#PCDATA)>
255 <!ATTLIST twH2ClkTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
256 <!ELEMENT twClk2PadList (twSrc, twClk2Pad+)>
257 <!ELEMENT twClk2Pad (twDest, twTime)>
258 <!ELEMENT twTime (#PCDATA)>
259 <!ATTLIST twTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
260 <!ELEMENT twClk2OutList (twSrc, twClk2Out+)>
261 <!ATTLIST twClk2OutList twDestWidth CDATA #REQUIRED>
262 <!ATTLIST twClk2OutList twPhaseWidth CDATA #REQUIRED>
263 <!ELEMENT twClk2Out EMPTY>
264 <!ATTLIST twClk2Out twOutPad CDATA #REQUIRED>
265 <!ATTLIST twClk2Out twMinTime CDATA #REQUIRED>
266 <!ATTLIST twClk2Out twMinEdge CDATA #REQUIRED>
267 <!ATTLIST twClk2Out twMaxTime CDATA #REQUIRED>
268 <!ATTLIST twClk2Out twMaxEdge CDATA #REQUIRED>
269 <!ATTLIST twClk2Out twInternalClk CDATA #REQUIRED>
270 <!ATTLIST twClk2Out twClkPhase CDATA #REQUIRED>
271 <!ELEMENT twClk2SUList (twDest, twClk2SU+)>
272 <!ATTLIST twClk2SUList twDestWidth CDATA #IMPLIED>
273 <!ELEMENT twClk2SU (twSrc, twRiseRise?, twFallRise?, twRiseFall?, twFallFall?)>
274 <!ELEMENT twRiseRise (#PCDATA)>
275 <!ELEMENT twFallRise (#PCDATA)>
276 <!ELEMENT twRiseFall (#PCDATA)>
277 <!ELEMENT twFallFall (#PCDATA)>
278 <!ELEMENT twPad2PadList (twPad2Pad+)>
279 <!ATTLIST twPad2PadList twSrcWidth CDATA #IMPLIED>
280 <!ATTLIST twPad2PadList twDestWidth CDATA #IMPLIED>
281 <!ELEMENT twPad2Pad (twSrc, twDest, twDel)>
282 <!ELEMENT twOffsetTables (twOffsetInTable*,twOffsetOutTable*)>
283 <!ELEMENT twOffsetInTable (twConstName, twOffInTblRow*)>
284 <!ATTLIST twOffsetInTable twDestWidth CDATA #IMPLIED>
285 <!ATTLIST twOffsetInTable twWorstWindow CDATA #IMPLIED>
286 <!ATTLIST twOffsetInTable twWorstSetup CDATA #IMPLIED>
287 <!ATTLIST twOffsetInTable twWorstHold CDATA #IMPLIED>
288 <!ATTLIST twOffsetInTable twWorstSetupSlack CDATA #IMPLIED>
289 <!ATTLIST twOffsetInTable twWorstHoldSlack CDATA #IMPLIED>
290 <!ELEMENT twOffsetOutTable (twConstName, twOffOutTblRow*)>
291 <!ATTLIST twOffsetOutTable twDestWidth CDATA #IMPLIED>
292 <!ATTLIST twOffsetOutTable twMinSlack CDATA #IMPLIED>
293 <!ATTLIST twOffsetOutTable twMaxSlack CDATA #IMPLIED>
294 <!ATTLIST twOffsetOutTable twRelSkew CDATA #IMPLIED>
295 <!ELEMENT twOffInTblRow (twSrc, twSUHSlackTime*)>
296 <!ELEMENT twSUHSlackTime (twSU2ClkTime?,twH2ClkTime?)>
297 <!ATTLIST twSUHSlackTime twSetupSlack CDATA #IMPLIED twHoldSlack CDATA #IMPLIED>
298 <!ELEMENT twOffOutTblRow EMPTY>
299 <!ATTLIST twOffOutTblRow twOutPad CDATA #IMPLIED>
300 <!ATTLIST twOffOutTblRow twSlack CDATA #IMPLIED>
301 <!ATTLIST twOffOutTblRow twRelSkew CDATA #IMPLIED>
302 <!ELEMENT twNonDedClks ((twWarn | twInfo), twNonDedClk+)>
303 <!ELEMENT twNonDedClk (#PCDATA)>
304 <!ELEMENT twSum ( twErrCnt, twScore, twConstCov, twStats)>
305 <!ELEMENT twScore (#PCDATA)>
306 <!ELEMENT twConstCov (twPathCnt, twNetCnt, twConnCnt, twPct?)>
307 <!ELEMENT twPathCnt (#PCDATA)>
308 <!ELEMENT twNetCnt (#PCDATA)>
309 <!ELEMENT twConnCnt (#PCDATA)>
310 <!ELEMENT twPct (#PCDATA)>
311 <!ELEMENT twStats ( twMinPer?, twFootnote?, twMaxFreq?, twMaxCombDel?, twMaxFromToDel?, twMaxNetDel?, twMaxNetSkew?, twMaxInAfterClk?, twMinInBeforeClk?, twMaxOutBeforeClk?, twMinOutAfterClk?, (twInfo | twWarn)*)>
312 <!ELEMENT twMaxCombDel (#PCDATA)>
313 <!ELEMENT twMaxFromToDel (#PCDATA)>
314 <!ELEMENT twMaxNetDel (#PCDATA)>
315 <!ELEMENT twMaxNetSkew (#PCDATA)>
316 <!ELEMENT twMaxInAfterClk (#PCDATA)>
317 <!ELEMENT twMinInBeforeClk (#PCDATA)>
318 <!ELEMENT twMaxOutBeforeClk (#PCDATA)>
319 <!ELEMENT twMinOutAfterClk (#PCDATA)>
320 <!ELEMENT twFoot (twFootnoteExplanation*, twTimestamp)>
321 <!ELEMENT twTimestamp (#PCDATA)>
322 <!ELEMENT twFootnoteExplanation EMPTY>
323 <!ATTLIST twFootnoteExplanation number CDATA #REQUIRED>
324 <!ATTLIST twFootnoteExplanation text CDATA #REQUIRED>
325 <!ELEMENT twClientInfo (twClientName, twAttrList?)>
326 <!ELEMENT twClientName (#PCDATA)>
327 <!ELEMENT twAttrList (twAttrListItem)*>
328 <!ELEMENT twAttrListItem (twName, twValue*)>
329 <!ELEMENT twName (#PCDATA)>
330 <!ELEMENT twValue (#PCDATA)>
331 ]>
332 <twReport><twBody><twSumRpt><twConstSummaryTable><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net clk_main_BUFGP</twConstName><twConstData type="SETUP" best="3.387" units="ns" score="0"/><twConstData type="HOLD" slack="0.481" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="4">0</twUnmetConstCnt><twInfo anchorID="5">INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</twInfo></twSumRpt></twBody></twReport>
1 NO CONTENT: new file 10644
@@ -0,0 +1,423
1 Release 14.7 - xst P.20131013 (nt64)
2 Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
3 --> Parameter TMPDIR set to xst/projnav.tmp
4
5
6 Total REAL time to Xst completion: 0.00 secs
7 Total CPU time to Xst completion: 0.12 secs
8
9 --> Parameter xsthdpdir set to xst
10
11
12 Total REAL time to Xst completion: 0.00 secs
13 Total CPU time to Xst completion: 0.12 secs
14
15 --> Reading design: BloqueRAM_int.prj
16
17 TABLE OF CONTENTS
18 1) Synthesis Options Summary
19 2) HDL Parsing
20 3) HDL Elaboration
21 4) HDL Synthesis
22 4.1) HDL Synthesis Report
23 5) Advanced HDL Synthesis
24 5.1) Advanced HDL Synthesis Report
25 6) Low Level Synthesis
26 7) Partition Report
27 8) Design Summary
28 8.1) Primitive and Black Box Usage
29 8.2) Device utilization summary
30 8.3) Partition Resource Summary
31 8.4) Timing Report
32 8.4.1) Clock Information
33 8.4.2) Asynchronous Control Signals Information
34 8.4.3) Timing Summary
35 8.4.4) Timing Details
36 8.4.5) Cross Clock Domains Report
37
38
39 =========================================================================
40 * Synthesis Options Summary *
41 =========================================================================
42 ---- Source Parameters
43 Input File Name : "BloqueRAM_int.prj"
44 Ignore Synthesis Constraint File : NO
45
46 ---- Target Parameters
47 Output File Name : "BloqueRAM_int"
48 Output Format : NGC
49 Target Device : xc6slx9-3-tqg144
50
51 ---- Source Options
52 Top Module Name : BloqueRAM_int
53 Automatic FSM Extraction : YES
54 FSM Encoding Algorithm : Auto
55 Safe Implementation : No
56 FSM Style : LUT
57 RAM Extraction : Yes
58 RAM Style : Auto
59 ROM Extraction : Yes
60 Shift Register Extraction : YES
61 ROM Style : Auto
62 Resource Sharing : YES
63 Asynchronous To Synchronous : NO
64 Shift Register Minimum Size : 2
65 Use DSP Block : Auto
66 Automatic Register Balancing : No
67
68 ---- Target Options
69 LUT Combining : Auto
70 Reduce Control Sets : Auto
71 Add IO Buffers : YES
72 Global Maximum Fanout : 100000
73 Add Generic Clock Buffer(BUFG) : 16
74 Register Duplication : YES
75 Optimize Instantiated Primitives : NO
76 Use Clock Enable : Auto
77 Use Synchronous Set : Auto
78 Use Synchronous Reset : Auto
79 Pack IO Registers into IOBs : Auto
80 Equivalent register Removal : YES
81
82 ---- General Options
83 Optimization Goal : Speed
84 Optimization Effort : 1
85 Power Reduction : NO
86 Keep Hierarchy : No
87 Netlist Hierarchy : As_Optimized
88 RTL Output : Yes
89 Global Optimization : AllClockNets
90 Read Cores : YES
91 Write Timing Constraints : NO
92 Cross Clock Analysis : NO
93 Hierarchy Separator : /
94 Bus Delimiter : <>
95 Case Specifier : Maintain
96 Slice Utilization Ratio : 100
97 BRAM Utilization Ratio : 100
98 DSP48 Utilization Ratio : 100
99 Auto BRAM Packing : NO
100 Slice Utilization Ratio Delta : 5
101
102 =========================================================================
103
104
105 =========================================================================
106 * HDL Parsing *
107 =========================================================================
108 Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\Memoria_SRAM_16kb_v02\BloqueRAM_int.vhd" into library work
109 Parsing entity <BloqueRAM_int>.
110 Parsing architecture <Behavioral> of entity <bloqueram_int>.
111
112 =========================================================================
113 * HDL Elaboration *
114 =========================================================================
115
116 Elaborating entity <BloqueRAM_int> (architecture <Behavioral>) with generics from library <work>.
117
118 =========================================================================
119 * HDL Synthesis *
120 =========================================================================
121
122 Synthesizing Unit <BloqueRAM_int>.
123 Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\Memoria_SRAM_16kb_v02\BloqueRAM_int.vhd".
124 DATA_PRIM_SZ = 32
125 ADDR_PRIM_SZ = 14
126 RESUL_SZ = 16
127 ADDR_SZ = 10
128 Found 1-bit register for signal <data_ram_aux_out[14]_clk_main_DFF_2_q>.
129 Found 1-bit register for signal <data_ram_aux_out[13]_clk_main_DFF_3_q>.
130 Found 1-bit register for signal <data_ram_aux_out[12]_clk_main_DFF_4_q>.
131 Found 1-bit register for signal <data_ram_aux_out[11]_clk_main_DFF_5_q>.
132 Found 1-bit register for signal <data_ram_aux_out[10]_clk_main_DFF_6_q>.
133 Found 1-bit register for signal <data_ram_aux_out[9]_clk_main_DFF_7_q>.
134 Found 1-bit register for signal <data_ram_aux_out[8]_clk_main_DFF_8_q>.
135 Found 1-bit register for signal <data_ram_aux_out[7]_clk_main_DFF_9_q>.
136 Found 1-bit register for signal <data_ram_aux_out[6]_clk_main_DFF_10_q>.
137 Found 1-bit register for signal <data_ram_aux_out[5]_clk_main_DFF_11_q>.
138 Found 1-bit register for signal <data_ram_aux_out[4]_clk_main_DFF_12_q>.
139 Found 1-bit register for signal <data_ram_aux_out[3]_clk_main_DFF_13_q>.
140 Found 1-bit register for signal <data_ram_aux_out[2]_clk_main_DFF_14_q>.
141 Found 1-bit register for signal <data_ram_aux_out[1]_clk_main_DFF_15_q>.
142 Found 1-bit register for signal <data_ram_aux_out[0]_clk_main_DFF_16_q>.
143 Found 1-bit register for signal <data_ram_aux_in<15>>.
144 Found 1-bit register for signal <data_ram_aux_in<14>>.
145 Found 1-bit register for signal <data_ram_aux_in<13>>.
146 Found 1-bit register for signal <data_ram_aux_in<12>>.
147 Found 1-bit register for signal <data_ram_aux_in<11>>.
148 Found 1-bit register for signal <data_ram_aux_in<10>>.
149 Found 1-bit register for signal <data_ram_aux_in<9>>.
150 Found 1-bit register for signal <data_ram_aux_in<8>>.
151 Found 1-bit register for signal <data_ram_aux_in<7>>.
152 Found 1-bit register for signal <data_ram_aux_in<6>>.
153 Found 1-bit register for signal <data_ram_aux_in<5>>.
154 Found 1-bit register for signal <data_ram_aux_in<4>>.
155 Found 1-bit register for signal <data_ram_aux_in<3>>.
156 Found 1-bit register for signal <data_ram_aux_in<2>>.
157 Found 1-bit register for signal <data_ram_aux_in<1>>.
158 Found 1-bit register for signal <data_ram_aux_in<0>>.
159 Found 1-bit register for signal <PWR_5_o_clk_main_DFF_33>.
160 Found 1-bit register for signal <PWR_13_o_clk_main_DFF_41>.
161 Found 1-bit register for signal <data_ram_aux_out[15]_clk_main_DFF_1_q>.
162 Found 1-bit tristate buffer for signal <DATA_RAM<15>> created at line 73
163 Found 1-bit tristate buffer for signal <DATA_RAM<14>> created at line 73
164 Found 1-bit tristate buffer for signal <DATA_RAM<13>> created at line 73
165 Found 1-bit tristate buffer for signal <DATA_RAM<12>> created at line 73
166 Found 1-bit tristate buffer for signal <DATA_RAM<11>> created at line 73
167 Found 1-bit tristate buffer for signal <DATA_RAM<10>> created at line 73
168 Found 1-bit tristate buffer for signal <DATA_RAM<9>> created at line 73
169 Found 1-bit tristate buffer for signal <DATA_RAM<8>> created at line 73
170 Found 1-bit tristate buffer for signal <DATA_RAM<7>> created at line 73
171 Found 1-bit tristate buffer for signal <DATA_RAM<6>> created at line 73
172 Found 1-bit tristate buffer for signal <DATA_RAM<5>> created at line 73
173 Found 1-bit tristate buffer for signal <DATA_RAM<4>> created at line 73
174 Found 1-bit tristate buffer for signal <DATA_RAM<3>> created at line 73
175 Found 1-bit tristate buffer for signal <DATA_RAM<2>> created at line 73
176 Found 1-bit tristate buffer for signal <DATA_RAM<1>> created at line 73
177 Found 1-bit tristate buffer for signal <DATA_RAM<0>> created at line 73
178 Summary:
179 inferred 34 D-type flip-flop(s).
180 inferred 16 Tristate(s).
181 Unit <BloqueRAM_int> synthesized.
182
183 =========================================================================
184 HDL Synthesis Report
185
186 Macro Statistics
187 # Registers : 34
188 1-bit register : 34
189 # Tristates : 16
190 1-bit tristate buffer : 16
191
192 =========================================================================
193
194 =========================================================================
195 * Advanced HDL Synthesis *
196 =========================================================================
197
198
199 =========================================================================
200 Advanced HDL Synthesis Report
201
202 Macro Statistics
203 # Registers : 34
204 Flip-Flops : 34
205
206 =========================================================================
207
208 =========================================================================
209 * Low Level Synthesis *
210 =========================================================================
211
212 Optimizing unit <BloqueRAM_int> ...
213
214 Mapping all equations...
215 Building and optimizing final netlist ...
216 Found area constraint ratio of 100 (+ 5) on block BloqueRAM_int, actual ratio is 0.
217
218 Final Macro Processing ...
219
220 =========================================================================
221 Final Register Report
222
223 Macro Statistics
224 # Registers : 34
225 Flip-Flops : 34
226
227 =========================================================================
228
229 =========================================================================
230 * Partition Report *
231 =========================================================================
232
233 Partition Implementation Status
234 -------------------------------
235
236 No Partitions were found in this design.
237
238 -------------------------------
239
240 =========================================================================
241 * Design Summary *
242 =========================================================================
243
244 Top Level Output File Name : BloqueRAM_int.ngc
245
246 Primitive and Black Box Usage:
247 ------------------------------
248 # BELS : 10
249 # GND : 1
250 # INV : 3
251 # LUT2 : 1
252 # LUT4 : 2
253 # LUT5 : 2
254 # VCC : 1
255 # FlipFlops/Latches : 34
256 # FDC : 18
257 # FDE : 16
258 # RAMS : 1
259 # RAMB16BWER : 1
260 # Clock Buffers : 1
261 # BUFGP : 1
262 # IO Buffers : 32
263 # IBUF : 16
264 # IOBUF : 16
265
266 Device utilization summary:
267 ---------------------------
268
269 Selected Device : 6slx9tqg144-3
270
271
272 Slice Logic Utilization:
273 Number of Slice Registers: 34 out of 11440 0%
274 Number of Slice LUTs: 8 out of 5720 0%
275 Number used as Logic: 8 out of 5720 0%
276
277 Slice Logic Distribution:
278 Number of LUT Flip Flop pairs used: 42
279 Number with an unused Flip Flop: 8 out of 42 19%
280 Number with an unused LUT: 34 out of 42 80%
281 Number of fully used LUT-FF pairs: 0 out of 42 0%
282 Number of unique control sets: 4
283
284 IO Utilization:
285 Number of IOs: 33
286 Number of bonded IOBs: 33 out of 102 32%
287
288 Specific Feature Utilization:
289 Number of Block RAM/FIFO: 1 out of 32 3%
290 Number using Block RAM only: 1
291 Number of BUFG/BUFGCTRLs: 1 out of 16 6%
292
293 ---------------------------
294 Partition Resource Summary:
295 ---------------------------
296
297 No Partitions were found in this design.
298
299 ---------------------------
300
301
302 =========================================================================
303 Timing Report
304
305 NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
306 FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
307 GENERATED AFTER PLACE-and-ROUTE.
308
309 Clock Information:
310 ------------------
311 -----------------------------------+------------------------+-------+
312 Clock Signal | Clock buffer(FF name) | Load |
313 -----------------------------------+------------------------+-------+
314 clk_main | BUFGP | 35 |
315 data_ram_aux_in<31:16><1> | NONE(RAMB16BWER_inst) | 1 |
316 -----------------------------------+------------------------+-------+
317 INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
318
319 Asynchronous Control Signals Information:
320 ----------------------------------------
321 No asynchronous control signals found in this design
322
323 Timing Summary:
324 ---------------
325 Speed Grade: -3
326
327 Minimum period: 2.531ns (Maximum Frequency: 395.124MHz)
328 Minimum input arrival time before clock: 3.743ns
329 Maximum output required time after clock: 4.604ns
330 Maximum combinational path delay: No path found
331
332 Timing Details:
333 ---------------
334 All values displayed in nanoseconds (ns)
335
336 =========================================================================
337 Timing constraint: Default period analysis for Clock 'clk_main'
338 Clock period: 2.531ns (frequency: 395.124MHz)
339 Total number of paths / destination ports: 32 / 32
340 -------------------------------------------------------------------------
341 Delay: 2.531ns (Levels of Logic = 0)
342 Source: RAMB16BWER_inst (RAM)
343 Destination: DATA_RAM_15 (FF)
344 Source Clock: clk_main rising
345 Destination Clock: clk_main rising
346
347 Data Path: RAMB16BWER_inst to DATA_RAM_15
348 Gate Net
349 Cell:in->out fanout Delay Delay Logical Name (Net Name)
350 ---------------------------------------- ------------
351 RAMB16BWER:CLKA->DOA15 1 1.850 0.579 RAMB16BWER_inst (data_ram_aux_out<15>)
352 FDC:D 0.102 DATA_RAM_15
353 ----------------------------------------
354 Total 2.531ns (1.952ns logic, 0.579ns route)
355 (77.1% logic, 22.9% route)
356
357 =========================================================================
358 Timing constraint: Default OFFSET IN BEFORE for Clock 'clk_main'
359 Total number of paths / destination ports: 186 / 65
360 -------------------------------------------------------------------------
361 Offset: 3.743ns (Levels of Logic = 2)
362 Source: WEA_bar (PAD)
363 Destination: PWR_5_o_clk_main_DFF_33 (FF)
364 Destination Clock: clk_main rising
365
366 Data Path: WEA_bar to PWR_5_o_clk_main_DFF_33
367 Gate Net
368 Cell:in->out fanout Delay Delay Logical Name (Net Name)
369 ---------------------------------------- ------------
370 IBUF:I->O 5 1.222 1.059 WEA_bar_IBUF (WEA_bar_IBUF)
371 LUT5:I0->O 9 0.203 0.829 UB_bar_CS_aux_OR_50_o1 (UB_bar_CS_aux_OR_50_o)
372 FDC:CLR 0.430 PWR_5_o_clk_main_DFF_33
373 ----------------------------------------
374 Total 3.743ns (1.855ns logic, 1.888ns route)
375 (49.6% logic, 50.4% route)
376
377 =========================================================================
378 Timing constraint: Default OFFSET OUT AFTER for Clock 'clk_main'
379 Total number of paths / destination ports: 32 / 16
380 -------------------------------------------------------------------------
381 Offset: 4.604ns (Levels of Logic = 2)
382 Source: PWR_5_o_clk_main_DFF_33 (FF)
383 Destination: DATA_RAM<15> (PAD)
384 Source Clock: clk_main rising
385
386 Data Path: PWR_5_o_clk_main_DFF_33 to DATA_RAM<15>
387 Gate Net
388 Cell:in->out fanout Delay Delay Logical Name (Net Name)
389 ---------------------------------------- ------------
390 FDC:C->Q 1 0.447 0.579 PWR_5_o_clk_main_DFF_33 (PWR_5_o_clk_main_DFF_33)
391 INV:I->O 8 0.206 0.802 PWR_5_o_clk_main_DFF_33_inv1_INV_0 (PWR_5_o_clk_main_DFF_33_inv)
392 IOBUF:T->IO 2.571 DATA_RAM_15_IOBUF (DATA_RAM<15>)
393 ----------------------------------------
394 Total 4.604ns (3.224ns logic, 1.380ns route)
395 (70.0% logic, 30.0% route)
396
397 =========================================================================
398
399 Cross Clock Domains Report:
400 --------------------------
401
402 Clock to Setup on destination clock clk_main
403 ---------------+---------+---------+---------+---------+
404 | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
405 Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
406 ---------------+---------+---------+---------+---------+
407 clk_main | 2.531| | | |
408 ---------------+---------+---------+---------+---------+
409
410 =========================================================================
411
412
413 Total REAL time to Xst completion: 6.00 secs
414 Total CPU time to Xst completion: 6.19 secs
415
416 -->
417
418 Total memory usage is 249008 kilobytes
419
420 Number of errors : 0 ( 0 filtered)
421 Number of warnings : 0 ( 0 filtered)
422 Number of infos : 1 ( 0 filtered)
423
@@ -0,0 +1,116
1 --------------------------------------------------------------------------------
2 Release 14.7 Trace (nt64)
3 Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
4
5 C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 3
6 -n 3 -fastpaths -xml BloqueRAM_int.twx BloqueRAM_int.ncd -o BloqueRAM_int.twr
7 BloqueRAM_int.pcf
8
9 Design file: BloqueRAM_int.ncd
10 Physical constraint file: BloqueRAM_int.pcf
11 Device,package,speed: xc6slx9,tqg144,C,-3 (PRODUCTION 1.23 2013-10-13)
12 Report level: verbose report
13
14 Environment Variable Effect
15 -------------------- ------
16 NONE No environment variables were set
17 --------------------------------------------------------------------------------
18
19 INFO:Timing:2698 - No timing constraints found, doing default enumeration.
20 INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).
21 INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
22 option. All paths that are not constrained will be reported in the
23 unconstrained paths section(s) of the report.
24 INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on
25 a 50 Ohm transmission line loading model. For the details of this model,
26 and for more information on accounting for different loading conditions,
27 please see the device datasheet.
28
29
30
31 Data Sheet report:
32 -----------------
33 All values displayed in nanoseconds (ns)
34
35 Setup/Hold to clock clk_main
36 ------------+------------+------------+------------+------------+------------------+--------+
37 |Max Setup to| Process |Max Hold to | Process | | Clock |
38 Source | clk (edge) | Corner | clk (edge) | Corner |Internal Clock(s) | Phase |
39 ------------+------------+------------+------------+------------+------------------+--------+
40 ADDR_RAM<0> | 2.801(R)| SLOW | -1.108(R)| FAST |clk_main_BUFGP | 0.000|
41 ADDR_RAM<1> | 3.246(R)| SLOW | -1.456(R)| FAST |clk_main_BUFGP | 0.000|
42 ADDR_RAM<2> | 3.279(R)| SLOW | -1.417(R)| FAST |clk_main_BUFGP | 0.000|
43 ADDR_RAM<3> | 2.472(R)| SLOW | -0.858(R)| FAST |clk_main_BUFGP | 0.000|
44 ADDR_RAM<4> | 2.592(R)| SLOW | -0.944(R)| FAST |clk_main_BUFGP | 0.000|
45 ADDR_RAM<5> | 2.575(R)| SLOW | -0.975(R)| FAST |clk_main_BUFGP | 0.000|
46 ADDR_RAM<6> | 2.784(R)| SLOW | -1.124(R)| FAST |clk_main_BUFGP | 0.000|
47 ADDR_RAM<7> | 2.323(R)| SLOW | -0.758(R)| FAST |clk_main_BUFGP | 0.000|
48 ADDR_RAM<8> | 2.837(R)| SLOW | -1.172(R)| FAST |clk_main_BUFGP | 0.000|
49 ADDR_RAM<9> | 2.986(R)| SLOW | -1.256(R)| FAST |clk_main_BUFGP | 0.000|
50 CS_1_bar | 4.345(R)| SLOW | -1.617(R)| FAST |clk_main_BUFGP | 0.000|
51 CS_2 | 3.532(R)| SLOW | -1.117(R)| FAST |clk_main_BUFGP | 0.000|
52 DATA_RAM<0> | 2.070(R)| SLOW | -0.953(R)| FAST |clk_main_BUFGP | 0.000|
53 DATA_RAM<1> | 2.467(R)| SLOW | -1.234(R)| FAST |clk_main_BUFGP | 0.000|
54 DATA_RAM<2> | 2.583(R)| SLOW | -1.331(R)| FAST |clk_main_BUFGP | 0.000|
55 DATA_RAM<3> | 2.402(R)| SLOW | -1.216(R)| FAST |clk_main_BUFGP | 0.000|
56 DATA_RAM<4> | 3.146(R)| SLOW | -1.732(R)| FAST |clk_main_BUFGP | 0.000|
57 DATA_RAM<5> | 2.409(R)| SLOW | -1.177(R)| FAST |clk_main_BUFGP | 0.000|
58 DATA_RAM<6> | 2.535(R)| SLOW | -1.272(R)| FAST |clk_main_BUFGP | 0.000|
59 DATA_RAM<7> | 2.494(R)| SLOW | -1.234(R)| FAST |clk_main_BUFGP | 0.000|
60 DATA_RAM<8> | 2.834(R)| SLOW | -1.499(R)| FAST |clk_main_BUFGP | 0.000|
61 DATA_RAM<9> | 2.770(R)| SLOW | -1.457(R)| FAST |clk_main_BUFGP | 0.000|
62 DATA_RAM<10>| 2.773(R)| SLOW | -1.436(R)| FAST |clk_main_BUFGP | 0.000|
63 DATA_RAM<11>| 2.636(R)| SLOW | -1.363(R)| FAST |clk_main_BUFGP | 0.000|
64 DATA_RAM<12>| 3.878(R)| SLOW | -2.273(R)| FAST |clk_main_BUFGP | 0.000|
65 DATA_RAM<13>| 2.808(R)| SLOW | -1.448(R)| FAST |clk_main_BUFGP | 0.000|
66 DATA_RAM<14>| 2.982(R)| SLOW | -1.557(R)| FAST |clk_main_BUFGP | 0.000|
67 DATA_RAM<15>| 2.769(R)| SLOW | -1.433(R)| FAST |clk_main_BUFGP | 0.000|
68 LB_bar | 4.122(R)| SLOW | -1.890(R)| FAST |clk_main_BUFGP | 0.000|
69 OE_bar | 2.665(R)| SLOW | -1.074(R)| FAST |clk_main_BUFGP | 0.000|
70 UB_bar | 4.479(R)| SLOW | -1.697(R)| FAST |clk_main_BUFGP | 0.000|
71 WEA_bar | 4.813(R)| SLOW | -1.442(R)| FAST |clk_main_BUFGP | 0.000|
72 ------------+------------+------------+------------+------------+------------------+--------+
73
74 Clock clk_main to Pad
75 ------------+-----------------+------------+-----------------+------------+------------------+--------+
76 |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock |
77 Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase |
78 ------------+-----------------+------------+-----------------+------------+------------------+--------+
79 DATA_RAM<0> | 9.844(R)| SLOW | 4.721(R)| FAST |clk_main_BUFGP | 0.000|
80 DATA_RAM<1> | 10.026(R)| SLOW | 4.710(R)| FAST |clk_main_BUFGP | 0.000|
81 DATA_RAM<2> | 10.364(R)| SLOW | 5.006(R)| FAST |clk_main_BUFGP | 0.000|
82 DATA_RAM<3> | 10.364(R)| SLOW | 4.968(R)| FAST |clk_main_BUFGP | 0.000|
83 DATA_RAM<4> | 10.240(R)| SLOW | 4.959(R)| FAST |clk_main_BUFGP | 0.000|
84 DATA_RAM<5> | 10.240(R)| SLOW | 4.857(R)| FAST |clk_main_BUFGP | 0.000|
85 DATA_RAM<6> | 10.886(R)| SLOW | 5.091(R)| FAST |clk_main_BUFGP | 0.000|
86 DATA_RAM<7> | 10.886(R)| SLOW | 5.131(R)| FAST |clk_main_BUFGP | 0.000|
87 DATA_RAM<8> | 10.064(R)| SLOW | 5.049(R)| FAST |clk_main_BUFGP | 0.000|
88 DATA_RAM<9> | 10.064(R)| SLOW | 5.049(R)| FAST |clk_main_BUFGP | 0.000|
89 DATA_RAM<10>| 9.947(R)| SLOW | 5.039(R)| FAST |clk_main_BUFGP | 0.000|
90 DATA_RAM<11>| 9.947(R)| SLOW | 4.995(R)| FAST |clk_main_BUFGP | 0.000|
91 DATA_RAM<12>| 10.119(R)| SLOW | 5.097(R)| FAST |clk_main_BUFGP | 0.000|
92 DATA_RAM<13>| 10.119(R)| SLOW | 5.025(R)| FAST |clk_main_BUFGP | 0.000|
93 DATA_RAM<14>| 10.418(R)| SLOW | 5.153(R)| FAST |clk_main_BUFGP | 0.000|
94 DATA_RAM<15>| 10.418(R)| SLOW | 5.135(R)| FAST |clk_main_BUFGP | 0.000|
95 ------------+-----------------+------------+-----------------+------------+------------------+--------+
96
97 Clock to Setup on destination clock clk_main
98 ---------------+---------+---------+---------+---------+
99 | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
100 Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
101 ---------------+---------+---------+---------+---------+
102 clk_main | 3.387| | | |
103 ---------------+---------+---------+---------+---------+
104
105
106 Analysis completed Mon Dec 14 11:39:17 2015
107 --------------------------------------------------------------------------------
108
109 Trace Settings:
110 -------------------------
111 Trace Settings
112
113 Peak Memory Usage: 213 MB
114
115
116
@@ -0,0 +1,339
1 <?xml version="1.0" encoding="UTF-8"?>
2 <!DOCTYPE twReport [
3 <!ELEMENT twReport (twHead?, (twWarn | twDebug | twInfo)*, twBody, twSum?,
4 twDebug*, twFoot?, twClientInfo?)>
5 <!ATTLIST twReport version CDATA "10,4">
6 <!ELEMENT twHead (twExecVer?, twCopyright, twCmdLine?, twDesign?, twPCF?, twDevInfo, twRptInfo, twEnvVar*)>
7 <!ELEMENT twExecVer (#PCDATA)>
8 <!ELEMENT twCopyright (#PCDATA)>
9 <!ELEMENT twCmdLine (#PCDATA)>
10 <!ELEMENT twDesign (#PCDATA)>
11 <!ELEMENT twPCF (#PCDATA)>
12 <!ELEMENT twDevInfo (twDevName, twSpeedGrade, twSpeedVer?)>
13 <!ELEMENT twDevName (#PCDATA)>
14 <!ATTLIST twDevInfo arch CDATA #IMPLIED pkg CDATA #IMPLIED>
15 <!ELEMENT twSpeedGrade (#PCDATA)>
16 <!ELEMENT twSpeedVer (#PCDATA)>
17 <!ELEMENT twRptInfo (twItemLimit?, (twUnconst, twUnconstLimit?)?)>
18 <!ATTLIST twRptInfo twRptLvl (twErr | twVerbose | twTerseErr | twSum | twTimeGrp) #REQUIRED>
19 <!ATTLIST twRptInfo twAdvRpt (TRUE | FALSE) "FALSE">
20 <!ATTLIST twRptInfo twTimeUnits (twPsec | twNsec | twUsec | twMsec | twSec) "twNsec">
21 <!ATTLIST twRptInfo twFreqUnits (twGHz | twMHz | twHz) "twMHz">
22 <!ATTLIST twRptInfo twReportMinPaths CDATA #IMPLIED>
23 <!ELEMENT twItemLimit (#PCDATA)>
24 <!ELEMENT twUnconst EMPTY>
25 <!ELEMENT twUnconstLimit (#PCDATA)>
26 <!ELEMENT twEnvVar EMPTY>
27 <!ATTLIST twEnvVar name CDATA #REQUIRED>
28 <!ATTLIST twEnvVar description CDATA #REQUIRED>
29 <!ELEMENT twWarn (#PCDATA)>
30 <!ELEMENT twInfo (#PCDATA)>
31 <!ELEMENT twDebug (#PCDATA)>
32 <!ELEMENT twBody (twDerating?, (twSumRpt | twVerboseRpt | twErrRpt | twTerseErrRpt | twTimeGrpRpt), twNonDedClks?)>
33 <!ATTLIST twBody twFastPaths CDATA #IMPLIED>
34 <!ELEMENT twDerating (twProc?, twTemp?, twVolt?)>
35 <!ELEMENT twProc (#PCDATA)>
36 <!ELEMENT twTemp (#PCDATA)>
37 <!ELEMENT twVolt (#PCDATA)>
38 <!ELEMENT twSumRpt (twConstRollupTable*, twConstList?, twConstSummaryTable?, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?)>
39 <!ELEMENT twErrRpt (twCycles?, (twConst | twTIG | twConstRollupTable)*, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?, twTimeGrp*)>
40 <!ELEMENT twTerseErrRpt (twConstList, twUnmetConstCnt?, twDataSheet?)>
41 <!ELEMENT twVerboseRpt (twCycles?, (twConst | twTIG | twConstRollupTable)*, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?, twTimeGrp*)>
42 <!ELEMENT twCycles (twSigConn+)>
43 <!ATTLIST twCycles twNum CDATA #REQUIRED>
44 <!ELEMENT twSigConn (twSig, twDriver, twLoad)>
45 <!ELEMENT twSig (#PCDATA)>
46 <!ELEMENT twDriver (#PCDATA)>
47 <!ELEMENT twLoad (#PCDATA)>
48 <!ELEMENT twConst (twConstHead, ((twPathRpt?,twRacePathRpt?, twPathRptBanner?)* | (twPathRpt*, twRacePathRpt?) | twNetRpt* | twClkSkewLimit*))>
49 <!ATTLIST twConst twConstType (NET |
50 NETDELAY |
51 NETSKEW |
52 PATH |
53 DEFPERIOD |
54 UNCONSTPATH |
55 DEFPATH |
56 PATH2SETUP |
57 UNCONSTPATH2SETUP |
58 PATHCLASS |
59 PATHDELAY |
60 PERIOD |
61 FREQUENCY |
62 PATHBLOCK |
63 OFFSET |
64 OFFSETIN |
65 OFFSETINCLOCK |
66 UNCONSTOFFSETINCLOCK |
67 OFFSETINDELAY |
68 OFFSETINMOD |
69 OFFSETOUT |
70 OFFSETOUTCLOCK |
71 UNCONSTOFFSETOUTCLOCK |
72 OFFSETOUTDELAY |
73 OFFSETOUTMOD| CLOCK_SKEW_LIMITS) #IMPLIED>
74 <!ELEMENT twConstHead (twConstName, twItemCnt, twErrCntSetup, twErrCntEndPt?, twErrCntHold,
75 twEndPtCnt?,
76 twPathErrCnt?, (twMinPer| twMaxDel| twMaxFreq| twMaxNetDel| twMaxNetSkew| twMinOff| twMaxOff)*)>
77 <!ELEMENT twConstName (#PCDATA)>
78 <!ATTLIST twConstName UCFConstName CDATA #IMPLIED>
79 <!ATTLIST twConstHead uID CDATA #IMPLIED>
80 <!ELEMENT twItemCnt (#PCDATA)>
81 <!ELEMENT twErrCnt (#PCDATA)>
82 <!ELEMENT twErrCntEndPt (#PCDATA)>
83 <!ELEMENT twErrCntSetup (#PCDATA)>
84 <!ELEMENT twErrCntHold (#PCDATA)>
85 <!ATTLIST twErrCntHold twRaceChecked (TRUE | FALSE) "FALSE">
86 <!ELEMENT twEndPtCnt (#PCDATA)>
87 <!ELEMENT twPathErrCnt (#PCDATA)>
88 <!ELEMENT twMinPer (#PCDATA) >
89 <!ELEMENT twFootnote EMPTY>
90 <!ATTLIST twFootnote number CDATA #REQUIRED>
91 <!ELEMENT twMaxDel (#PCDATA)>
92 <!ELEMENT twMaxFreq (#PCDATA)>
93 <!ELEMENT twMinOff (#PCDATA)>
94 <!ELEMENT twMaxOff (#PCDATA)>
95 <!ELEMENT twTIG (twTIGHead, (twPathRpt*,twRacePathRpt?))>
96 <!ELEMENT twTIGHead (twTIGName, twInstantiated, twBlocked)>
97 <!ELEMENT twTIGName (#PCDATA)>
98 <!ELEMENT twInstantiated (#PCDATA)>
99 <!ELEMENT twBlocked (#PCDATA)>
100 <!ELEMENT twRacePathRpt (twRacePath+)>
101 <!ELEMENT twPathRpt (twUnconstPath | twConstPath | twUnconstOffIn | twConstOffIn | twUnconstOffOut | twConstOffOut | twModOffOut)>
102 <!ELEMENT twUnconstPath (twTotDel, twSrc, twDest, (twDel, twSUTime)?, twTotPathDel?, twClkSkew?, tw2Phase?, twClkUncert?, twDetPath?)>
103 <!ATTLIST twUnconstPath twDataPathType CDATA #IMPLIED
104 twSimpleMinPath CDATA #IMPLIED>
105 <!ELEMENT twTotDel (#PCDATA)>
106 <!ELEMENT twSrc (#PCDATA)>
107 <!ATTLIST twSrc BELType CDATA #IMPLIED>
108 <!ELEMENT twDest (#PCDATA)>
109 <!ATTLIST twDest BELType CDATA #IMPLIED>
110 <!ELEMENT twDel (#PCDATA)>
111 <!ELEMENT twSUTime (#PCDATA)>
112 <!ELEMENT twTotPathDel (#PCDATA)>
113 <!ELEMENT twClkSkew (#PCDATA)>
114 <!ATTLIST twClkSkew dest CDATA #IMPLIED src CDATA #IMPLIED>
115 <!ELEMENT twConstPath (twSlack, twSrc, twDest, twTotPathDel?, twClkSkew?, twDelConst, tw2Phase?, twClkUncert?, twDetPath?)>
116 <!ATTLIST twConstPath twDataPathType CDATA "twDataPathMaxDelay">
117 <!ATTLIST twConstPath constType (period | fromto | unknown) "unknown">
118 <!ELEMENT twSlack (#PCDATA)>
119 <!ELEMENT twDelConst (#PCDATA)>
120 <!ELEMENT tw2Phase EMPTY>
121 <!ELEMENT twClkUncert (#PCDATA)>
122 <!ATTLIST twClkUncert fSysJit CDATA #IMPLIED fInputJit CDATA #IMPLIED
123 fDCMJit CDATA #IMPLIED
124 fPhaseErr CDATA #IMPLIED
125 sEqu CDATA #IMPLIED>
126 <!ELEMENT twRacePath (twSlack, twSrc, twDest, twClkSkew, twDelConst?, twClkUncert?, twDetPath)>
127 <!ELEMENT twPathRptBanner (#PCDATA)>
128 <!ATTLIST twPathRptBanner sType CDATA #IMPLIED iPaths CDATA #IMPLIED iCriticalPaths CDATA #IMPLIED>
129 <!ELEMENT twUnconstOffIn (twOff, twSrc, twDest, twGuaranteed?, twClkUncert?, (twDataPath, twClkPath)?)>
130 <!ATTLIST twUnconstOffIn twDataPathType CDATA #IMPLIED>
131 <!ELEMENT twOff (#PCDATA)>
132 <!ELEMENT twGuaranteed EMPTY>
133 <!ELEMENT twConstOffIn (twSlack, twSrc, twDest, ((twClkDel, twClkSrc, twClkDest) | twGuarInSetup), twOff, twOffSrc, twOffDest, twClkUncert?, (twDataPath, twClkPath)?)>
134 <!ATTLIST twConstOffIn twDataPathType CDATA "twDataPathMaxDelay">
135 <!ATTLIST twConstOffIn twDurationNotSpecified CDATA #IMPLIED>
136 <!ELEMENT twClkDel (#PCDATA)>
137 <!ELEMENT twClkSrc (#PCDATA)>
138 <!ELEMENT twClkDest (#PCDATA)>
139 <!ELEMENT twGuarInSetup (#PCDATA)>
140 <!ELEMENT twOffSrc (#PCDATA)>
141 <!ELEMENT twOffDest (#PCDATA)>
142 <!ELEMENT twUnconstOffOut (twOff, twSrc, twDest, twClkUncert?, (twClkPath, twDataPath)?)>
143 <!ATTLIST twUnconstOffOut twDataPathType CDATA #IMPLIED>
144 <!ELEMENT twConstOffOut (twSlack, twSrc, twDest, twClkDel, twClkSrc, twClkDest, twDataDel, twDataSrc, twDataDest, twOff, twOffSrc, twOffDest, twClkUncert?, (twClkPath, twDataPath)?)>
145 <!ATTLIST twConstOffOut twDataPathType CDATA "twDataPathMaxDelay">
146 <!ELEMENT twDataDel (#PCDATA)>
147 <!ELEMENT twDataSrc (#PCDATA)>
148 <!ELEMENT twDataDest (#PCDATA)>
149 <!ELEMENT twModOffOut (twSlack, twDest, twDataDel, twDataSrc, twDataDest, twClkUncert?, twDataPath?)>
150 <!ELEMENT twDetPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
151 <!ATTLIST twDetPath maxSiteLen CDATA #IMPLIED>
152 <!ELEMENT twDataPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
153 <!ATTLIST twDataPath maxSiteLen CDATA #IMPLIED>
154 <!ELEMENT twClkPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
155 <!ATTLIST twClkPath maxSiteLen CDATA #IMPLIED>
156 <!ELEMENT twLogLvls (#PCDATA)>
157 <!ELEMENT twSrcSite (#PCDATA)>
158 <!ELEMENT twSrcClk (#PCDATA)>
159 <!ATTLIST twSrcClk twEdge (twRising | twFalling) "twRising">
160 <!ATTLIST twSrcClk twArriveTime CDATA #IMPLIED>
161 <!ATTLIST twSrcClk twClkRes CDATA #IMPLIED>
162 <!ELEMENT twPathDel (twSite, twDelType, twFanCnt?, twDelInfo?, twComp, twNet?, twBEL*)>
163 <!ATTLIST twPathDel twHoldTime (TRUE | FALSE) "FALSE">
164 <!ELEMENT twDelInfo (#PCDATA)>
165 <!ATTLIST twDelInfo twEdge (twRising | twFalling | twIndet) #REQUIRED>
166 <!ATTLIST twDelInfo twAcc (twRouted | twEst | twApprox) "twRouted">
167 <!ELEMENT twSite (#PCDATA)>
168 <!ELEMENT twDelType (#PCDATA)>
169 <!ELEMENT twFanCnt (#PCDATA)>
170 <!ELEMENT twComp (#PCDATA)>
171 <!ELEMENT twNet (#PCDATA)>
172 <!ELEMENT twBEL (#PCDATA)>
173 <!ELEMENT twLogDel (#PCDATA)>
174 <!ELEMENT twRouteDel (#PCDATA)>
175 <!ELEMENT twDestClk (#PCDATA)>
176 <!ATTLIST twDestClk twEdge (twRising | twFalling) "twRising">
177 <!ATTLIST twDestClk twArriveTime CDATA #IMPLIED>
178 <!ATTLIST twDestClk twClkRes CDATA #IMPLIED>
179 <!ELEMENT twPctLog (#PCDATA)>
180 <!ELEMENT twPctRoute (#PCDATA)>
181 <!ELEMENT twNetRpt (twDelNet | twSlackNet | twSkewNet)>
182 <!ELEMENT twDelNet (twDel, twNet, twDetNet?)>
183 <!ELEMENT twSlackNet (twSlack, twNet, twDel, twNotMet?, twTimeConst, twAbsSlack, twDetNet?)>
184 <!ELEMENT twTimeConst (#PCDATA)>
185 <!ELEMENT twAbsSlack (#PCDATA)>
186 <!ELEMENT twSkewNet (twSlack, twNet, twSkew, twNotMet?, twTimeConst, twAbsSlack, twDetSkewNet?)>
187 <!ELEMENT twSkew (#PCDATA)>
188 <!ELEMENT twDetNet (twNetDel*)>
189 <!ELEMENT twNetDel (twSrc, twDest, twNetDelInfo)>
190 <!ELEMENT twNetDelInfo (#PCDATA)>
191 <!ATTLIST twNetDelInfo twAcc (twRouted | twEst | twApprox) "twRouted">
192 <!ELEMENT twDetSkewNet (twNetSkew*)>
193 <!ELEMENT twNetSkew (twSrc, twDest, twNetDelInfo, twSkew)>
194 <!ELEMENT twClkSkewLimit EMPTY>
195 <!ATTLIST twClkSkewLimit slack CDATA #IMPLIED skew CDATA #IMPLIED arrv1name CDATA #IMPLIED arrv1 CDATA #IMPLIED
196 arrv2name CDATA #IMPLIED arrv2 CDATA #IMPLIED uncert CDATA #IMPLIED>
197 <!ELEMENT twConstRollupTable (twConstRollup*)>
198 <!ATTLIST twConstRollupTable uID CDATA #IMPLIED>
199 <!ELEMENT twConstRollup EMPTY>
200 <!ATTLIST twConstRollup name CDATA #IMPLIED fullName CDATA #IMPLIED type CDATA #IMPLIED requirement CDATA #IMPLIED prefType CDATA #IMPLIED actual CDATA #IMPLIED>
201 <!ATTLIST twConstRollup actualRollup CDATA #IMPLIED errors CDATA #IMPLIED errorRollup CDATA #IMPLIED items CDATA #IMPLIED itemsRollup CDATA #IMPLIED>
202 <!ELEMENT twConstList (twConstListItem)*>
203 <!ELEMENT twConstListItem (twConstName, twNotMet?, twReqVal?, twActVal?, twLogLvls?)>
204 <!ATTLIST twConstListItem twUnits (twTime | twFreq) "twTime">
205 <!ELEMENT twNotMet EMPTY>
206 <!ELEMENT twReqVal (#PCDATA)>
207 <!ELEMENT twActVal (#PCDATA)>
208 <!ELEMENT twConstSummaryTable (twConstStats|twConstSummary)*>
209 <!ATTLIST twConstSummaryTable twEmptyConstraints CDATA #IMPLIED>
210 <!ELEMENT twConstStats (twConstName)>
211 <!ATTLIST twConstStats twUnits (twTime | twFreq) "twTime">
212 <!ATTLIST twConstStats twRequired CDATA #IMPLIED>
213 <!ATTLIST twConstStats twActual CDATA #IMPLIED>
214 <!ATTLIST twConstStats twSlack CDATA #IMPLIED>
215 <!ATTLIST twConstStats twLogLvls CDATA #IMPLIED>
216 <!ATTLIST twConstStats twErrors CDATA #IMPLIED>
217 <!ATTLIST twConstStats twPCFIndex CDATA #IMPLIED>
218 <!ATTLIST twConstStats twAbsSlackIndex CDATA #IMPLIED>
219 <!ATTLIST twConstStats twTCType CDATA #IMPLIED>
220 <!ELEMENT twConstSummary (twConstName, twConstData?, twConstData*)>
221 <!ATTLIST twConstSummary PCFIndex CDATA #IMPLIED slackIndex CDATA #IMPLIED>
222 <!ELEMENT twConstData EMPTY>
223 <!ATTLIST twConstData type CDATA #IMPLIED units (MHz | ns) "ns" slack CDATA #IMPLIED
224 best CDATA #IMPLIED requested CDATA #IMPLIED
225 errors CDATA #IMPLIED
226 score CDATA #IMPLIED>
227 <!ELEMENT twTimeGrpRpt (twTimeGrp)*>
228 <!ELEMENT twTimeGrp (twTimeGrpName, twCompList?, twBELList?, twMacList?, twBlockList?, twSigList?, twPinList?)>
229 <!ELEMENT twTimeGrpName (#PCDATA)>
230 <!ELEMENT twCompList (twCompName+)>
231 <!ELEMENT twCompName (#PCDATA)>
232 <!ELEMENT twSigList (twSigName+)>
233 <!ELEMENT twSigName (#PCDATA)>
234 <!ELEMENT twBELList (twBELName+)>
235 <!ELEMENT twBELName (#PCDATA)>
236 <!ELEMENT twBlockList (twBlockName+)>
237 <!ELEMENT twBlockName (#PCDATA)>
238 <!ELEMENT twMacList (twMacName+)>
239 <!ELEMENT twMacName (#PCDATA)>
240 <!ELEMENT twPinList (twPinName+)>
241 <!ELEMENT twPinName (#PCDATA)>
242 <!ELEMENT twUnmetConstCnt (#PCDATA)>
243 <!ELEMENT twDataSheet (twSUH2ClkList*, (twClk2PadList|twClk2OutList)*, twClk2SUList*, twPad2PadList?, twOffsetTables?)>
244 <!ATTLIST twDataSheet twNameLen CDATA #REQUIRED>
245 <!ELEMENT twSUH2ClkList (twDest, twSUH2Clk+)>
246 <!ATTLIST twSUH2ClkList twDestWidth CDATA #IMPLIED>
247 <!ATTLIST twSUH2ClkList twPhaseWidth CDATA #IMPLIED>
248 <!ELEMENT twSUH2Clk (twSrc, twSUHTime, twSUHTime?)>
249 <!ELEMENT twSUHTime (twSU2ClkTime?,twH2ClkTime?)>
250 <!ATTLIST twSUHTime twInternalClk CDATA #IMPLIED>
251 <!ATTLIST twSUHTime twClkPhase CDATA #IMPLIED>
252 <!ELEMENT twSU2ClkTime (#PCDATA)>
253 <!ATTLIST twSU2ClkTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
254 <!ELEMENT twH2ClkTime (#PCDATA)>
255 <!ATTLIST twH2ClkTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
256 <!ELEMENT twClk2PadList (twSrc, twClk2Pad+)>
257 <!ELEMENT twClk2Pad (twDest, twTime)>
258 <!ELEMENT twTime (#PCDATA)>
259 <!ATTLIST twTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
260 <!ELEMENT twClk2OutList (twSrc, twClk2Out+)>
261 <!ATTLIST twClk2OutList twDestWidth CDATA #REQUIRED>
262 <!ATTLIST twClk2OutList twPhaseWidth CDATA #REQUIRED>
263 <!ELEMENT twClk2Out EMPTY>
264 <!ATTLIST twClk2Out twOutPad CDATA #REQUIRED>
265 <!ATTLIST twClk2Out twMinTime CDATA #REQUIRED>
266 <!ATTLIST twClk2Out twMinEdge CDATA #REQUIRED>
267 <!ATTLIST twClk2Out twMaxTime CDATA #REQUIRED>
268 <!ATTLIST twClk2Out twMaxEdge CDATA #REQUIRED>
269 <!ATTLIST twClk2Out twInternalClk CDATA #REQUIRED>
270 <!ATTLIST twClk2Out twClkPhase CDATA #REQUIRED>
271 <!ELEMENT twClk2SUList (twDest, twClk2SU+)>
272 <!ATTLIST twClk2SUList twDestWidth CDATA #IMPLIED>
273 <!ELEMENT twClk2SU (twSrc, twRiseRise?, twFallRise?, twRiseFall?, twFallFall?)>
274 <!ELEMENT twRiseRise (#PCDATA)>
275 <!ELEMENT twFallRise (#PCDATA)>
276 <!ELEMENT twRiseFall (#PCDATA)>
277 <!ELEMENT twFallFall (#PCDATA)>
278 <!ELEMENT twPad2PadList (twPad2Pad+)>
279 <!ATTLIST twPad2PadList twSrcWidth CDATA #IMPLIED>
280 <!ATTLIST twPad2PadList twDestWidth CDATA #IMPLIED>
281 <!ELEMENT twPad2Pad (twSrc, twDest, twDel)>
282 <!ELEMENT twOffsetTables (twOffsetInTable*,twOffsetOutTable*)>
283 <!ELEMENT twOffsetInTable (twConstName, twOffInTblRow*)>
284 <!ATTLIST twOffsetInTable twDestWidth CDATA #IMPLIED>
285 <!ATTLIST twOffsetInTable twWorstWindow CDATA #IMPLIED>
286 <!ATTLIST twOffsetInTable twWorstSetup CDATA #IMPLIED>
287 <!ATTLIST twOffsetInTable twWorstHold CDATA #IMPLIED>
288 <!ATTLIST twOffsetInTable twWorstSetupSlack CDATA #IMPLIED>
289 <!ATTLIST twOffsetInTable twWorstHoldSlack CDATA #IMPLIED>
290 <!ELEMENT twOffsetOutTable (twConstName, twOffOutTblRow*)>
291 <!ATTLIST twOffsetOutTable twDestWidth CDATA #IMPLIED>
292 <!ATTLIST twOffsetOutTable twMinSlack CDATA #IMPLIED>
293 <!ATTLIST twOffsetOutTable twMaxSlack CDATA #IMPLIED>
294 <!ATTLIST twOffsetOutTable twRelSkew CDATA #IMPLIED>
295 <!ELEMENT twOffInTblRow (twSrc, twSUHSlackTime*)>
296 <!ELEMENT twSUHSlackTime (twSU2ClkTime?,twH2ClkTime?)>
297 <!ATTLIST twSUHSlackTime twSetupSlack CDATA #IMPLIED twHoldSlack CDATA #IMPLIED>
298 <!ELEMENT twOffOutTblRow EMPTY>
299 <!ATTLIST twOffOutTblRow twOutPad CDATA #IMPLIED>
300 <!ATTLIST twOffOutTblRow twSlack CDATA #IMPLIED>
301 <!ATTLIST twOffOutTblRow twRelSkew CDATA #IMPLIED>
302 <!ELEMENT twNonDedClks ((twWarn | twInfo), twNonDedClk+)>
303 <!ELEMENT twNonDedClk (#PCDATA)>
304 <!ELEMENT twSum ( twErrCnt, twScore, twConstCov, twStats)>
305 <!ELEMENT twScore (#PCDATA)>
306 <!ELEMENT twConstCov (twPathCnt, twNetCnt, twConnCnt, twPct?)>
307 <!ELEMENT twPathCnt (#PCDATA)>
308 <!ELEMENT twNetCnt (#PCDATA)>
309 <!ELEMENT twConnCnt (#PCDATA)>
310 <!ELEMENT twPct (#PCDATA)>
311 <!ELEMENT twStats ( twMinPer?, twFootnote?, twMaxFreq?, twMaxCombDel?, twMaxFromToDel?, twMaxNetDel?, twMaxNetSkew?, twMaxInAfterClk?, twMinInBeforeClk?, twMaxOutBeforeClk?, twMinOutAfterClk?, (twInfo | twWarn)*)>
312 <!ELEMENT twMaxCombDel (#PCDATA)>
313 <!ELEMENT twMaxFromToDel (#PCDATA)>
314 <!ELEMENT twMaxNetDel (#PCDATA)>
315 <!ELEMENT twMaxNetSkew (#PCDATA)>
316 <!ELEMENT twMaxInAfterClk (#PCDATA)>
317 <!ELEMENT twMinInBeforeClk (#PCDATA)>
318 <!ELEMENT twMaxOutBeforeClk (#PCDATA)>
319 <!ELEMENT twMinOutAfterClk (#PCDATA)>
320 <!ELEMENT twFoot (twFootnoteExplanation*, twTimestamp)>
321 <!ELEMENT twTimestamp (#PCDATA)>
322 <!ELEMENT twFootnoteExplanation EMPTY>
323 <!ATTLIST twFootnoteExplanation number CDATA #REQUIRED>
324 <!ATTLIST twFootnoteExplanation text CDATA #REQUIRED>
325 <!ELEMENT twClientInfo (twClientName, twAttrList?)>
326 <!ELEMENT twClientName (#PCDATA)>
327 <!ELEMENT twAttrList (twAttrListItem)*>
328 <!ELEMENT twAttrListItem (twName, twValue*)>
329 <!ELEMENT twName (#PCDATA)>
330 <!ELEMENT twValue (#PCDATA)>
331 ]>
332 <twReport><twHead anchorID="1"><twExecVer>Release 14.7 Trace (nt64)</twExecVer><twCopyright>Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.</twCopyright><twCmdLine>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 3
333 -n 3 -fastpaths -xml BloqueRAM_int.twx BloqueRAM_int.ncd -o BloqueRAM_int.twr
334 BloqueRAM_int.pcf
335
336 </twCmdLine><twDesign>BloqueRAM_int.ncd</twDesign><twDesignPath>BloqueRAM_int.ncd</twDesignPath><twPCF>BloqueRAM_int.pcf</twPCF><twPcfPath>BloqueRAM_int.pcf</twPcfPath><twDevInfo arch="spartan6" pkg="tqg144"><twDevName>xc6slx9</twDevName><twDevRange>C</twDevRange><twSpeedGrade>-3</twSpeedGrade><twSpeedVer>PRODUCTION 1.23 2013-10-13</twSpeedVer><twQuadDly>1</twQuadDly></twDevInfo><twRptInfo twRptLvl="twVerbose" twReportMinPaths="true" dlyHyperLnks="t" ><twEndptLimit>3</twEndptLimit></twRptInfo><twEnvVar name="NONE" description="No environment variables were set" /></twHead><twInfo anchorID="2">INFO:Timing:2698 - No timing constraints found, doing default enumeration.</twInfo><twInfo anchorID="3">INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).</twInfo><twInfo anchorID="4">INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</twInfo><twInfo anchorID="5">INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</twInfo><twBody><twVerboseRpt><twDataSheet anchorID="6" twNameLen="15"><twSUH2ClkList anchorID="7" twDestWidth="12" twPhaseWidth="14"><twDest>clk_main</twDest><twSUH2Clk ><twSrc>ADDR_RAM&lt;0&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.801</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.108</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;1&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">3.246</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.456</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;2&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">3.279</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.417</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;3&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.472</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-0.858</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;4&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.592</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-0.944</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;5&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.575</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-0.975</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;6&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.784</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.124</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;7&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.323</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-0.758</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;8&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.837</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.172</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>ADDR_RAM&lt;9&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.986</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.256</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>CS_1_bar</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">4.345</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.617</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>CS_2</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">3.532</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.117</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;0&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.070</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-0.953</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;1&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.467</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.234</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;2&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.583</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.331</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;3&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.402</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.216</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;4&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">3.146</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.732</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;5&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.409</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.177</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;6&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.535</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.272</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;7&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.494</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.234</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;8&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.834</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.499</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;9&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.770</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.457</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;10&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.773</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.436</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;11&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.636</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.363</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;12&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">3.878</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-2.273</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;13&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.808</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.448</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;14&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.982</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.557</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>DATA_RAM&lt;15&gt;</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.769</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.433</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>LB_bar</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">4.122</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.890</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>OE_bar</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">2.665</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.074</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>UB_bar</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">4.479</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.697</twH2ClkTime></twSUHTime></twSUH2Clk><twSUH2Clk ><twSrc>WEA_bar</twSrc><twSUHTime twInternalClk ="clk_main_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">4.813</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="t">-1.442</twH2ClkTime></twSUHTime></twSUH2Clk></twSUH2ClkList><twClk2OutList anchorID="8" twDestWidth="12" twPhaseWidth="14"><twSrc>clk_main</twSrc><twClk2Out twOutPad = "DATA_RAM&lt;0&gt;" twMinTime = "4.721" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "9.844" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;1&gt;" twMinTime = "4.710" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.026" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;2&gt;" twMinTime = "5.006" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.364" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;3&gt;" twMinTime = "4.968" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.364" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;4&gt;" twMinTime = "4.959" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.240" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;5&gt;" twMinTime = "4.857" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.240" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;6&gt;" twMinTime = "5.091" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.886" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;7&gt;" twMinTime = "5.131" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.886" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;8&gt;" twMinTime = "5.049" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.064" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;9&gt;" twMinTime = "5.049" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.064" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;10&gt;" twMinTime = "5.039" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "9.947" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;11&gt;" twMinTime = "4.995" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "9.947" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;12&gt;" twMinTime = "5.097" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.119" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;13&gt;" twMinTime = "5.025" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.119" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;14&gt;" twMinTime = "5.153" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.418" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "DATA_RAM&lt;15&gt;" twMinTime = "5.135" twMinCrnr="t" twMinEdge ="twRising" twMaxTime = "10.418" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="clk_main_BUFGP" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2SUList anchorID="9" twDestWidth="8"><twDest>clk_main</twDest><twClk2SU><twSrc>clk_main</twSrc><twRiseRise>3.387</twRiseRise></twClk2SU></twClk2SUList><twOffsetTables></twOffsetTables></twDataSheet></twVerboseRpt></twBody><twFoot><twTimestamp>Mon Dec 14 11:39:17 2015 </twTimestamp></twFoot><twClientInfo anchorID="10"><twClientName>Trace</twClientName><twAttrList><twAttrListItem><twName>Trace Settings</twName><twValue>
337
338 Peak Memory Usage: 213 MB
339 </twValue></twAttrListItem></twAttrList></twClientInfo></twReport>
@@ -0,0 +1,9
1 Release 14.7 - par P.20131013 (nt64)
2 Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
3
4 Mon Dec 14 11:39:10 2015
5
6 All signals are completely routed.
7
8
9
@@ -0,0 +1,267
1 ----------------------------------------------------------------------------------
2 -- Company:
3 -- Engineer:
4 --
5 -- Create Date: 08:20:52 12/14/2015
6 -- Design Name:
7 -- Module Name: BloqueRAM_int - Behavioral
8 -- Project Name:
9 -- Target Devices:
10 -- Tool versions:
11 -- Description:
12 --
13 -- Dependencies:
14 --
15 -- Revision:
16 -- Revision 0.01 - File Created
17 -- Additional Comments:
18 --
19 ----------------------------------------------------------------------------------
20 library IEEE;
21 use IEEE.STD_LOGIC_1164.ALL;
22
23 -- Uncomment the following library declaration if using
24 -- arithmetic functions with Signed or Unsigned values
25 --use IEEE.NUMERIC_STD.ALL;
26
27 -- Uncomment the following library declaration if instantiating
28 -- any Xilinx primitives in this code.
29 library UNISIM;
30 use UNISIM.VComponents.all;
31
32 entity BloqueRAM_int is
33 GENERIC(
34 DATA_PRIM_SZ: INTEGER:=32;
35 ADDR_PRIM_SZ: INTEGER:=14;
36 RESUL_SZ: INTEGER:= 16;
37 ADDR_SZ: INTEGER:= 10
38 );
39 PORT(
40 clk_main: IN std_logic; --Reloj externo de por lo menos 32MHz proveniente del m�dulo de sincronizaci�n o PLL
41 --o m�dulo de divisi�n de relojes internos
42 CS_1_bar: IN std_logic;
43 CS_2: IN std_logic;
44 OE_bar: IN std_logic;
45 WEA_bar: IN std_logic; --Se�al de habilitador proveniente del controlador de memoria
46 UB_bar: IN std_logic;
47 LB_bar: IN std_logic;
48
49 ADDR_RAM: IN std_logic_vector((ADDR_SZ-1) downto 0);
50 DATA_RAM: INOUT std_logic_vector((RESUL_SZ-1) downto 0) --Entrada Salida de datos a escribir/leer en/de la RAM
51
52 );
53 end BloqueRAM_int;
54
55 architecture Behavioral of BloqueRAM_int is
56
57 SIGNAL addr_ram_aux: std_logic_vector((ADDR_PRIM_SZ-1) downto 0) := (OTHERS => '0'); --14 bits de bus de direcci�n
58 SIGNAL data_ram_aux_in: std_logic_vector((DATA_PRIM_SZ-1) downto 0) := (OTHERS => '0'); --32 bits de bus de direcci�n
59 SIGNAL data_ram_aux_out: std_logic_vector((DATA_PRIM_SZ-1) downto 0); --32 bits de bus de direcci�n
60 SIGNAL CS_aux: std_logic;
61 signal WE_AUX: std_logic_vector(3 downto 0);
62
63 begin
64
65 addr_ram_aux <= ADDR_RAM & "0000";
66 data_ram_aux_in((DATA_PRIM_SZ-1) downto RESUL_SZ) <= "0000000000000000";
67
68 PROCESS(OE_bar,UB_bar,LB_bar)
69 BEGIN
70
71 END PROCESS;
72
73 PROCESS (CS_aux,WEA_bar,clk_main,UB_bar,LB_bar,OE_bar)
74 BEGIN
75 IF(CS_aux /= '1') THEN
76 DATA_RAM <= (OTHERS=>'Z');
77
78 ELSIF((UB_bar AND LB_bar) = '1') THEN
79 DATA_RAM <= (OTHERS=>'Z');
80
81 ELSIF(WEA_bar = '1') THEN
82 IF(OE_BAR = '1') THEN
83 DATA_RAM <= (OTHERS=>'Z');
84 ELSE
85
86 IF(UB_bar='0') THEN
87 IF(rising_edge(clk_main)) THEN
88 DATA_RAM(15 downto 8) <= data_ram_aux_out(15 downto 8);
89 END IF;
90 ELSE
91 DATA_RAM(15 downto 8) <= (OTHERS=>'Z');
92 END IF;
93
94 IF(LB_bar='0') THEN
95 IF(rising_edge(clk_main)) THEN
96 DATA_RAM(7 downto 0) <= data_ram_aux_out(7 downto 0);
97 END IF;
98 ELSE
99 DATA_RAM(7 downto 0) <= (OTHERS=>'Z');
100 END IF;
101
102 END IF;
103
104 ELSE
105 DATA_RAM <= (OTHERS=>'Z');
106
107 IF(UB_bar='0') THEN
108 IF(rising_edge(clk_main)) THEN
109 data_ram_aux_in((RESUL_SZ-1) downto 8) <= DATA_RAM(15 downto 8);
110 END IF;
111 --ELSE
112 -- data_ram_aux_in((RESUL_SZ-1) downto 8) <= (OTHERS=>'Z');
113 END IF;
114
115 IF(LB_bar='0') THEN
116 IF(rising_edge(clk_main)) THEN
117 data_ram_aux_in(7 downto 0) <= DATA_RAM(7 downto 0);
118 END IF;
119 --ELSE
120 -- data_ram_aux_in(7 downto 0) <= (OTHERS=>'Z');
121 END IF;
122
123 END IF;
124
125 END PROCESS;
126
127
128
129 CS_aux <=((NOT(CS_1_bar)) AND CS_2);
130 WE_aux <= (NOT(WEA_bar))&(NOT(WEA_bar))&(NOT(WEA_bar))&(NOT(WEA_bar)) ;
131
132 RAMB16BWER_inst : RAMB16BWER
133 generic map (
134 -- DATA_WIDTH_A/DATA_WIDTH_B: 0, 1, 2, 4, 9, 18, or 36
135 DATA_WIDTH_A => 18,
136 DATA_WIDTH_B => 18,
137 -- DOA_REG/DOB_REG: Optional output register (0 or 1)
138 DOA_REG => 0, --Que no cambie la data mostrada en escritura hasta el flanco de reloj,
139 DOB_REG => 0,
140 -- EN_RSTRAM_A/EN_RSTRAM_B: Enable/disable RST
141 EN_RSTRAM_A => TRUE,
142 EN_RSTRAM_B => TRUE,
143 -- INITP_00 to INITP_07: Initial memory contents.
144 INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
145 INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
146 INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
147 INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
148 INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
149 INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
150 INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
151 INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
152 -- INIT_00 to INIT_3F: Initial memory contents.
153 INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
154 INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
155 INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
156 INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
157 INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
158 INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
159 INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
160 INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
161 INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
162 INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
163 INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
164 INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
165 INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
166 INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
167 INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
168 INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
169 INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
170 INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
171 INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
172 INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
173 INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
174 INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
175 INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
176 INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
177 INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
178 INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
179 INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
180 INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
181 INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
182 INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
183 INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
184 INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
185 INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
186 INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
187 INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
188 INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
189 INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
190 INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
191 INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
192 INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
193 INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
194 INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
195 INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
196 INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
197 INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
198 INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
199 INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
200 INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
201 INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
202 INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
203 INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
204 INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
205 INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
206 INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
207 INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
208 INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
209 INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
210 INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
211 INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
212 INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
213 INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
214 INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
215 INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
216 INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
217 -- INIT_A/INIT_B: Initial values on output port
218 INIT_A => X"000000000",
219 INIT_B => X"000000000",
220 -- INIT_FILE: Optional file used to specify initial RAM contents
221 INIT_FILE => "NONE",
222 -- RSTTYPE: "SYNC" or "ASYNC"
223 RSTTYPE => "SYNC",
224 -- RST_PRIORITY_A/RST_PRIORITY_B: "CE" or "SR"
225 RST_PRIORITY_A => "CE",
226 RST_PRIORITY_B => "CE",
227 -- SIM_COLLISION_CHECK: Collision check enable "ALL", "WARNING_ONLY", "GENERATE_X_ONLY" or "NONE"
228 SIM_COLLISION_CHECK => "ALL",
229 -- SIM_DEVICE: Must be set to "SPARTAN6" for proper simulation behavior
230 SIM_DEVICE => "SPARTAN6",
231 -- SRVAL_A/SRVAL_B: Set/Reset value for RAM output
232 SRVAL_A => X"000000000",
233 SRVAL_B => X"000000000",
234 -- WRITE_MODE_A/WRITE_MODE_B: "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE"
235 WRITE_MODE_A => "NO_CHANGE",
236 WRITE_MODE_B => "NO_CHANGE"
237 )
238 port map (
239 -- Port A Data: 32-bit (each) output: Port A data
240 DOA => data_ram_aux_out, -- 32-bit output: A port data output
241 DOPA => open, -- 4-bit output: A port parity output
242 -- Port B Data: 32-bit (each) output: Port B data
243 DOB => open, -- 32-bit output: B port data output
244 DOPB => open, -- 4-bit output: B port parity output
245 -- Port A Address/Control Signals: 14-bit (each) input: Port A address and control signals
246 ADDRA => addr_ram_aux, -- 14-bit input: A port address input
247 CLKA => clk_main, -- 1-bit input: A port clock input
248 ENA => CS_AUX, -- 1-bit input: A port enable input
249 REGCEA => '1', -- 1-bit input: A port register clock enable input
250 RSTA => '0', -- 1-bit input: A port register set/reset input
251 WEA => WE_aux, -- 4-bit input: Port A byte-wide write enable input
252 -- Port A Data: 32-bit (each) input: Port A data
253 DIA => data_ram_aux_in, -- 32-bit input: A port data input
254 DIPA => "0000", -- 4-bit input: A port parity input
255 -- Port B Address/Control Signals: 14-bit (each) input: Port B address and control signals
256 ADDRB => "00000000000000", -- 14-bit input: B port address input
257 CLKB => '0', -- 1-bit input: B port clock input
258 ENB => '0', -- 1-bit input: B port enable input
259 REGCEB => '0', -- 1-bit input: B port register clock enable input
260 RSTB => '0', -- 1-bit input: B port register set/reset input
261 WEB => "0000", -- 4-bit input: Port B byte-wide write enable input
262 -- Port B Data: 32-bit (each) input: Port B data
263 DIB => X"00000000", -- 32-bit input: B port data input
264 DIPB => "0000" -- 4-bit input: B port parity input
265 );
266
267 end Behavioral;
@@ -0,0 +1,3
1 PROGRAM=PAR
2 STATE=ROUTED
3 TIMESPECS_MET=OFF
@@ -0,0 +1,52
1 set -tmpdir "xst/projnav.tmp"
2 set -xsthdpdir "xst"
3 run
4 -ifn BloqueRAM_int.prj
5 -ofn BloqueRAM_int
6 -ofmt NGC
7 -p xc6slx9-3-tqg144
8 -top BloqueRAM_int
9 -opt_mode Speed
10 -opt_level 1
11 -power NO
12 -iuc NO
13 -keep_hierarchy No
14 -netlist_hierarchy As_Optimized
15 -rtlview Yes
16 -glob_opt AllClockNets
17 -read_cores YES
18 -write_timing_constraints NO
19 -cross_clock_analysis NO
20 -hierarchy_separator /
21 -bus_delimiter <>
22 -case Maintain
23 -slice_utilization_ratio 100
24 -bram_utilization_ratio 100
25 -dsp_utilization_ratio 100
26 -lc Auto
27 -reduce_control_sets Auto
28 -fsm_extract YES -fsm_encoding Auto
29 -safe_implementation No
30 -fsm_style LUT
31 -ram_extract Yes
32 -ram_style Auto
33 -rom_extract Yes
34 -shreg_extract YES
35 -rom_style Auto
36 -auto_bram_packing NO
37 -resource_sharing YES
38 -async_to_sync NO
39 -shreg_min_size 2
40 -use_dsp48 Auto
41 -iobuf YES
42 -max_fanout 100000
43 -bufg 16
44 -register_duplication YES
45 -register_balancing No
46 -optimize_primitives NO
47 -use_clock_enable Auto
48 -use_sync_set Auto
49 -use_sync_reset Auto
50 -iob Auto
51 -equivalent_register_removal YES
52 -slice_utilization_ratio_maxmargin 5
This diff has been collapsed as it changes many lines, (532 lines changed) Show them Hide them
@@ -0,0 +1,532
1 <HTML><HEAD><TITLE>Xilinx System Settings Report</TITLE></HEAD>
2 <BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
3 <center><big><big><b>System Settings</b></big></big></center><br>
4 <A NAME="Environment Settings"></A>
5 &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
6 <TR ALIGN=CENTER BGCOLOR='#99CCFF'>
7 <TD ALIGN=CENTER COLSPAN='5'><B> Environment Settings </B></TD>
8 </tr>
9 <tr bgcolor='#ffff99'>
10 <td><b>Environment Variable</b></td>
11 <td><b>xst</b></td>
12 <td><b>ngdbuild</b></td>
13 <td><b>map</b></td>
14 <td><b>par</b></td>
15 </tr>
16 <tr>
17 <td>PATHEXT</td>
18 <td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC;<br>.PY;<br>.PYW</td>
19 <td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC;<br>.PY;<br>.PYW</td>
20 <td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC;<br>.PY;<br>.PYW</td>
21 <td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC;<br>.PY;<br>.PYW</td>
22 </tr>
23 <tr>
24 <td>Path</td>
25 <td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\WinAVR\bin;<br>C:\WinAVR\utils\bin;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\doxygen\bin;<br>C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;<br>C:\Program Files (x86)\Microchip\xc8\v1.35\bin;<br>C:\Program Files (x86)\Microchip\xc16\v1.24\bin;<br>c:\MinGW\bin;<br>c:\MinGW\MSYS\1.0\bin</td>
26 <td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\WinAVR\bin;<br>C:\WinAVR\utils\bin;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\doxygen\bin;<br>C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;<br>C:\Program Files (x86)\Microchip\xc8\v1.35\bin;<br>C:\Program Files (x86)\Microchip\xc16\v1.24\bin;<br>c:\MinGW\bin;<br>c:\MinGW\MSYS\1.0\bin</td>
27 <td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\WinAVR\bin;<br>C:\WinAVR\utils\bin;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\doxygen\bin;<br>C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;<br>C:\Program Files (x86)\Microchip\xc8\v1.35\bin;<br>C:\Program Files (x86)\Microchip\xc16\v1.24\bin;<br>c:\MinGW\bin;<br>c:\MinGW\MSYS\1.0\bin</td>
28 <td>C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;<br>C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;<br>C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;<br>C:\Xilinx\14.7\ISE_DS\common\bin\nt64;<br>C:\Xilinx\14.7\ISE_DS\common\lib\nt64;<br>C:\WinAVR\bin;<br>C:\WinAVR\utils\bin;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\doxygen\bin;<br>C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;<br>C:\Program Files (x86)\Microchip\xc8\v1.35\bin;<br>C:\Program Files (x86)\Microchip\xc16\v1.24\bin;<br>c:\MinGW\bin;<br>c:\MinGW\MSYS\1.0\bin</td>
29 </tr>
30 <tr>
31 <td>XILINX</td>
32 <td>C:\Xilinx\14.7\ISE_DS\ISE\</td>
33 <td>C:\Xilinx\14.7\ISE_DS\ISE\</td>
34 <td>C:\Xilinx\14.7\ISE_DS\ISE\</td>
35 <td>C:\Xilinx\14.7\ISE_DS\ISE\</td>
36 </tr>
37 <tr>
38 <td>XILINX_DSP</td>
39 <td>C:\Xilinx\14.7\ISE_DS\ISE</td>
40 <td>C:\Xilinx\14.7\ISE_DS\ISE</td>
41 <td>C:\Xilinx\14.7\ISE_DS\ISE</td>
42 <td>C:\Xilinx\14.7\ISE_DS\ISE</td>
43 </tr>
44 <tr>
45 <td>XILINX_EDK</td>
46 <td>C:\Xilinx\14.7\ISE_DS\EDK</td>
47 <td>C:\Xilinx\14.7\ISE_DS\EDK</td>
48 <td>C:\Xilinx\14.7\ISE_DS\EDK</td>
49 <td>C:\Xilinx\14.7\ISE_DS\EDK</td>
50 </tr>
51 <tr>
52 <td>XILINX_PLANAHEAD</td>
53 <td>C:\Xilinx\14.7\ISE_DS\PlanAhead</td>
54 <td>C:\Xilinx\14.7\ISE_DS\PlanAhead</td>
55 <td>C:\Xilinx\14.7\ISE_DS\PlanAhead</td>
56 <td>C:\Xilinx\14.7\ISE_DS\PlanAhead</td>
57 </tr>
58 </TABLE>
59 <A NAME="Synthesis Property Settings"></A>
60 &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
61 <TR ALIGN=CENTER BGCOLOR='#99CCFF'>
62 <TD ALIGN=CENTER COLSPAN='4'><B>Synthesis Property Settings </B></TD>
63 </tr>
64 <tr bgcolor='#ffff99'>
65 <td><b>Switch Name</b></td>
66 <td><b>Property Name</b></td>
67 <td><b>Value</b></td>
68 <td><b>Default Value</b></td>
69 </tr>
70 <tr>
71 <td>-ifn</td>
72 <td>&nbsp;</td>
73 <td>BloqueRAM_int.prj</td>
74 <td>&nbsp;</td>
75 </tr>
76 <tr>
77 <td>-ofn</td>
78 <td>&nbsp;</td>
79 <td>BloqueRAM_int</td>
80 <td>&nbsp;</td>
81 </tr>
82 <tr>
83 <td>-ofmt</td>
84 <td>&nbsp;</td>
85 <td>NGC</td>
86 <td>NGC</td>
87 </tr>
88 <tr>
89 <td>-p</td>
90 <td>&nbsp;</td>
91 <td>xc6slx9-3-tqg144</td>
92 <td>&nbsp;</td>
93 </tr>
94 <tr>
95 <td>-top</td>
96 <td>&nbsp;</td>
97 <td>BloqueRAM_int</td>
98 <td>&nbsp;</td>
99 </tr>
100 <tr>
101 <td>-opt_mode</td>
102 <td>Optimization Goal</td>
103 <td>Speed</td>
104 <td>Speed</td>
105 </tr>
106 <tr>
107 <td>-opt_level</td>
108 <td>Optimization Effort</td>
109 <td>1</td>
110 <td>1</td>
111 </tr>
112 <tr>
113 <td>-power</td>
114 <td>Power Reduction</td>
115 <td>NO</td>
116 <td>No</td>
117 </tr>
118 <tr>
119 <td>-iuc</td>
120 <td>Use synthesis Constraints File</td>
121 <td>NO</td>
122 <td>No</td>
123 </tr>
124 <tr>
125 <td>-keep_hierarchy</td>
126 <td>Keep Hierarchy</td>
127 <td>No</td>
128 <td>No</td>
129 </tr>
130 <tr>
131 <td>-netlist_hierarchy</td>
132 <td>Netlist Hierarchy</td>
133 <td>As_Optimized</td>
134 <td>As_Optimized</td>
135 </tr>
136 <tr>
137 <td>-rtlview</td>
138 <td>Generate RTL Schematic</td>
139 <td>Yes</td>
140 <td>No</td>
141 </tr>
142 <tr>
143 <td>-glob_opt</td>
144 <td>Global Optimization Goal</td>
145 <td>AllClockNets</td>
146 <td>AllClockNets</td>
147 </tr>
148 <tr>
149 <td>-read_cores</td>
150 <td>Read Cores</td>
151 <td>YES</td>
152 <td>Yes</td>
153 </tr>
154 <tr>
155 <td>-write_timing_constraints</td>
156 <td>Write Timing Constraints</td>
157 <td>NO</td>
158 <td>No</td>
159 </tr>
160 <tr>
161 <td>-cross_clock_analysis</td>
162 <td>Cross Clock Analysis</td>
163 <td>NO</td>
164 <td>No</td>
165 </tr>
166 <tr>
167 <td>-bus_delimiter</td>
168 <td>Bus Delimiter</td>
169 <td>&lt;&gt;</td>
170 <td>&lt;&gt;</td>
171 </tr>
172 <tr>
173 <td>-slice_utilization_ratio</td>
174 <td>Slice Utilization Ratio</td>
175 <td>100</td>
176 <td>100</td>
177 </tr>
178 <tr>
179 <td>-bram_utilization_ratio</td>
180 <td>BRAM Utilization Ratio</td>
181 <td>100</td>
182 <td>100</td>
183 </tr>
184 <tr>
185 <td>-dsp_utilization_ratio</td>
186 <td>DSP Utilization Ratio</td>
187 <td>100</td>
188 <td>100</td>
189 </tr>
190 <tr>
191 <td>-reduce_control_sets</td>
192 <td>&nbsp;</td>
193 <td>Auto</td>
194 <td>Auto</td>
195 </tr>
196 <tr>
197 <td>-fsm_extract</td>
198 <td>&nbsp;</td>
199 <td>YES</td>
200 <td>Yes</td>
201 </tr>
202 <tr>
203 <td>-fsm_encoding</td>
204 <td>&nbsp;</td>
205 <td>Auto</td>
206 <td>Auto</td>
207 </tr>
208 <tr>
209 <td>-safe_implementation</td>
210 <td>&nbsp;</td>
211 <td>No</td>
212 <td>No</td>
213 </tr>
214 <tr>
215 <td>-fsm_style</td>
216 <td>&nbsp;</td>
217 <td>LUT</td>
218 <td>LUT</td>
219 </tr>
220 <tr>
221 <td>-ram_extract</td>
222 <td>&nbsp;</td>
223 <td>Yes</td>
224 <td>Yes</td>
225 </tr>
226 <tr>
227 <td>-ram_style</td>
228 <td>&nbsp;</td>
229 <td>Auto</td>
230 <td>Auto</td>
231 </tr>
232 <tr>
233 <td>-rom_extract</td>
234 <td>&nbsp;</td>
235 <td>Yes</td>
236 <td>Yes</td>
237 </tr>
238 <tr>
239 <td>-shreg_extract</td>
240 <td>&nbsp;</td>
241 <td>YES</td>
242 <td>Yes</td>
243 </tr>
244 <tr>
245 <td>-rom_style</td>
246 <td>&nbsp;</td>
247 <td>Auto</td>
248 <td>Auto</td>
249 </tr>
250 <tr>
251 <td>-auto_bram_packing</td>
252 <td>&nbsp;</td>
253 <td>NO</td>
254 <td>No</td>
255 </tr>
256 <tr>
257 <td>-resource_sharing</td>
258 <td>&nbsp;</td>
259 <td>YES</td>
260 <td>Yes</td>
261 </tr>
262 <tr>
263 <td>-async_to_sync</td>
264 <td>&nbsp;</td>
265 <td>NO</td>
266 <td>No</td>
267 </tr>
268 <tr>
269 <td>-use_dsp48</td>
270 <td>&nbsp;</td>
271 <td>Auto</td>
272 <td>Auto</td>
273 </tr>
274 <tr>
275 <td>-iobuf</td>
276 <td>&nbsp;</td>
277 <td>YES</td>
278 <td>Yes</td>
279 </tr>
280 <tr>
281 <td>-max_fanout</td>
282 <td>&nbsp;</td>
283 <td>100000</td>
284 <td>100000</td>
285 </tr>
286 <tr>
287 <td>-bufg</td>
288 <td>&nbsp;</td>
289 <td>16</td>
290 <td>16</td>
291 </tr>
292 <tr>
293 <td>-register_duplication</td>
294 <td>&nbsp;</td>
295 <td>YES</td>
296 <td>Yes</td>
297 </tr>
298 <tr>
299 <td>-register_balancing</td>
300 <td>&nbsp;</td>
301 <td>No</td>
302 <td>No</td>
303 </tr>
304 <tr>
305 <td>-optimize_primitives</td>
306 <td>&nbsp;</td>
307 <td>NO</td>
308 <td>No</td>
309 </tr>
310 <tr>
311 <td>-use_clock_enable</td>
312 <td>&nbsp;</td>
313 <td>Auto</td>
314 <td>Auto</td>
315 </tr>
316 <tr>
317 <td>-use_sync_set</td>
318 <td>&nbsp;</td>
319 <td>Auto</td>
320 <td>Auto</td>
321 </tr>
322 <tr>
323 <td>-use_sync_reset</td>
324 <td>&nbsp;</td>
325 <td>Auto</td>
326 <td>Auto</td>
327 </tr>
328 <tr>
329 <td>-iob</td>
330 <td>&nbsp;</td>
331 <td>Auto</td>
332 <td>Auto</td>
333 </tr>
334 <tr>
335 <td>-equivalent_register_removal</td>
336 <td>&nbsp;</td>
337 <td>YES</td>
338 <td>Yes</td>
339 </tr>
340 <tr>
341 <td>-slice_utilization_ratio_maxmargin</td>
342 <td>&nbsp;</td>
343 <td>5</td>
344 <td>0</td>
345 </tr>
346 </TABLE>
347 <A NAME="Translation Property Settings"></A>
348 &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
349 <TR ALIGN=CENTER BGCOLOR='#99CCFF'>
350 <TD ALIGN=CENTER COLSPAN='4'><B>Translation Property Settings </B></TD>
351 </tr>
352 <tr bgcolor='#ffff99'>
353 <td><b>Switch Name</b></td>
354 <td><b>Property Name</b></td>
355 <td><b>Value</b></td>
356 <td><b>Default Value</b></td>
357 </tr>
358 <tr>
359 <td>-intstyle</td>
360 <td>&nbsp;</td>
361 <td>ise</td>
362 <td>None</td>
363 </tr>
364 <tr>
365 <td>-dd</td>
366 <td>&nbsp;</td>
367 <td>_ngo</td>
368 <td>None</td>
369 </tr>
370 <tr>
371 <td>-p</td>
372 <td>&nbsp;</td>
373 <td>xc6slx9-tqg144-3</td>
374 <td>None</td>
375 </tr>
376 </TABLE>
377 <A NAME="Map Property Settings"></A>
378 &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
379 <TR ALIGN=CENTER BGCOLOR='#99CCFF'>
380 <TD ALIGN=CENTER COLSPAN='4'><B>Map Property Settings </B></TD>
381 </tr>
382 <tr bgcolor='#ffff99'>
383 <td><b>Switch Name</b></td>
384 <td><b>Property Name</b></td>
385 <td><b>Value</b></td>
386 <td><b>Default Value</b></td>
387 </tr>
388 <tr>
389 <td>-ol</td>
390 <td>Place & Route Effort Level (Overall)</td>
391 <td>high</td>
392 <td>high</td>
393 </tr>
394 <tr>
395 <td>-xt</td>
396 <td>Extra Cost Tables</td>
397 <td>0</td>
398 <td>0</td>
399 </tr>
400 <tr>
401 <td>-ir</td>
402 <td>Use RLOC Constraints</td>
403 <td>OFF</td>
404 <td>OFF</td>
405 </tr>
406 <tr>
407 <td>-t</td>
408 <td>Starting Placer Cost Table (1-100) Map</td>
409 <td>1</td>
410 <td>0</td>
411 </tr>
412 <tr>
413 <td>-r</td>
414 <td>Register Ordering</td>
415 <td>4</td>
416 <td>4</td>
417 </tr>
418 <tr>
419 <td>-intstyle</td>
420 <td>&nbsp;</td>
421 <td>ise</td>
422 <td>None</td>
423 </tr>
424 <tr>
425 <td>-lc</td>
426 <td>LUT Combining</td>
427 <td>off</td>
428 <td>off</td>
429 </tr>
430 <tr>
431 <td>-o</td>
432 <td>&nbsp;</td>
433 <td>BloqueRAM_int_map.ncd</td>
434 <td>None</td>
435 </tr>
436 <tr>
437 <td>-w</td>
438 <td>&nbsp;</td>
439 <td>true</td>
440 <td>false</td>
441 </tr>
442 <tr>
443 <td>-pr</td>
444 <td>Pack I/O Registers/Latches into IOBs</td>
445 <td>off</td>
446 <td>off</td>
447 </tr>
448 <tr>
449 <td>-p</td>
450 <td>&nbsp;</td>
451 <td>xc6slx9-tqg144-3</td>
452 <td>None</td>
453 </tr>
454 </TABLE>
455 <A NAME="Place and Route Property Settings"></A>
456 &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
457 <TR ALIGN=CENTER BGCOLOR='#99CCFF'>
458 <TD ALIGN=CENTER COLSPAN='4'><B>Place and Route Property Settings </B></TD>
459 </tr>
460 <tr bgcolor='#ffff99'>
461 <td><b>Switch Name</b></td>
462 <td><b>Property Name</b></td>
463 <td><b>Value</b></td>
464 <td><b>Default Value</b></td>
465 </tr>
466 <tr>
467 <td>-intstyle</td>
468 <td>&nbsp;</td>
469 <td>ise</td>
470 <td>&nbsp;</td>
471 </tr>
472 <tr>
473 <td>-mt</td>
474 <td>Enable Multi-Threading</td>
475 <td>off</td>
476 <td>off</td>
477 </tr>
478 <tr>
479 <td>-ol</td>
480 <td>Place & Route Effort Level (Overall)</td>
481 <td>high</td>
482 <td>std</td>
483 </tr>
484 <tr>
485 <td>-w</td>
486 <td>&nbsp;</td>
487 <td>true</td>
488 <td>false</td>
489 </tr>
490 </TABLE>
491 <A NAME="Operating System Information"></A>
492 &nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
493 <TR ALIGN=CENTER BGCOLOR='#99CCFF'>
494 <TD ALIGN=CENTER COLSPAN='5'><B> Operating System Information </B></TD>
495 </tr>
496 <tr bgcolor='#ffff99'>
497 <td><b>Operating System Information</b></td>
498 <td><b>xst</b></td>
499 <td><b>ngdbuild</b></td>
500 <td><b>map</b></td>
501 <td><b>par</b></td>
502 </tr>
503 <tr>
504 <td>CPU Architecture/Speed</td>
505 <td>Intel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHz</td>
506 <td>Intel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHz</td>
507 <td>Intel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHz</td>
508 <td>Intel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHz</td>
509 </tr>
510 <tr>
511 <td>Host</td>
512 <td>Lenovo-PC</td>
513 <td>Lenovo-PC</td>
514 <td>Lenovo-PC</td>
515 <td>Lenovo-PC</td>
516 </tr>
517 <tr>
518 <td>OS Name</td>
519 <td>Microsoft , 64-bit</td>
520 <td>Microsoft , 64-bit</td>
521 <td>Microsoft , 64-bit</td>
522 <td>Microsoft , 64-bit</td>
523 </tr>
524 <tr>
525 <td>OS Release</td>
526 <td>major release (build 9200)</td>
527 <td>major release (build 9200)</td>
528 <td>major release (build 9200)</td>
529 <td>major release (build 9200)</td>
530 </tr>
531 </TABLE>
532 </BODY> </HTML> No newline at end of file
@@ -0,0 +1,3
1 XILINX-XDB 0.1 STUB 0.1 ASCII
2 XILINX-XDM V1.6
3 ###4956:XlxV32DM 3fff 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###4344:XlxV32DM 3fff 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###5088:XlxV32DM 3fff 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###2784:XlxV32DM 3fff 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###2512:XlxV32DM 3fff 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###2428:XlxV32DM 3fff 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###2500:XlxV32DM 3fff 9aceNq9W12WpCwM3ZIQQOhZx7eAHqt8nLd56jN7/xBFg4SfaFWdOTPTNjcBFXITroDQs5rV5P+OyinQswbltFJ6GLTS1Vb/m9lf+b/q6f9oLX27BQFKOzAgL3pQMNz3vvxU6UEkbbPWwSb2oEMPwBg/9nAe/9m7eqv3rrFnTyftQdwfP6uH8xvWb50/+qXzh3ju++9Vfu3/HRZfeuz0IM/XFQ+K9CDO1+wxcDzQYxjO1+wxcDyo2+9C8d/Fv39/jNRfwxf8/BHP+Wv8/euveD6/5K//xPPxJR7w4x0b+FbgJ5zWVk2hs+V2Idye9X9mJbR/3BhzTM91ES3DCJ2q1J7E+SkLSsnQftiMweZo16HdT/Ai1uztLaxC7W2sYmBtN1Zv99aH7b83Q9yb7yvD2oAVe/vh98DuYSWxkTsuhKDTe13QfvL591ELoIen2J54iPYqLGQ2vR/eq/Z+Dt7zfsFezacwEf0lC9mj5Pk6W8gGLeQpWcjP509YxVJLJdQjjgnW/sD3J5eZurb6Z+3XvMeO2wiV71OEtxrtifUd5wWeVev/ixdlvB2E+YH6q+HOPegZx5AeiwS/jzzpvxR98tWhgo3LVgeFPaIbxuYrwqQxM7GkYymy3COv3d7+6N++Xt++SqO4G2IUTyODhIVERjWBUCH6bD/LsNIOrMmwa5QoY+3eHrB61DJgZRZx1lWdvYdg5cNciGmQWa2jWdtDwNlHLrP4l91lmDHhOWbPH41omfctTjvde+t+4lOguGrY2/cY6q/HMLuAnl1bewBTc+Q0Or2v8q2f8MZBSwJXiuFmey/UPYTnjJ/t7js+w8cJi38fsdvPfSsjjmRdC0W7dT6e7ba3vFqFFWRR/LSnRMj1JUKymQjpzkRIo0RINBIhgRIA0UiE6tg0EWphFQNru7FpItTC9t+bye9tW5AU9mjXKCmK2FoitIZ8QS4gAfpWEhTsa0lMtQbsTIRWH7VkpruXiz58eiO6kqLsOkuKHFrULl3Ug/mB8WZWJKpZ0fiBrGhkZkUjOytaLDS5VNRuo4tLJeUfd1oi9BJEGRTySy0nuJxDBds9bMcs6htNF51MFzk8Fg6wTQ6AJgfYTg4ID3bjgDSxcbuN3jhAothHYXGsbmFtN1YlsbqFNd1YncTqFlYxsNS9SRJrUAG8Yck01mV8kfs984WL0SzxX5rgNfuSTbsAT/dID4+vKcBL3l9TgJe8X7AnCnBHcs1wvs645jcKHub1XCOrXDN8gGsGJtcMbK5ZQ9pay0GJa7ZaDhDXQINrAFWsslitHzVivnzSWobHNWv9F+khcs2Epos8cc3vPq5RTa4RHeUdRsaaAxo1BxSxmG8w9lnE4phcx6Z808KabmzKNy2sYmDze5sKHKK3CTsVOITgmyI30XwhM47CWzg1jpqqSZi+xSv8muYCt1yoaS5wTM0HUdPQPCPO1xnPPFDggCRwzOb+Ri9UaUZ8hGgEm2rEBbIR+yatqpUgyS7LRGzhZRRSkUHyzSgOjVgU+SONPI/ZYOY063h+LzQykjSyPZIu9U6EkEfsJRaQNQVvyBQ8CusYap9jqH2OofY5htrnGGqfY6h9jqH2OVrtI3ed86Klrfa5l6l97q1qn3ur2uderPa5i2rfjEhgSNW++T4JqCoJ2A9QANMiwfeFf4v2taCxrwUIOxRioUYriVoRuk+dKNpOWO+DAYX96aRWfJfViiPsy46wP3aH/bGhV+RMSmEdQ9twDG3DMbQNx9A2HEPbcAxtwzG0DUdrG1soF32h/JJe4d6uV7iP6BXuDXqFu6ZXgEDL+pHm9i8I67qR29uP5PaWndvbC7l93D2X5JaPRO2lLR9dktCL2Pz3qiBR9IT91XbCAgVIND9SPUuKobxpdIT9tjxRZjgaWZYoJJIw2rKDZEgUhiE7DAysYmAtQ3aQDGx+b9TzFT4gHhtMpSd8DvyrlSHGU9sKilbnzSCdlMO5ldz70s3CGBofWMu31gzY/zuqBuz/NXVD9MiuHABQCPl+eeVgqhRjPkAwhkkvhk0uBs190VApBKoc5GUq2Cgq6gfV+qNEZtt3TqDQ+09lKillD4WoDgqBbgoBRCHQoJCWktCvDgBDHVAMdUAx1AHFUD404940cW/U86UoJPfcQyGAFoTsJYPiRIWmh5IVt4LpoZW7NUwntdyuYjophlnHlGimXcmgcyVmTMLM4/Hj39c9mhkblYz5SCVj2JWMuVDJGFTJQKOSKX0MPFymntV2wpoDoKMGJlWgxPykNQd788yQvXBmaBGr+nWEiO7bbV/RvVpCRCsW2jLQvYpCRBviVBCFlhlF5L5roTrtA3/ZUbLCVQZkUz+32g++NRYB9B4SfcsnUNj/Oz6Cwv5f8xkUeaSw50MoGFF4SA+jzPJ+hWEbof84iyQ6Q7+7EPolO/TXzyM5MvRLlBxTZy4cSo7rZ/uunUiy108kATpPYYbT902mjyQojeJ/Aozh/w==###2320:XlxV32DM 3fff 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###1776:XlxV32DM 3fff 6d8eNrFW9t24yoM/SXQxcad7zgfcNomj/N2nrrm3w9gx7ETY6FIWfPQ1dZstJGAjUQcujDxlYCBIn3zlRMiTwg4hUBfdKWRJsL8cykoZMT8HHPLJ8b8V3l+1B9ra6v/8/PccmJtHs2Qn1V0CIwM2dBZj6TiRyTR2jiPoPIeWSbH8UjxSPt4HFig09k59iE2RjM52gIcRWu3SMeGhfTCeKgxnviSdy1rKNoSZk3YVUFch3i6L/SzNbxgrbWqqWPmzr3ribAUH/sYwksxiY3ID2aPrBZGY/9Y1erWMz9nIkZ40KvV8t7C3D8/rSPAou4VN8cm9xqJOdsiyoi4xOt1rlHBNJqY8rwouJIxghquycSV138317xXLDFMCq5o9AsVXGDiIpVfaPRrUHCRcb40a4ONMYwKLptqaFbGaNzJk4IrGVeGZhVaVaNfedGoGpOCyaYZtDKFFlP5TVYdhM0JKTHZPGKFT2DUin4mlQLO1eFqOzZtQ0YSRbeZwTZT9mLMfZh0MVsqbdmXGamzXuMUu+MEbuuqvSu5YN1OhXi2+zmQPTsJCq7odoqjyOUXQ5kLjecd9MXQPFu0OYEkpuiWtUpM4JazSkxo3L/90SOnM1XiYaNH3M00uO1diWl0ylMlnuSWpUpMk1uOKu5ac5Y1KbiseZaGy6gSCiarmpOCy1prKvTcqBXDJoYocg1u55TMNbqdVDJXcjurZK7JLduUuKx5BSvmC9zqTZkJ3E5HmQudTi2ZyaYZUcHEbiekzDW43a3IXKPbuSVzJad7HJlpMupgP5f1diopmKw1Y0/NHTMb5TZ7fQUqNmP+pOICtxq1hw3dco0eNnLLNnrYrHf2unkbjPOm8210ywN62JLbvWMP2+SUd3TtbHNGlZR80bhOgpIP3HQZu/jQ7aY9inz22dOxGTNiJRu4rZQeNr87tyDcw9pnjRVcfnMGIpdfHSNzZdf//PmdWz7CB/78jpfrB3z9+i9eLh/w6594+f6AT/opJSP+yyVJKy/MlKGkPJShbub1aUnhmGkoRQfPL9iMx+3r05KEXpmLi3xs9wGxtUFzUNcA1P+IYvmIa3lVp1jIsIyJPJUPgHqYX7P73Os2ea/z7i3UV5LKxJfJrjbMjEqLq726vJYZuS/AagHHLuZOSyvurG15lWtn/2hUyCMS7V5ny32G2ieu7Vzl4BhLGcsltXjAYhPLa7uMjd3Ymz99WOzG4uJbH5YV2Cff6AtjA3tvv9uFQ2wVw6V9xo6LXWsCETsOh82Rfvqa5jzKcfGo9UJ2XKQYN1J82Uvx9P1T7lkUUhwEKQ4OUhz0kvkOGT4TUJv8aoTSV3Y7hTK4SW44kdyglNy6HXcy+tiXal9YcfWY2UkJHshk2kgJClhSYEM3lkqd3o2FbiwqfEOFb/jk200mQXoTepWt27dQfMW1q146HSUsRxZ0iSvdxRXDXlzTUMQ1PYgrnIgrCuK6l87i/GXdlrAu/UOEa57bYH57ntvN65bnthjfn+e2mN+T597tH+e5R3kYPIlnELCkwIZu7F48JSx0Y1HhGyp8wwPfZhmMT9i9GJV2XrCihC1IxuMvSiWnj2D6BPcml7yRS3jIReEoFz2TSxDkEhzk0pqLOklldy6qlsiXc1GzNL6Ui1pkUZ+LtmVxLim5UZ4Om3a5TB8UJT0oyvSkwJICGxRl+qDAgqKkj4qSfnpTST8pRTCIWadU0k+LjA4bGaW9jH5/amXUcrsKf+12Ff7S7eoD7/9vkl52###1916:XlxV32DM 2883 764eNrFWU2y2zYMvkwPQBAgKcqbTLvJspm2B5BsaZmZLNqN5929oERL0DNlkbSdvMzENvHhV9JHEKIzjeTIE5rRIHlDZMI3pcgSkDY6yGg0xpAZ+fsunr95lnlGTWhe1yxpUBuPFissLvY8DTd7K3q2gC7Lc6alBfdINtn4ZD8VFZJBTZrF6qYzZQ90mbRx0tYLMqTM3w0iHWgZbBZkiRZVaakKLUJbpaUrtLCqGlhVDbyvBp0RDrQ0+gU5aYn7JeiwBls2vH7TjM8BamGjEfJxa4H1J+wcAQJ7m3C8DmhZy8V7Hoj/gixE9Dje3Rjv1024bh8f3y25VrV4/Q7D2KI9/QvD0OrTPzBcWt2MVw7GYxcf10+P6LrKn5qfIYuKEXOQLi3PefTTCGmD7miI/ycCxkJMMVhgGGPA+CzCKbZJu2RY52/Vzqfd15J4Ju2qlxG4ekDgqpDAdwgh6pj5gX5MHgvWFGAhGxs3mUwsZmOxIDcsyA0TuemJOiGBXeW3msxYfYeN5BqxQW4iFp8iVF9Ep80hnZoYISYp1EcCbQSBNlsCvbgUgcIDAsUDAt3SY0h7WB49WLqTJGKxAeVkd9cv7nius0v5fWq235d1xnse398Z73l+T2e82t/rjOmwM1YLsqRbtVU9blHfOX3rRdfaVPW6VKWlqrpW+0R+mKjOHXEnfMIxhe/21wuZL/21forMsbA7dtndvN7plG8dsReE3m0JfThfMYSQT+j6gND1Cwj92Y74RWSe3REXk3h1R/w0eVd1xM8Qd3lHvE/c+90dpEcSGSQf6VqSfMZxOnbU63F68qsPtXBBlmhBQuuYpl0VuWMVufsqLXN8vRhrJiweb8URq++iccYdVnnWmpHzgGQQ90E97Wt0RbRvD7v4Oa6pDdsZ5Ohw7Sfq7wT1n7fUPzal1A8H1A8PjtGQOQx5RS+/4/ntvXy23zdNueGXTbnhzVNuOJxyH/fykCCQY+r1FdSbNW55QPMlPa5JjCnmvKDo3KBJ+n/sc3tuyNdSFVrbcwOIdxrbkQ2tG0p8k7HjYcHCXTSp8Q6lTwRxQ3nuRABFG4M5Pg/EzSt9HoC4JfRiSxi2W8J5DFuCKtgSnpmPwy+Zj8NPno/DT5uPwy+Zj8Ob5+NQMR+Hgvk4FMzHoWA+DgXzcUh33hnbGlVta5jc1o61XMVmiMkTxbEvqIhQf84rQYP2yVecTRFpU8UrTrvzgrOJBH5eCdxs+LvrrvyodKx05kCa6MpNrkJfFRICE/ZCgbh7rDZBb/STyGUv3N7SdtKyYldS4rUIJDxY8YIiyIcHWLfIZ2yqXG6b50Y3nf9WN8imTmcq+kXsmpuiN3yMomTR53s43C+UUfT7NPFTahKZuGsyLGyxyRZhL4+Dkt0yhTh0hIvhgsFcMNK3irFgQCHohGCEVbA2JkEwCsF5FWh1EQJhSkMvBI0QaC8EVgjQCQEJAYk8UOShjcgDlRBYkYcepEDkoWUejfChZVRe1kpG1Yk8tBT0wgdI5/J6aJnHIGqlZR6j9CGKiEr6EHkgiOtBIg++g4QGCgEJHyCuILcoQgBC4EQe4ISgEXl4sd6JywFGCHpxyS9i/SyyGMW6vHF7sS7rJJImJexrERFTsCjTWtiP//6Gbggi/qeuf/mOq2tbuISaoetZphvFxfgK3Xj6Ab0+9c0YsK1m/Ffo4fQbdOfWjad+8JNArYJetYQL4KPXuk9AcFwh4e/Llz+udsTWAneVbux44ferucLgY6DWDRzL0J8+eNHcFvtxWeym68Ih2lFxPTn4i1g+8zK0BI7vbw7Q+pa8Zl7g3xf+zbU1amgN8KdVrWn8ZwPBrm6xj+sc35/Xb12r1OkbA4DDUOHHD4Dpl+WaN/zBKY3hg/iDdf4HG6p4gg== No newline at end of file
@@ -0,0 +1,148
1 Release 14.7 Map P.20131013 (nt64)
2 Xilinx Map Application Log File for Design 'BloqueRAM_int'
3
4 Design Information
5 ------------------
6 Command Line : map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol
7 high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off
8 -pr off -lc off -power off -o BloqueRAM_int_map.ncd BloqueRAM_int.ngd
9 BloqueRAM_int.pcf
10 Target Device : xc6slx9
11 Target Package : tqg144
12 Target Speed : -3
13 Mapper Version : spartan6 -- $Revision: 1.55 $
14 Mapped Date : Mon Dec 14 11:38:44 2015
15
16 Mapping design into LUTs...
17 Running directed packing...
18 Running delay-based LUT packing...
19 Updating timing models...
20 INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
21 (.mrp).
22 Running timing-driven placement...
23 Total REAL time at the beginning of Placer: 7 secs
24 Total CPU time at the beginning of Placer: 4 secs
25
26 Phase 1.1 Initial Placement Analysis
27 Phase 1.1 Initial Placement Analysis (Checksum:c0e) REAL time: 9 secs
28
29 Phase 2.7 Design Feasibility Check
30 Phase 2.7 Design Feasibility Check (Checksum:c0e) REAL time: 9 secs
31
32 Phase 3.31 Local Placement Optimization
33 Phase 3.31 Local Placement Optimization (Checksum:c0e) REAL time: 9 secs
34
35 Phase 4.2 Initial Placement for Architecture Specific Features
36 ...
37 .....
38 Phase 4.2 Initial Placement for Architecture Specific Features (Checksum:ecc6) REAL time: 11 secs
39
40 Phase 5.36 Local Placement Optimization
41 Phase 5.36 Local Placement Optimization (Checksum:ecc6) REAL time: 11 secs
42
43 Phase 6.30 Global Clock Region Assignment
44 Phase 6.30 Global Clock Region Assignment (Checksum:ecc6) REAL time: 11 secs
45
46 Phase 7.3 Local Placement Optimization
47 ...
48 .....
49 Phase 7.3 Local Placement Optimization (Checksum:1e558ea8) REAL time: 12 secs
50
51 Phase 8.5 Local Placement Optimization
52 Phase 8.5 Local Placement Optimization (Checksum:1e558ea8) REAL time: 12 secs
53
54 Phase 9.8 Global Placement
55 .................
56 ....................
57 Phase 9.8 Global Placement (Checksum:dadb6f42) REAL time: 12 secs
58
59 Phase 10.5 Local Placement Optimization
60 Phase 10.5 Local Placement Optimization (Checksum:dadb6f42) REAL time: 12 secs
61
62 Phase 11.18 Placement Optimization
63 Phase 11.18 Placement Optimization (Checksum:686ff5d2) REAL time: 13 secs
64
65 Phase 12.5 Local Placement Optimization
66 Phase 12.5 Local Placement Optimization (Checksum:686ff5d2) REAL time: 13 secs
67
68 Phase 13.34 Placement Validation
69 Phase 13.34 Placement Validation (Checksum:685119d3) REAL time: 13 secs
70
71 Total REAL time to Placer completion: 13 secs
72 Total CPU time to Placer completion: 7 secs
73 Running post-placement packing...
74 Writing output files...
75
76 Design Summary
77 --------------
78
79 Design Summary:
80 Number of errors: 0
81 Number of warnings: 0
82 Slice Logic Utilization:
83 Number of Slice Registers: 34 out of 11,440 1%
84 Number used as Flip Flops: 34
85 Number used as Latches: 0
86 Number used as Latch-thrus: 0
87 Number used as AND/OR logics: 0
88 Number of Slice LUTs: 5 out of 5,720 1%
89 Number used as logic: 5 out of 5,720 1%
90 Number using O6 output only: 3
91 Number using O5 output only: 0
92 Number using O5 and O6: 2
93 Number used as ROM: 0
94 Number used as Memory: 0 out of 1,440 0%
95
96 Slice Logic Distribution:
97 Number of occupied Slices: 11 out of 1,430 1%
98 Number of MUXCYs used: 0 out of 2,860 0%
99 Number of LUT Flip Flop pairs used: 37
100 Number with an unused Flip Flop: 3 out of 37 8%
101 Number with an unused LUT: 32 out of 37 86%
102 Number of fully used LUT-FF pairs: 2 out of 37 5%
103 Number of unique control sets: 4
104 Number of slice register sites lost
105 to control set restrictions: 14 out of 11,440 1%
106
107 A LUT Flip Flop pair for this architecture represents one LUT paired with
108 one Flip Flop within a slice. A control set is a unique combination of
109 clock, reset, set, and enable signals for a registered element.
110 The Slice Logic Distribution report is not meaningful if the design is
111 over-mapped for a non-slice resource or if Placement fails.
112
113 IO Utilization:
114 Number of bonded IOBs: 33 out of 102 32%
115
116 Specific Feature Utilization:
117 Number of RAMB16BWERs: 1 out of 32 3%
118 Number of RAMB8BWERs: 0 out of 64 0%
119 Number of BUFIO2/BUFIO2_2CLKs: 0 out of 32 0%
120 Number of BUFIO2FB/BUFIO2FB_2CLKs: 0 out of 32 0%
121 Number of BUFG/BUFGMUXs: 1 out of 16 6%
122 Number used as BUFGs: 1
123 Number used as BUFGMUX: 0
124 Number of DCM/DCM_CLKGENs: 0 out of 4 0%
125 Number of ILOGIC2/ISERDES2s: 0 out of 200 0%
126 Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0%
127 Number of OLOGIC2/OSERDES2s: 0 out of 200 0%
128 Number of BSCANs: 0 out of 4 0%
129 Number of BUFHs: 0 out of 128 0%
130 Number of BUFPLLs: 0 out of 8 0%
131 Number of BUFPLL_MCBs: 0 out of 4 0%
132 Number of DSP48A1s: 0 out of 16 0%
133 Number of ICAPs: 0 out of 1 0%
134 Number of MCBs: 0 out of 2 0%
135 Number of PCILOGICSEs: 0 out of 2 0%
136 Number of PLL_ADVs: 0 out of 2 0%
137 Number of PMVs: 0 out of 1 0%
138 Number of STARTUPs: 0 out of 1 0%
139 Number of SUSPEND_SYNCs: 0 out of 1 0%
140
141 Average Fanout of Non-Clock Nets: 1.60
142
143 Peak Memory Usage: 330 MB
144 Total REAL time to MAP completion: 13 secs
145 Total CPU time to MAP completion: 8 secs
146
147 Mapping completed.
148 See MAP report file "BloqueRAM_int_map.mrp" for details.
@@ -0,0 +1,224
1 Release 14.7 Map P.20131013 (nt64)
2 Xilinx Mapping Report File for Design 'BloqueRAM_int'
3
4 Design Information
5 ------------------
6 Command Line : map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol
7 high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off
8 -pr off -lc off -power off -o BloqueRAM_int_map.ncd BloqueRAM_int.ngd
9 BloqueRAM_int.pcf
10 Target Device : xc6slx9
11 Target Package : tqg144
12 Target Speed : -3
13 Mapper Version : spartan6 -- $Revision: 1.55 $
14 Mapped Date : Mon Dec 14 11:38:44 2015
15
16 Design Summary
17 --------------
18 Number of errors: 0
19 Number of warnings: 0
20 Slice Logic Utilization:
21 Number of Slice Registers: 34 out of 11,440 1%
22 Number used as Flip Flops: 34
23 Number used as Latches: 0
24 Number used as Latch-thrus: 0
25 Number used as AND/OR logics: 0
26 Number of Slice LUTs: 5 out of 5,720 1%
27 Number used as logic: 5 out of 5,720 1%
28 Number using O6 output only: 3
29 Number using O5 output only: 0
30 Number using O5 and O6: 2
31 Number used as ROM: 0
32 Number used as Memory: 0 out of 1,440 0%
33
34 Slice Logic Distribution:
35 Number of occupied Slices: 11 out of 1,430 1%
36 Number of MUXCYs used: 0 out of 2,860 0%
37 Number of LUT Flip Flop pairs used: 37
38 Number with an unused Flip Flop: 3 out of 37 8%
39 Number with an unused LUT: 32 out of 37 86%
40 Number of fully used LUT-FF pairs: 2 out of 37 5%
41 Number of unique control sets: 4
42 Number of slice register sites lost
43 to control set restrictions: 14 out of 11,440 1%
44
45 A LUT Flip Flop pair for this architecture represents one LUT paired with
46 one Flip Flop within a slice. A control set is a unique combination of
47 clock, reset, set, and enable signals for a registered element.
48 The Slice Logic Distribution report is not meaningful if the design is
49 over-mapped for a non-slice resource or if Placement fails.
50
51 IO Utilization:
52 Number of bonded IOBs: 33 out of 102 32%
53
54 Specific Feature Utilization:
55 Number of RAMB16BWERs: 1 out of 32 3%
56 Number of RAMB8BWERs: 0 out of 64 0%
57 Number of BUFIO2/BUFIO2_2CLKs: 0 out of 32 0%
58 Number of BUFIO2FB/BUFIO2FB_2CLKs: 0 out of 32 0%
59 Number of BUFG/BUFGMUXs: 1 out of 16 6%
60 Number used as BUFGs: 1
61 Number used as BUFGMUX: 0
62 Number of DCM/DCM_CLKGENs: 0 out of 4 0%
63 Number of ILOGIC2/ISERDES2s: 0 out of 200 0%
64 Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0%
65 Number of OLOGIC2/OSERDES2s: 0 out of 200 0%
66 Number of BSCANs: 0 out of 4 0%
67 Number of BUFHs: 0 out of 128 0%
68 Number of BUFPLLs: 0 out of 8 0%
69 Number of BUFPLL_MCBs: 0 out of 4 0%
70 Number of DSP48A1s: 0 out of 16 0%
71 Number of ICAPs: 0 out of 1 0%
72 Number of MCBs: 0 out of 2 0%
73 Number of PCILOGICSEs: 0 out of 2 0%
74 Number of PLL_ADVs: 0 out of 2 0%
75 Number of PMVs: 0 out of 1 0%
76 Number of STARTUPs: 0 out of 1 0%
77 Number of SUSPEND_SYNCs: 0 out of 1 0%
78
79 Average Fanout of Non-Clock Nets: 1.60
80
81 Peak Memory Usage: 330 MB
82 Total REAL time to MAP completion: 13 secs
83 Total CPU time to MAP completion: 8 secs
84
85 Table of Contents
86 -----------------
87 Section 1 - Errors
88 Section 2 - Warnings
89 Section 3 - Informational
90 Section 4 - Removed Logic Summary
91 Section 5 - Removed Logic
92 Section 6 - IOB Properties
93 Section 7 - RPMs
94 Section 8 - Guide Report
95 Section 9 - Area Group and Partition Summary
96 Section 10 - Timing Report
97 Section 11 - Configuration String Information
98 Section 12 - Control Set Information
99 Section 13 - Utilization by Hierarchy
100
101 Section 1 - Errors
102 ------------------
103
104 Section 2 - Warnings
105 --------------------
106
107 Section 3 - Informational
108 -------------------------
109 INFO:MapLib:562 - No environment variables are currently set.
110 INFO:LIT:244 - All of the single ended outputs in this design are using slew
111 rate limited output drivers. The delay on speed critical single ended outputs
112 can be dramatically reduced by designating them as fast outputs.
113 INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range:
114 0.000 to 85.000 Celsius)
115 INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to
116 1.260 Volts)
117 INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
118 (.mrp).
119 INFO:Pack:1650 - Map created a placed design.
120
121 Section 4 - Removed Logic Summary
122 ---------------------------------
123 2 block(s) optimized away
124
125 Section 5 - Removed Logic
126 -------------------------
127
128 Optimized Block(s):
129 TYPE BLOCK
130 GND XST_GND
131 VCC XST_VCC
132
133 To enable printing of redundant blocks removed and signals merged, set the
134 detailed map report option and rerun map.
135
136 Section 6 - IOB Properties
137 --------------------------
138
139 +---------------------------------------------------------------------------------------------------------------------------------------------------------+
140 | IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IOB |
141 | | | | | Term | Strength | Rate | | | Delay |
142 +---------------------------------------------------------------------------------------------------------------------------------------------------------+
143 | ADDR_RAM<0> | IOB | INPUT | LVCMOS25 | | | | | | |
144 | ADDR_RAM<1> | IOB | INPUT | LVCMOS25 | | | | | | |
145 | ADDR_RAM<2> | IOB | INPUT | LVCMOS25 | | | | | | |
146 | ADDR_RAM<3> | IOB | INPUT | LVCMOS25 | | | | | | |
147 | ADDR_RAM<4> | IOB | INPUT | LVCMOS25 | | | | | | |
148 | ADDR_RAM<5> | IOB | INPUT | LVCMOS25 | | | | | | |
149 | ADDR_RAM<6> | IOB | INPUT | LVCMOS25 | | | | | | |
150 | ADDR_RAM<7> | IOB | INPUT | LVCMOS25 | | | | | | |
151 | ADDR_RAM<8> | IOB | INPUT | LVCMOS25 | | | | | | |
152 | ADDR_RAM<9> | IOB | INPUT | LVCMOS25 | | | | | | |
153 | CS_2 | IOB | INPUT | LVCMOS25 | | | | | | |
154 | CS_1_bar | IOB | INPUT | LVCMOS25 | | | | | | |
155 | DATA_RAM<0> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
156 | DATA_RAM<1> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
157 | DATA_RAM<2> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
158 | DATA_RAM<3> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
159 | DATA_RAM<4> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
160 | DATA_RAM<5> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
161 | DATA_RAM<6> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
162 | DATA_RAM<7> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
163 | DATA_RAM<8> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
164 | DATA_RAM<9> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
165 | DATA_RAM<10> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
166 | DATA_RAM<11> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
167 | DATA_RAM<12> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
168 | DATA_RAM<13> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
169 | DATA_RAM<14> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
170 | DATA_RAM<15> | IOB | BIDIR | LVCMOS25 | | 12 | SLOW | | | |
171 | LB_bar | IOB | INPUT | LVCMOS25 | | | | | | |
172 | OE_bar | IOB | INPUT | LVCMOS25 | | | | | | |
173 | UB_bar | IOB | INPUT | LVCMOS25 | | | | | | |
174 | WEA_bar | IOB | INPUT | LVCMOS25 | | | | | | |
175 | clk_main | IOB | INPUT | LVCMOS25 | | | | | | |
176 +---------------------------------------------------------------------------------------------------------------------------------------------------------+
177
178 Section 7 - RPMs
179 ----------------
180
181 Section 8 - Guide Report
182 ------------------------
183 Guide not run on this design.
184
185 Section 9 - Area Group and Partition Summary
186 --------------------------------------------
187
188 Partition Implementation Status
189 -------------------------------
190
191 No Partitions were found in this design.
192
193 -------------------------------
194
195 Area Group Information
196 ----------------------
197
198 No area groups were found in this design.
199
200 ----------------------
201
202 Section 10 - Timing Report
203 --------------------------
204 A logic-level (pre-route) timing report can be generated by using Xilinx static
205 timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the
206 mapped NCD and PCF files. Please note that this timing report will be generated
207 using estimated delay information. For accurate numbers, please generate a
208 timing report with the post Place and Route NCD file.
209
210 For more information about the Timing Analyzer, consult the Xilinx Timing
211 Analyzer Reference Manual; for more information about TRCE, consult the Xilinx
212 Command Line Tools User Guide "TRACE" chapter.
213
214 Section 11 - Configuration String Details
215 -----------------------------------------
216 Use the "-detail" map option to print out Configuration Strings
217
218 Section 12 - Control Set Information
219 ------------------------------------
220 Use the "-detail" map option to print out Control Set Information.
221
222 Section 13 - Utilization by Hierarchy
223 -------------------------------------
224 Use the "-detail" map option to print out the Utilization by Hierarchy section.
@@ -0,0 +1,3
1 XILINX-XDB 0.1 STUB 0.1 ASCII
2 XILINX-XDM V1.6
3 ###4848:XlxV32DM 3fff 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###4324:XlxV32DM 3fff 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###5164:XlxV32DM 3fff 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###412:XlxV32DM 29b 184eNptkk1OxDAMhS/DAeLm39mMYMOSEVzASdrlSF3ApurdeS3DxEK0i8Tfe3EcJ2yYthv1mYnKJ/XOU9lvNhIPISohxSFkxXNXC7wSqh1CV7zlwRfF+zJ4VXzxg4fBnVH5J1WRI3UEl4YQXIJgfwSveR7cPvj+9UFSj1T4zfaeI1NNTB2xtbEKtk0GzXslaWUlWUpN7fDyBD/oXJ5IMsdW6hxOwSihszMPw14nyv9YbBuW47tcXrYwL7gamaLvAvC8+Y263AsNUVBLhx0w/MJaH1DSCScOc0OfsZUo3IBRGFl2trELHh1EFwVxQ4y78EbYE0bf2Cf/N8GRF12Md4763rYrno4pVxiorO2Yr/2ch7niItbjQCgwLAYDVnwD6Jq+SQ== No newline at end of file
@@ -0,0 +1,3
1 XILINX-XDB 0.1 STUB 0.1 ASCII
2 XILINX-XDM V1.6e
3 $25f5=6:2.Yi{g|inl9$4(5=0*/=<5+Rdtjwlii2);%98.+1:2:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?05935566>2;;6D@_UU8B@ATXZHG757>115920?OIX\^1MIJ]A=30>586<2;?6D@_UU8B@ATE4891<3?8;049MKIR\3NJXLQ]AL>21?69928:>6==:HLSQQ<CAH68=7>113906?OIX\^1HDL33083:45<;;0DYY^ZT;FLE956294:?6==:NWWTPR=LFH7?<4?>09754=32@D[YY4KIQC?7?69981?6D@_UU8GMUD;;3:5==5;:HLSQQ<PMH686=0>0:69MKVR\3]NN1=50?31?1<H]]Z^X7J@P@>0>586:2>1CXZ_UU8GKUD;;3:5h68|ly;wub73<$9>7LJKR@48EABUI9<0MIJ]A058EABUI8:<7LJKR@323>GCL[K:>:5NDEPB560<IMNYM?84AEFQE60<IMNYM984AEFQE00<IMNYM;84AEFQE20<IMNYM584AEFQE<><IMNYM1>19:CG@WG;99427LJKR@>25;?<IMNYM1?=>c9B@ATF4891<374AEFQE974611JHI\N<0<;?DBCZH69255NDEPB868?3HNO^L2;>99B@ATF4<437LJKR@>5:==FLMXJ0:07;@FGVD:?611JHI\N<8<6?DBCZK<0MIJ]B148EABUJ8=0MIJ]B024?DBCZK;:;6OKDS@262=FLMXI=>84AEFQF70<IMNYN>84AEFQF10<IMNYN884AEFQF30<IMNYN:84AEFQF=0<IMNYN464AEFQF96912KOH_L311<:?DBCZK6:=374AEFQF9756k1JHI\M<0194;?<IMNYN1?<>99B@ATE48437LJKRC>1:==FLMXI0>07;@FGVG:3611JHI\M<4<;?DBCZK6=255NDEPA828?3HNO^O27>99B@ATE404i7LJKR^PBI969j2KOH_Q]AL>2:g=FLMXT^LC32?`8EABUW[KF0>0m;@FGVZTFE5>5n6OKDS]QEH:26k1JHI\PR@O?2;d<IMNYS_OB<6<a?DBCZVXJA161d:CG@WYUID626=0m;@FGVZTFE535j6OKDS]QEHY7W@H^Jk5NDEP\VDKX9VCIYKh4AEFQ[WGJW;UBNXHi;@FGVZTFEV9TEO[If:CG@WYUIDU?SDLZFg9B@ATXZHGT9RGMUGd8EABUW[KFS;QFBTDe?DBCZVXJAR9PICWEb>GCL[UYM@Q7_H@VBc=FLMXT^LCP9^KAQCc<IMNTKAAMAM]SMK5<IMX87LBC5:CP]KE23KC[MI=4BTD7?GSAO<1IYKI_119AQLJCW]KYKHZNRBJ;?GSTW@DMCi5MlnsvaWGJW`d=6M=;BG6?FME]O90OAE;;BNH43=DDB;3<;5LLJ0440=DDB8S46MCK3Z2<53<KEA2<95LLJC6?FJLI8=0OAEN1E04?FJLI8N?;6MCK@3G<1=DDBH>7NBDB078GIMD9<1H@FJ>5:AOOAPd3JF@H[QKIWKGA0=DDBLS46MCKGZ2<55<KEX27NABMHVWAA><KFDXXL@[109@KKUSIG^TX_O\HBCM3>EUMH^NH55LS^3\fdtc3JYT=Rlnr^KAQC2<KZU956M\_3]JFP@13JYTmxv8;BQ\ep~6;2I_@<5Kb:FBPDYUID6;2n5KAUC\VDK;994h7IO[A^PBI9766j1OMYOPR@O?578d3MK_MR\NM=30:f=CI]KT^LC315<f?AGSIVXJA1?::1<`?AGSIVXJA1?:>c9GEQGXZHG7=3l4D@VB[WGJ4;4i7IO[A^PBI959j2NJXLQ]AL>7:g=CI]KT^LC35?`8@DRFW[KF0;0m;ECWEZTFE5=5n6JNT@]QEH:?6k1OMYOPR@O?=;g<LH^JS_OB_133?AGSIVXJAR>PIN@VB43<LH^JS_OB_1]JKGSA'@H^J<84D@VB[WGJW9UBCO[I/N@VBQg<LH^JS_OB_0`8@DRFW[KFS<>>1:FBPDYUIDU:<RG@BTD22>BF\HUYM@Q>0^KLFP@(AK_M=:5KAUC\VDKX99UBCO[I/N@VBQd<LH^JS_OB_0325>BF\HUYM@Q>1^KLFP@6>2NJXLQ]AL]25ZOHJ\L$EO[I169GEQGXZHGT=<QFOCWE+JDRN]h0HLZN_SCN[44692NJXLQ]AL]26ZOHJ\L::6JNT@]QEHY6:VCDNXH ICWE52=CI]KT^LCP13]JKGSA'FH^JYl4D@VB[WGJW89:=6JNT@]QEHY6;VCDNXH>6:FBPDYUIDU:?RG@BTD,MGSA9>1OMYOPR@O\56YNGK_M#BLZFU`8@DRFW[KFS<:>1:FBPDYUIDU:8RG@BTD22>BF\HUYM@Q>4^KLFP@(AK_M=:5KAUC\VDKX9=UBCO[I/N@VBQd<LH^JS_OB_0725>BF\HUYM@Q>5^KLFP@6>2NJXLQ]AL]21ZOHJ\L$EO[I169GEQGXZHGT=8QFOCWE+JDRN];;7IO[A^PBIZ7XAFH^J<;4D@VB[WGJW8UBCO[I/H@VB40<LH^JS_OB_0]JKGSA'FH^JYo4D@VB[WGJW;;;7IO[A^PBIZ4XAFH^J<;4D@VB[WGJW;UBCO[I/H@VB40<LH^JS_OB_3]JKGSA'FH^JYo4D@VB[WGJW:;;7IO[A^PBIZ5XAFH^J<;4D@VB[WGJW:UBCO[I/H@VB40<LH^JS_OB_2]JKGSA'FH^JYo4D@VB[WGJW=;;7IO[A^PBIZ2XAFH^J<;4D@VB[WGJW=UBCO[I/H@VB40<LH^JS_OB_5]JKGSA'FH^JYo4D@VB[WGJW<;;7IO[A^PBIZ3XAFH^J<;4D@VB[WGJW<UBCO[I/H@VB40<LH^JS_OB_4]JKGSA'FH^JYo4D@VB[WGJW?;;7IO[A^PBIZ0XAFH^J<;4D@VB[WGJW?UBCO[I/H@VB40<LH^JS_OB_7]JKGSA'FH^JYo4D@VB[WGJW>;;7IO[A^PBIZ1XAFH^J<;4D@VB[WGJW>UBCO[I/H@VB40<LH^JS_OB_6]JKGSA'FH^JYo4D@VB[WGJW1;;7IO[A^PBIZ>XAFH^J<;4D@VB[WGJW1UBCO[I/H@VB40<LH^JS_OB_9]JKGSA'FH^JYo4D@VB[WGJW0;;7IO[A^PBIZ?XAFH^J<;4D@VB[WGJW0UBCO[I/H@VB40<LH^JS_OB_8]JKGSA'FH^JYm4D@VB[ROC\AUJo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e:FQGZURKJOX_K[C1:G0?@HF;2OENo5JN^PPPWGJWHh0ICQ]SUPBIZD692OS\L@KEE]AGAYAAEO:86KWP@LGAAYDGGY_^LGATR32?@^WIGNNHRG\E^DJH@5<NMI>7KJLPD18BAC53OL37KHIFGDGB1=AZHMi7K\NGBMUAWCCi2LYMJQMARGGf>@UINUHCCHFG`9EVDAXKF_EX<5H159DAKCUI]OO,]A\T,DOMKR6:2MNBH\NTDF#TJUS%N9;?6IAD09J6>O7:2C:>6G=2:K06>O3<2CIYK;4ICWEC7=NF11BBKK]RDF;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO74IOKW[COKM:1BB[64IOTFVQCC02CEZH\[ESg8MKYIOMUJBIQMCE08MJ3<AFH^J;5FOBMNT3=NG]S[I95FP@F5?IDXjhx:96BM_ccq[FUXi|rTC_Q:7^m22>JEWkkySN]Patz\KWY2?Ve:n6BM_ccq[LDRN>1GEO\NRX48HJGCMM<0@BMDEE58HJANKHF87A[[4:NVP72<D\^?86BZT4`8IDVBPYKEHHJ9;LCST@Bf3DK[S@AKUMGg?HGWW]CFI^ZNMQ`8IDVX^LXXEB@>9:OO[BCIM[K_IIQ_ORV.CWGIA]Oh7@oeosTfvvohfj1Feca}Vdppmjh53G:87C??3:L256=I9;90B<=<;O377>H6=:1E=;=4N051?K453G997C:=;O71?K053G=97C6=;O;:?KDXJ\YBHUo4NC]AQVHFEL=0BHZXOSI7?KIIM11ECYQ_HXQ:?KIXKAKEKH?4O048K8.yA9 #rD?%(K1.L5.! k0C0&qI1(J5,7f3F7#rD>$(H3(,{O5#!C8'D:&)(+6?J;yA9?0CO[IT79LAZdfzk1DIRlnr^KAQC5<GGO:;6A_THOJ^@YWZ@GBXDXJS^LDG6=H]];0\l5_.3227466;j1["?>>30227ZJ43YKO86^NRU:8TM_TAJKGm6^FN^@VWLB_j2ZBBRLZSOCNAg=WAGUB_NB@CJ;8TNYOD\^EA55_K^NVPKK23YE\I_=4PSG5?UUCGGO:46^XR^30[jYddbUfmd`PDGD\047d3Y]YS<=Po^aooZkfagUOJKQ;1^kmr75<X^XT=>Q`_bnh[hgnfVNMJR:>_hlu5ZOI^V::;6^XR^7\kZekcVgjecQKFG]074d<X^XT9RaPcmi\idoiWMLMS>=Piot16>VPZV?TcRmck^obmkYCNOU8?Rgav0]JJSY792[j7_OBB04AS@Ta3[KFN<8MWDP\mkus901YM@L>6CUFVZoi{}Ubb~{caug32>TBOJOJ:6\JGBGA3>TBEF\NH<>4RDOLR@BX\[KXDNOA119QAWCTGE\NS]O]ALQ;?WHUWOFD\>5]OL18VVR33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI?6]JT99PAQTB[L^:=6]GRDE\A]RUIJ^TBJMj;RJQABYJAGUXEWK6;RKD[FIRF];87^GB_BMOHLUNGGUHDHMDa:QJIZBB^@IN46]_ASVBJ35<[[Io7^\L_IKFVDTD@P=0__XNL^C4?VTQIEUI:6][AUWP<>US]JATMY:4SXL@=>U^FJUJXY\>;Ud8PDT@M]U[M_Z[YQG7?QDRN11_I_CFN@N0?QTN02^YE^ZNTD68PWSB<2^R\H84UC]aew723\HTnl|PCR]bq}YHZV?;Sb?9;T@\fdtXKZUjyuQ@R^73[j7e3\HTnl|PICWEg>STM[U]E^GMLD18RFE>3_CN[RZVPD18S@G33^OJ<95XE@37?RCF:=1\IL=9;VGB85813^OJ0<09;VGB878?3^OJ0>4?>79TAD:46>1\ILQmasa8S@GXjhxTEO[I3:UFF1=PMK:?7ZKM159TAG433^OI?;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA868d3^XBXHQBOEG\Ef=PZ@^NS@AKE^@2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK5?]USD@H<7U][_FLG3>^T\V\HO;5Wdc]J``=_laUHc|Mlnahw46<PmbTOb|}Ndvtkwmt911SheQBaqAlvwEhfz~jbyZ}arj27>^c`VGj|Na}rLgqc`bSg8=0TifPM`r@kwtUmde}iiZ}arja?]boWYxbaDjwb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee;6Vkh^Vbcg=_ldUIecjfnf33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx:5Wsu]@h4><Pz~TOa??8:ZppZEk9820T~zPCm31<>^t|VIg=>64Xrv\Gi7302RxxRMc14:8\vrXKe;=;6V|t^Ao62=_{}UH`>94Xrv\Gi203QySNb:7:ZppZEk>>1SyQLl658\vrXKe2<7U}{_Bn:7>_B[=1QI_A=4:]\[]JIEVUT<RQPLC]aewYD[Vk~tRA]_45\k4433VUTTA@B_^]2[ZYRJVhj~RM\_`w{[JTX=9Ud=;5Pn1375a=Xf9;?=<QFNW]3e>Yi88>2Sd`yb:]m442>W`d}=l5Pn1027Zoi~k1Tb=<>3^kmr4><keaTalgae:aooZkfagUIYKI_129`hnYji`dTNXHHP.@VBB733jf`S`ofn^@VBBV(AK_MK<74d`vb[wgjWhsSd`330822;:668;0hlzn_scn[dsW`dT<<?4d`vb[wgjWhsSd`P1008`drfW{kfSl{w_hl\55753mkmR|nm^cv|ZoiW8;:>6jnt`]qehYf}qUbbR?=139geqgXzhgTmxvPio]2744<lh~jSob_`w{[lhX9=;97io{a^pbiZgrpVceS<;>1:fbpdYuidUjyuQfn^025>bf|hUym`Qnuy]jjZ5692njxlQ}al]bq}YnfV>:=6jnt`]qehYf}qUbbR;>1:fbpdYuidUjyuQfn^425>bf|hUym`Qnuy]jjZ1692njxlQ}al]bq}YnfV2:=6jnt`]qehYf}qUbbR7>3:fbpdYuidUjyuQ`uu>3:42<lh~jSob_`w{[jss48:5=95kauc\vdkXi|rTcxz310<20>bf|hUym`Qnuy]lqq:6:7;?7io{a^pbiZgrpVe~x1?<>068`drfW{kfSl{w_nww84299=1omyoPr`o\ep~Xg|~7=80>3:fbpdYuidUjyuQ`uu>2:45<lh~jSob_`w{[jss4;4:?6jnt`]qehYf}qUdyy2<>018`drfW{kfSl{w_nww8186;2njxlQ}al]bq}Yh}}6>2<=4d`vb[wgjWhsSb{{<7<27>bf|hUym`Qnuy]lqq:06890hlzn_scn[dsWf050>3:fbpdYuidUjyuQ`uu>::6=cld90al~7;oegfpokl;1ec55|p`pwek0?3qcgecvzn0:8|ihWhcR>Pxe`,gvr)pkioqMN84;8DE~0;3L1;7?tS9d9761=:jh1=>=8b`g962`b8rd8?84>;o102?0<,:826><:;|Q;g?54?38hn7?<36`ba?40nl;0_?77:25:>4<6;:=imh4=7gda?V>d2:=26<4>325ae`<5?oln7^<68;14<?4=9:9<nlk529230>U5i908;54=c`82761eil094=>6;R:`>61?2;0:?>9mad81<56>3m=86=4>:3yP<c<4;>09oo4>325ae`<5?oo;7)9>:25a?M46l2d9m?4:67ge4<3<,;k:6>9m;%0b7?4di2|_>4h50;395?1asZ2m6>=8:3aa>454?kkn6?9ie19'75e=:0k0Z>==:3yv6d3=929m;4?;|&1ga<6?2.9>84?;%017?50l2.9>94=8e9a36<72;;1:k48ezJ04d=#;9?1;>5U73812<f2t.9j<4m;%0e4?5302d8?>4?;h7f>5<#:m:19i5a2bd94>=n=j0;6)<k0;7g?k4dn3;07d;m:18'6a6==m1e>nh52:9j1d<72-8o<7;k;o0`b?5<3`?26=4+2e291a=i:jl1865f5983>!4c83?o7c<lf;78?l30290/>i>55e9m6f`=>21b9;4?:%0g4?3c3g8hj794;h76>5<#:m:19i5a2bd9<>=n==0;6)<k0;7g?k4dn3307d=:2;29?l?72900e4<50;9j6f2=831b?<950;9j750=831b>4=50;9(=c<72-8o<77j;o0`b?6<3"3o6=4+2e29=`=i:jl1=65$9b83>!4c833n7c<lf;08?.?e290/>i>59d9m6f`=;21 5l4?:%0g4??b3g8hj7:4;*;:>5<#:m:15h5a2bd91>=,i10;6)<k0;;f?k4dn3<07&o8:18'6a6=1l1e>nh57:9(e3<72-8o<77j;o0`b?><3"k>6=4+2e29=`=i:jl1565$a583>!4c833n7c<lf;c8?.g4290/>i>59d9m6f`=j21 m?4?:%0g4??b3g8hj7m4;*c2>5<#:m:15h5a2bd9`>=,i90;6)<k0;;f?k4dn3o07&77:18'6a6=1l1e>nh5f:9a77>=93;1<7>t$226>66e3A99:6F<0`9'767=:j<0(?78:25`?k54;3;0(?h8:618k7e>290/?>?52b:8?xd4:>0:6<4?:1y'753=::90D><9;I13e>"4;808845+285972e<f:986?5+2g5936=h:::1<7*<3081g==<uk8om7?56;294~"48<09>;5G3348L66f3-98=7<=9:&103<43A8n96*=96803f=i;:91?6*=f6847>o0<3:1(>=>:658?l>e290/?>?52d:8?l?0290/?>?522a8?j4cl3:1(>=>:3a;?>o0=3:1(>=>:678?l4c=3:1(>=>:3gf?>{e:m=1=7850;2x 6622;8=7E==6:J04d=#;:;1>?74$365>6=O:l?0(?78:25`?k54;3>0(?h8:618m22=83.8?<487:9j<g<72-98=7<j8:9j=2<72-98=7<<c:9l6ab=83.8?<4=c998m23=83.8?<485:9j6a3=83.8?<4=ed98yg52m3;1:7>50z&040<5:11C??84H22b?!43>390(>=>:30:?M4b=2.95:4<7b9m765==2.9j:483:k40?6=,:9:6:94;h56>5<#;:;1;854i8594?"4;809?n54o3fg>5<#;:;1>n64;h0g1?6=,:9:6?kj;:k;f?6=,:9:6?k7;:a70d=93<1<7>t$226>74?3A99:6F<0`9'610=;2.8?<4=289K6`3<,;3<6>9l;o107?0<,;l<6:=4i6694?"4;80<;65f7483>!5493=>76g67;29 6562;9h76a=de83>!54938h465f2e794?"4;809ih54i9`94?"4;809i554}c16`?7=>3:1<v*<04816==O;;<0D>>n;%072?5<,:9:6?<6;I0f1>"51>08;n5a32193>"5n>0<?6g84;29 6562>=07d9::18'767=?<10e4950;&074<5;j10c?jk:18'767=:j207d<k5;29 6562;on76g7b;29 6562;o376sm34a95?0=83:p(>>::30;?M55>2B8<l5+25497>"4;809>45G2d78 7?02:=h7c=<3;:8 7`02>90e::50;&074<0?21b;84?:%105?1232c2;7>5$212>75d32e9hi4?:%105?4d021b>i;50;&074<5ml10e5l50;&074<5m110qo=96;392?6=8r.8<84=299K770<@::j7)<;6;18 6562;827E<j5:&1=2<4?j1e?>=59:&1b2<0;2c<87>5$212>21<3`=>6=4+323930=<a0=1<7*<30817f=<g;no6=4+32396f><3`8o97>5$212>7cb32c3n7>5$212>7c?32wi?8o51;494?6|,::>6?<7;I112>N48h1/>9853:&074<5:01C>h;4$3;4>61d3g98?7o4$3d4>25<a>>1<7*<30843>=n?<0;6)=<1;56?>o>?3:1(>=>:31`?>i5lm0;6)=<1;0`<>=n:m?1<7*<3081a`=<a1h1<7*<3081a==<uk9>57?56;294~"48<09>55G3348L66f3-8?:7=4$212>74>3A8n96*=96803f=i;:91n6*=f6847>o0<3:1(>=>:658?l12290/?>?57498m<1=83.8?<4=3b98k7bc290/?>?52b:8?l4c=3:1(>=>:3gf?>o?j3:1(>=>:3g;?>{e;??1=7850;2x 6622;837E==6:J04d=#:=<1?6*<30816<=O:l?0(?78:25`?k54;3i0(?h8:618m22=83.8?<487:9j30<72-98=79:;:k:3?6=,:9:6?=l;:m1`a<72-98=7<l8:9j6a3=83.8?<4=ed98m=d=83.8?<4=e998yg51<3;1:7>50z&040<5:11C??84H22b?!43>390(>=>:30:?M4b=2.95:4<7b9m765=l2.9j:483:k40?6=,:9:6:94;h56>5<#;:;1;854i8594?"4;809?n54o3fg>5<#;:;1>n64;h0g1?6=,:9:6?kj;:k;f?6=,:9:6?k7;:a735=93<1<7>t$226>74?3A99:6F<0`9'610=;2.8?<4=289K6`3<,;3<6>9l;o107?c<,;l<6:=4i6694?"4;80<;65f7483>!5493=>76g67;29 6562;9h76a=de83>!54938h465f2e794?"4;809ih54i9`94?"4;809i554}c156?7=>3:1<v*<04816==O;;<0D>>n;%072?5<,:9:6?<6;I0f1>"51>08;n5a3219b>"5n>0<?6g84;29 6562>=07d9::18'767=?<10e4950;&074<5;j10c?jk:18'767=:j207d<k5;29 6562;on76g7b;29 6562;o376sm34d95?0=83:p(>>::30;?M55>2B8<l5+25497>"4;809>45G2d78 7?02:=h7c=<3;33?!4a?3=87d9;:18'767=?>10e:;50;&074<0=21b5:4?:%105?44k21d>ij50;&074<5k110e?j::18'767=:lo07d6m:18'767=:l207pl<6082>3<729q/?=;523:8L6413A9;m6*=4780?!54938956F=e49'6<1=;>i0b>=<:038 7`02>90e::50;&074<0?21b;84?:%105?1232c2;7>5$212>75d32e9hi4?:%105?4d021b>i;50;&074<5ml10e5l50;&074<5m110qo=90;392?6=8r.8<84=299K770<@::j7)<;6;18 6562;827E<j5:&1=2<4?j1e?>=5139'6c1=?:1b;94?:%105?1032c<97>5$212>23<3`3<6=4+323966e<3f8oh7>5$212>7e?32c9h84?:%105?4bm21b4o4?:%105?4b021vn>;7:085>5<7s-9;97<=8:J063=O;9k0(?:9:29'767=:;30D?k:;%0:3?50k2d8?>4>3:&1b2<0;2c<87>5$212>21<3`=>6=4+323930=<a0=1<7*<30817f=<g;no6=4+32396f><3`8o97>5$212>7cb32c3n7>5$212>7c?32wi?8951;494?6|,::>6?<7;I112>N48h1/>9853:&074<5:01C>h;4$3;4>61d3g98?7?;;%0e3?143`=?6=4+323932=<a>?1<7*<30841>=n1>0;6)=<1;00g>=h:mn1<7*<3081g==<a;n>6=4+32396`c<3`2i6=4+32396`><3th297?53;294~"48<095n5G3348L66f3-98=7<j7:&1=2<4?j1/>985119m765=9<1/>k95729j663=83.8?<49a:l06c<732c9?;4?:%105?0f3g99j7?4;n0aa?6=,:9:6?m7;:a2=<62;0;6=u+317966g<@:8=7E=?a:&074<1m2B95=5+283966e<,;3<6>9l;o107?713-8m;79<;h000?6=,:9:6?=l;:m1f`<72-98=7<l8:9~f31=9381<7>t$226>75f3A99:6F<0`9'767=>l1C>4>4$3;2>75d3-82;7=8c:l076<6?2.9j:483:k171<72-98=7<<c:9l6gc=83.8?<4=c998yg0128096=4?{%131?44i2B8>;5G31c8 6562?o0D?7?;%0:5?44k2.95:4<7b9m765=911/>k95729j662=83.8?<4=3b98k7db290/?>?52b:8?xd1=3;1>7>50z&040<5;h1C??84H22b?!5493<n7E<60:&1=4<5;j1/>49536a8j6542830(?h8:618m753290/?>?522a8?j4em3:1(>=>:3a;?>{e>=0:6?4?:1y'753=::k0D><9;I13e>"4;80=i6F=919'6<7=::i0(?78:25`?k54;3;j7)<i7;50?l44<3:1(>=>:31`?>i5jl0;6)=<1;0`<>=zj?91=7<50;2x 6622;9j7E==6:J04d=#;:;1:h5G2828 7?62;9h7)<67;14g>h4;:0:n6*=f6847>o5;=0;6)=<1;00g>=h:ko1<7*<3081g==<uk<96<4=:183!57=388m6F<279K75g<,:9:6;k4H3;3?!4>9388o6*=96803f=i;:91=n5+2g5936=n::>1<7*<30817f=<g;hn6=4+32396f><3th==7?52;294~"48<09?l5G3348L66f3-98=78j;I0:4>"51809?n5+285972e<f:986<j4$3d4>25<a;9?6=4+323966e<3f8ii7>5$212>7e?32wi:=4>:383>5}#;9?1>>o4H205?M57i2.8?<49e:J1=5=#:0;1>>m4$3;4>61d3g98?7?j;%0e3?143`8887>5$212>75d32e9nh4?:%105?4d021vn8h51;094?6|,::>6?=n;I112>N48h1/?>?56d9K6<6<,;3:6?=l;%0:3?50k2d8?>4>f:&1b2<0;2c9?94?:%105?44k21d>ok50;&074<5k110qo7>:081>5<7s-9;97<<a:J063=O;9k0(>=>:7g8L7?73-82=7<<c:&1=2<4?j1e?>=5219'6c1=?:1b>>:50;&074<5;j10c?lj:18'767=:j207pl63;396?6=8r.8<84=3`9K770<@::j7)=<1;4f?M4>82.95<4=3b9'6<1=;>i0b>=<:338 7`02>90e?=;:18'767=::i07b<me;29 6562;i376sm2b795?4=83:p(>>::31b?M55>2B8<l5+32392`=O:0:0(?7>:31`?!4>?39<o6`<32816>"5n>0<?6g=3583>!549388o65`2cg94?"4;809o554}c12<?7=:3:1<v*<04817d=O;;<0D>>n;%105?0b3A82<6*=90817f=#:0=1?:m4n210>75<,;l<6:=4i317>5<#;:;1>>m4;n0aa?6=,:9:6?m7;:a75?=9381<7>t$226>75f3A99:6F<0`9'767=>l1C>4>4$3;2>75d3-82;7=8c:l076<5<2.9j:483:k171<72-98=7<<c:9l6gc=83.8?<4=c998yg4>>3;1>7>50z&040<5;h1C??84H22b?!5493<n7E<60:&1=4<5;j1/>49536a8j6542;?0(?h8:618m753290/?>?522a8?j4em3:1(>=>:3a;?>{e;921=7850;2x 6622;3n7E==6:J04d=#:m81?9l4$212>7c03-82;7=8c:&103<5:h1/>i=535`8j6542;<0(?h8:618m752290/?>?56`9m77`=821b>>850;&074<1i2d8>k4>;:k172<72-98=78n;o11b?4<3`8847>5$212>3g<f:8m6>54i31:>5<#;:;1:l5a33d90>=h:ko1<7*<3081g==<uk8297?56;294~"48<095h5G3348L66f3-8o>7=;a:&074<5m>1/>49536a8 7212;8j7)<k3;17e>h4;:09;6*=f6847>o5;<0;6)=<1;4b?k55n3:07d<<6;29 6562?k0b><i:098m750290/?>?56`9m77`=:21b>>650;&074<1i2d8>k4<;:k17<<72-98=78n;o11b?2<3f8ii7>5$212>7e?32wi?9h51;794?6|,::>6?7k;I112>N48h1/>i<535`8 6562;o<7)<67;14g>"5<?0=7)<k3;17f>h4;:0946*=f6847>o5;<0;6)=<1;4b?k55n3:07d<<6;29 6562?k0b><i:098m750290/?>?56`9m77`=:21b>>650;&074<1i2d8>k4<;:m1f`<72-98=7<l8:9~f636280>6=4?{%131?4>l2B8>;5G31c8 7b52:>j7)=<1;0f3>"51>08;n5+25492>"5l:088l5a32196<=#:o=1;>5f22794?"4;80=m6`<2g83?>o5;?0;6)=<1;4b?k55n3;07d<<7;29 6562?k0b><i:398m75?290/?>?56`9m77`=;21d>ok50;&074<5k110qo=:3;296?4=;r.8<8480:J063=O;9k0(?78:25`?k54;38j7)<i7;50?l44<3:17b<me;29?g44j3;1>7>50z&040<5;k1/?>?5779'6<7=::i0D?7?;%0:3?50k2d8?>4=b:&1b2<0;2c9?94?:%105?44k21d>ok50;&074<5k110qo8i:081>5<7s-9;978i;%105?113-82;7=8c:l076<5k2.9j:483:k171<72-98=7<<c:9l6gc=83.8?<4=c998yv44<3:1>vP=359>66d=::>0q~<me;296~X5jl16:k4=bd9~w75e2909w0<<b;0aa>;1n38886srb26g>4<5290;w)=?5;0;e>N4:?1C?=o4$212>3c<,;3<6>9l;o107?4c3-8m;79<;h000?6=,:9:6?=l;:m1f`<72-98=7<l8:J075=<uk8oo7?52;294~"48<094l5G3348L66f3-98=78j;%0:3?50k2d8?>4=e:&1b2<0;2c9?94?:%105?44k21d>ok50;&074<5k11C?>>4;|`1`<<62;0;6=u+31796=g<@:8=7E=?a:&074<1m2.95:4<7b9m765=:o1/>k95729j662=83.8?<4=3b98k7db290/?>?52b:8L65732wio>4?:581>1}#;9?1>5h4H205?M57i2.95:4<7b9m765=;91/>k95729j662=831b>kk50;9l6gc=831 >5k50;9a66g=9381<7>t$226>75f3-98=78j;I0:4>"51809?n5+285972e<f:986>?4$3d4>25<a;9?6=4+323966e<3f8ii7>5$212>7e?32wi>n=51;194?6|,::>6?m<;%105?57:2B95=5+28396f><,;3<6>9l;o107?553-8m;79<;h0ea?6=,:9:6484H213?>o5;=0;6)=<1;00g>=h:ko1<7F<029'767=:j207p}=fd83>7}Y:oo01?m<:3df?xu5;=0;6?uQ226897e42;9?7p}=bd83>7}Y:ko01?=n:3`f?xu50l0;6>uQ29g8975f2;9?70<l3;0aa>{zjj81=7850;2x 6622;8=7E==6:J04d=#;:;1>?74$365>6=O:l?0(?78:25`?k54;3987)<i7;50?l13290/?>?57698m=d=83.8?<4=e998m<1=83.8?<4=3b98k7bc290/?>?52b:8?l12290/?>?57498m7b2290/?>?52dg8?xden3:187<54z&040<50o1C??84H22b?!4>?39<o6`<32800>"5n>0<?6g=3583>>o5nl0;66a=bd83>>-50l0;66l=3`82>7<729q/?=;522c8 6562?o0D?7?;%0:5?44k2.95:4<7b9m765=;<1/>k95729j662=83.8?<4=3b98k7db290/?>?52b:8?xd5k:0:6>4?:1y'753=:j90(>=>:221?M4>82.95<4=c99'6<1=;>i0b>=<:248 7`02>90e?hj:18'767=1?1C?>>4;h000?6=,:9:6?=l;:m1f`<72A9;?6*<3081g==<uz8mi7>52z\1b`=::j91>kk4}r000?6=:rT9?9522b19662<uz8ii7>52z\1f`=:::k1>ok4}r0;a?6=;rT94h5222c9662<5;i86?lj;|af`<62?0;6=u+3179670<@:8=7E=?a:&074<5:01/>9853:J1a0=#:0=1?:m4n210>61<,;l<6:=4i6694?"4;80<;65f8c83>!54938n465f9683>!549388o65`2ef94?"4;809o554i6794?"4;80<965f2e794?"4;809ih54}c`a>5<32;0?w)=?5;0;b>N4:?1C?=o4$3;4>61d3g98?7=7;%0e3?143`8887>5;h0ea?6=3f8ii7>5;*0;a?6=3k88m7?52;294~"48<09?l5+32392`=O:0:0(?7>:31`?!4>?39<o6`<3280=>"5n>0<?6g=3583>!549388o65`2cg94?"4;809o554}c0`7?7=;3:1<v*<0481g6=#;:;1?=<4H3;3?!4>938h46*=96803f=i;:91?l5+2g5936=n:oo1<7*<308:2>N4;910e?=;:18'767=::i07b<me;29L6643-98=7<l8:9~w7`b2909wS<ie:?1g6<5nl1v?=;:181[44<279o>4=359~w7db2909wS<me:?17d<5jl1v?6j:180[4?m279?l4=359>6f5=:ko0qplma;392?6=8r.8<84=279K770<@::j7)=<1;01=>"5<?087E<j5:&1=2<4?j1e?>=53c9'6c1=?:1b;94?:%105?1032c3n7>5$212>7c?32c2;7>5$212>75d32e9hi4?:%105?4d021b;84?:%105?1232c9h84?:%105?4bm21vno950;696?2|,::>6?6i;I112>N48h1/>49536a8j6542:i0(?h8:618m7532900e?hj:188k7db2900'?6j:188f75f28096=4?{%131?44i2.8?<49e:J1=5=#:0;1>>m4$3;4>61d3g98?7=k;%0e3?143`8887>5$212>75d32e9nh4?:%105?4d021vn?m<:080>5<7s-9;97<l3:&074<48;1C>4>4$3;2>7e?3-82;7=8c:l076<4m2.9j:483:k1b`<72-98=779;I104>=n::>1<7*<30817f=<g;hn6=4G3118 6562;i376s|2gg94?4|V;ln70<l3;0ea>{t::>1<7<t^317?84d;38886s|2cg94?4|V;hn70<<a;0aa>{t:1o1<7=t^3:f?844i388863=c281f`=zukh=6<49:183!57=389:6F<279K75g<,:9:6?<6;%072?5<@;o>7)<67;14g>h4;:08j6*=f6847>o0<3:1(>=>:658?l>e290/?>?52d:8?l?0290/?>?522a8?j4cl3:1(>=>:3a;?>o0=3:1(>=>:678?l4c=3:1(>=>:3gf?>{ej:0;694=:5y'753=:1l0D><9;I13e>"51>08;n5a321905=#:o=1;>5f22694?=n:oo1<75`2cg94?=,:1o1<75m22c95?4=83:p(>>::31b?!5493<n7E<60:&1=4<5;j1/>49536a8j6542=;0(?h8:618m753290/?>?522a8?j4em3:1(>=>:3a;?>{e:j91=7=50;2x 6622;i87)=<1;136>N5191/>4?52b:8 7?02:=h7c=<3;61?!4a?3=87d<ie;29 65620<0D>=?;:k171<72-98=7<<c:9l6gc=83B8<>5+32396f><3ty9jh4?:3y]6cc<5;i86?hj;|q171<72;qU>>:4=3a0>7533ty9nh4?:3y]6gc<5;9j6?lj;|q1<`<72:qU>5k4=31b>753348h?7<me:~fg4=93<1<7>t$226>7413A99:6F<0`9'767=:;30(?:9:29K6`3<,;3<6>9l;o107?243-8m;79<;h57>5<#;:;1;:54i9`94?"4;809i554i8594?"4;809?n54o3fg>5<#;:;1>n64;h56>5<#;:;1;854i3f6>5<#;:;1>hk4;|`bb?6=<3818v*<0481<c=O;;<0D>>n;%0:3?50k2d8?>4;4:&1b2<0;2c9?94?::k1b`<722e9nh4?::)1<`<722h9?l4>:383>5}#;9?1>>o4$212>3c<@;3;7)<61;00g>"51>08;n5a321900=#:o=1;>5f22694?"4;809?n54o3`f>5<#;:;1>n64;|`1g6<62:0;6=u+31796f5<,:9:6>>=;I0:4>"51809o55+285972e<f:986984$3d4>25<a;ln6=4+3239=3=O;::07d<<4;29 6562;9h76a=bd83>M57;2.8?<4=c998yv4am3:1>vP=fd9>6f5=:oo0q~<<4;296~X5;=16>n=52268yv4em3:1>vP=bd9>66g=:ko0q~<7e;297~X50l16>>o5226897e42;hn7psmad82>3<729q/?=;52348L6413A9;m6*<30816<=#:=<1?6F=e49'6<1=;>i0b>=<:558 7`02>90e::50;&074<0?21b4o4?:%105?4b021b5:4?:%105?44k21d>ij50;&074<5k110e:;50;&074<0=21b>i;50;&074<5ml10qok9:187>7<3s-9;97<7f:J063=O;9k0(?78:25`?k54;3>37)<i7;50?l44<3:17d<ie;29?j4em3:17&<7e;29?g44i3;1>7>50z&040<5;h1/?>?56d9K6<6<,;3:6?=l;%0:3?50k2d8?>4;9:&1b2<0;2c9?94?:%105?44k21d>ok50;&074<5k110qo<l3;397?6=8r.8<84=c29'767=;980D?7?;%0:5?4d02.95:4<7b9m765=<h1/>k95729j6cc=83.8?<466:J075=<a;9?6=4+323966e<3f8ii7>5H220?!54938h465rs3df>5<5sW8mi63=c281b`=z{;9?6=4={_000>;5k:09?95rs3`f>5<5sW8ii63=3`81f`=z{;2n6=4<{_0;a>;5;h09?9522b196gc<uthn97?56;294~"48<09>;5G3348L66f3-98=7<=9:&103<43A8n96*=96803f=i;:918o5+2g5936=n?=0;6)=<1;54?>o?j3:1(>=>:3g;?>o>?3:1(>=>:31`?>i5lm0;6)=<1;0`<>=n?<0;6)=<1;56?>o5l<0;6)=<1;0fa>=zjl81<7:52;6x 6622;2m7E==6:J04d=#:0=1?:m4n210>1e<,;l<6:=4i317>5<<a;ln6=44o3`f>5<<#;2n6=44b31b>4<5290;w)=?5;00e>"4;80=i6F=919'6<7=::i0(?78:25`?k54;3>o7)<i7;50?l44<3:1(>=>:31`?>i5jl0;6)=<1;0`<>=zj;i86<4<:183!57=38h?6*<308047=O:0:0(?7>:3a;?!4>?39<o6`<3287a>"5n>0<?6g=fd83>!54933=7E=<0:9j662=83.8?<4=3b98k7db290C?==4$212>7e?32wx>kk50;0xZ7`b348h?7<ie:p662=838pR?=;;<0`7?44<2wx>ok50;0xZ7db3488m7<me:p6=c=839pR?6j;<00e?44<279o>4=bd9~ygc6280=6=4?{%131?45>2B8>;5G31c8 6562;827)<;6;18L7c23-82;7=8c:l076<3n2.9j:483:k40?6=,:9:6:94;h:a>5<#;:;1>h64;h;4>5<#;:;1>>m4;n0g`?6=,:9:6?m7;:k41?6=,:9:6:;4;h0g1?6=,:9:6?kj;:a``<72=0969u+31796=`<@:8=7E=?a:&1=2<4?j1e?>=5519'6c1=?:1b>>:50;9j6cc=831d>ok50;9(6=c=831i>>o51;094?6|,::>6?=n;%105?0b3A82<6*=90817f=#:0=1?:m4n210>07<,;l<6:=4i317>5<#;:;1>>m4;n0aa?6=,:9:6?m7;:a6f5=9391<7>t$226>7e43-98=7=?2:J1=5=#:0;1>n64$3;4>61d3g98?7;=;%0e3?143`8mi7>5$212><0<@:9;76g=3583>!549388o65`2cg94?N48:1/?>?52b:8?xu5nl0;6?uQ2gg897e42;ln7p}=3583>7}Y::>01?m<:317?xu5jl0;6?uQ2cg8975f2;hn7p}=8d83>6}Y:1o01?=n:317?84d;38ii6srbef95?0=83:p(>>::305?M55>2B8<l5+323967?<,;>=6>5G2d78 7?02:=h7c=<3;70?!4a?3=87d9;:18'767=?>10e5l50;&074<5m110e4950;&074<5;j10c?jk:18'767=:j207d9::18'767=?<10e?j::18'767=:lo07plka;290?4=<r.8<84=8g9K770<@::j7)<67;14g>h4;:0>86*=f6847>o5;=0;66g=fd83>>i5jl0;66%=8d83>>d5;h0:6?4?:1y'753=::k0(>=>:7g8L7?73-82=7<<c:&1=2<4?j1e?>=5549'6c1=?:1b>>:50;&074<5;j10c?lj:18'767=:j207pl=c282>6<729q/?=;52b18 6562::97E<60:&1=4<5k11/>49536a8j6542<<0(?h8:618m7`b290/?>?5979K766<3`8887>5$212>75d32e9nh4?:I137>"4;809o554}r0ea?6=:rT9jh522b196cc<uz8887>52z\171=::j91>>:4}r0aa?6=:rT9nh5222c96gc<uz83i7>53z\1<`=:::k1>>:4=3a0>7db3twih44>:783>5}#;9?1>?84H205?M57i2.8?<4=289'610=;2B9i85+285972e<f:986894$3d4>25<a>>1<7*<30843>=n0k0;6)=<1;0f<>=n1>0;6)=<1;00g>=h:mn1<7*<3081g==<a>?1<7*<30841>=n:m?1<7*<3081a`=<ukn=6=4;:387!57=383j6F<279K75g<,;3<6>9l;o107?3?3-8m;79<;h000?6=3`8mi7>5;n0aa?6=3"83i7>5;c00e?7=:3:1<v*<04817d=#;:;1:h5G2828 7?62;9h7)<67;14g>h4;:0>56*=f6847>o5;=0;6)=<1;00g>=h:ko1<7*<3081g==<uk8h?7?53;294~"48<09o>5+3239754<@;3;7)<61;0`<>"51>08;n5a32191d=#:o=1;>5f2gg94?"4;802:6F<3198m753290/?>?522a8?j4em3:1D>><;%105?4d021v?hj:181[4am279o>4=fd9~w7532909wS<<4:?1g6<5;=1v?lj:181[4em279?l4=bd9~w7>b2908wS<7e:?17d<5;=16>n=52cg8yxdc=3;1:7>50z&040<5:?1C??84H22b?!54938956*=4780?M4b=2.95:4<7b9m765==k1/>k95729j31<72-98=798;:k;f?6=,:9:6?k7;:k:3?6=,:9:6?=l;:m1`a<72-98=7<l8:9j30<72-98=79:;:k1`0<72-98=7<je:9~fa4=83>1>7:t$226>7>a3A99:6F<0`9'6<1=;>i0b>=<:4a8 7`02>90e?=;:188m7`b2900c?lj:188/7>b2900n?=n:081>5<7s-9;97<<a:&074<1m2B95=5+283966e<,;3<6>9l;o107?3c3-8m;79<;h000?6=,:9:6?=l;:m1f`<72-98=7<l8:9~f7e428086=4?{%131?4d;2.8?<4<039K6<6<,;3:6?m7;%0:3?50k2d8?>4:e:&1b2<0;2c9jh4?:%105??13A98<65f22694?"4;809?n54o3`f>5<O;990(>=>:3a;?>{t:oo1<7<t^3df?84d;38mi6s|22694?4|V;9?70<l3;000>{t:ko1<7<t^3`f?844i38ii6s|29g94?5|V;2n70<<a;000>;5k:09nh5r}cf2>4<1290;w)=?5;012>N4:?1C?=o4$212>74>3-8?:7=4H3g6?!4>?39<o6`<3286b>"5n>0<?6g84;29 6562>=07d6m:18'767=:l207d78:18'767=::i07b<kd;29 6562;i376g85;29 6562>?07d<k5;29 6562;on76smcd83>1<52=q/?=;529d8L6413A9;m6*=96803f=i;:91:=5+2g5936=n::>1<75f2gg94?=h:ko1<75$29g94?=e::k1=7<50;2x 6622;9j7)=<1;4f?M4>82.95<4=3b9'6<1=;>i0b>=<:738 7`02>90e?=;:18'767=::i07b<me;29 6562;i376sm2b195?5=83:p(>>::3a0?!54939;>6F=919'6<7=:j20(?78:25`?k54;3<97)<i7;50?l4am3:1(>=>:848L65732c9?94?:%105?44k21d>ok50;J046=#;:;1>n64;|q1b`<72;qU>kk4=3a0>7`b3ty9?94?:3y]662<5;i86?=;;|q1f`<72;qU>ok4=31b>7db3ty94h4?:2y]6=c<5;9j6?=;;<0`7?4em2wvnnj51;494?6|,::>6?<9;I112>N48h1/?>?523;8 7212:1C>h;4$3;4>61d3g98?78<;%0e3?143`=?6=4+323932=<a1h1<7*<3081a==<a0=1<7*<30817f=<g;no6=4+32396f><3`=>6=4+323930=<a;n>6=4+32396`c<3thhm7>54;090~"48<094k5G3348L66f3-82;7=8c:l076<1<2.9j:483:k171<722c9jh4?::m1f`<722!94h4?::`17d<62;0;6=u+317966g<,:9:6;k4H3;3?!4>9388o6*=96803f=i;:91:85+2g5936=n::>1<7*<30817f=<g;hn6=4+32396f><3th9o>4>:283>5}#;9?1>n=4$212>6653A82<6*=9081g==#:0=1?:m4n210>30<,;l<6:=4i3df>5<#;:;15;5G3228?l44<3:1(>=>:31`?>i5jl0;6E=?3:&074<5k110q~<ie;296~X5nl16>n=52gg8yv44<3:1>vP=359>6f5=::>0q~<me;296~X5jl16>>o52cg8yv4?m3:1?vP=8d9>66g=::>01?m<:3`f?x{ek00:6;4?:1y'753=:;<0D><9;I13e>"4;809>45+25497>N5m<1/>49536a8j6542?=0(?h8:618m22=83.8?<487:9j<g<72-98=7<j8:9j=2<72-98=7<<c:9l6ab=83.8?<4=c998m23=83.8?<485:9j6a3=83.8?<4=ed98yge1290?6?4;{%131?4?n2B8>;5G31c8 7?02:=h7c=<3;4;?!4a?3=87d<<4;29?l4am3:17b<me;29?.4?m3:17o<<a;396?6=8r.8<84=3`9'767=>l1C>4>4$3;2>75d3-82;7=8c:l076<112.9j:483:k171<72-98=7<<c:9l6gc=83.8?<4=c998yg4d;3;1?7>50z&040<5k:1/?>?53108L7?73-82=7<l8:&1=2<4?j1e?>=56`9'6c1=?:1b>kk50;&074<>>2B8?=54i317>5<#;:;1>>m4;n0aa?6=@::87)=<1;0`<>=z{;ln6=4={_0ea>;5k:09jh5rs317>5<5sW88863=c28171=z{;hn6=4={_0aa>;5;h09nh5rs3:f>5<4sW83i63=3`8171=::j91>ok4}|`b`?7=>3:1<v*<048163=O;;<0D>>n;%105?4512.98;4<;I0f1>"51>08;n5a32192g=#:o=1;>5f7583>!5493=<76g7b;29 6562;o376g67;29 6562;9h76a=de83>!54938h465f7483>!5493=>76g=d483>!54938ni65rb`c94?2=:3>p(>>::3:e?M55>2B8<l5+285972e<f:986;m4$3d4>25<a;9?6=44i3df>5<<g;hn6=44+3:f>5<<j;9j6<4=:183!57=388m6*<3085a>N5191/>4?522a8 7?02:=h7c=<3;4g?!4a?3=87d<<4;29 6562;9h76a=bd83>!54938h465rb3a0>4<4290;w)=?5;0`7>"4;808<?5G2828 7?62;i37)<67;14g>h4;:0=i6*=f6847>o5nl0;6)=<1;;5?M54821b>>:50;&074<5;j10c?lj:18K755<,:9:6?m7;:p6cc=838pR?hj;<0`7?4am2wx>>:50;0xZ753348h?7<<4:p6gc=838pR?lj;<00e?4em2wx>5k50;1xZ7>b3488m7<<4:?1g6<5jl1vqoo6:085>5<7s-9;97<=6:J063=O;9k0(>=>:30:?!43>390D?k:;%0:3?50k2d8?>49f:&1b2<0;2c<87>5$212>21<3`2i6=4+32396`><3`3<6=4+323966e<3f8oh7>5$212>7e?32c<97>5$212>23<3`8o97>5$212>7cb32wi>ih51;``>5<7s-9;97<ke:J063=O;9k0V:<5cz:9=?7628?1=?4>6;30>`<c2o0:87m5}%0`3?50i2dn57?=;ogb>44<f8i>6=5a21:94>"5:808<95+2309752<,;9n685+22d91>"5<90>7)<;1;78 7252<1/>9=55:&101<23-8?97;4$364>0=#:=2196*=4886?!43i3?0(?:m:49'61e==2.98i4:;%07a?3<,;>m685+24291>"5=80>7)<:2;78 7342<1/>8:55:&110<23-8>:7;4$374>0=#:<2196*=5886?!42i3?0(?;m:49'60e==2.99i4:;%06a?3<,;?m685+27291>"5>80>7)<92;78 7042<1/>;:55:&120<23-8=:7;4$344>0=#:?2196*=6886?!41i3?0(?8m:49'63e==2.9:i4:;%05a?3<,;<m685+26291>"5?80>7)<82;78 7142<1/>::55:&130<23-8<:7;4$354>0=#:>2196*=7886?!40i3?0(?9m:49'62e==2.9;i4:;%04a?3<,;=m685+29291>"5080>7)<72;78 7>42<1/>5:55:&1<0<23-83:7;4$3:4>1=#:12186*=8881fg=#:lh1>km4$3g`>23<,;oo6:;4$3d7>7`23-8m47:4$3d:>1=#;;91>oj4$207>7dc3A9;=6*<3081``=#>009ik5+285972e<,;l86;l4n210>26<,;l<6:=4i3g2>5<<a>o1<7F=d098m7472900e?kn:188m=5=83B9h<54i3g1>5<<a;o26=44i33e>5<<a8=36=4+2e29521<f;im6=5+2c;953`<f;h36>54i055>5<#:m:1=:94n3ae>4=#:k31=;h4n3`;>7=<a8=>6=4+2e29521<f;im6?5+2c;953`<f;h36<54i057>5<#:m:1=:94n3ae>6=#:k31=;h4n3`;>5=<a:;=6=4+2e29743<f;im6=5+2c;975b<f;h36>54i237>5<#:m:1?<;4n3ae>4=#:k31?=j4n3`;>7=<a:;86=4+2e29743<f;im6?5+2c;975b<f;h36<54i231>5<#:m:1?<;4n3ae>6=#:k31?=j4n3`;>5=<g8ho6=4+2e295ge<f;im6=5+2c;95=5<f;h36<h4;n3af?6=,;n;6<ll;o0`b?7<,;h26<6<;o0a<?7b32e:n44?:%0g4?7ek2d9ok4=;%0a=?7?;2d9n54>d:9l5g>=83.9h=4>bb9m6f`=;2.9n44>829m6g>=9j10c<l8:18'6a6=9ki0b?mi:59'6g?=9190b?l7:0`8?j7e>3:1(?j?:0``?k4dn3?0(?l6:0:0?k4e03;j76a>b483>!4c83;io6`=cg85?!4e13;3?6`=b982=>=h9k>1<7*=d182ff=i:jl1;6*=b882<6=i:k21=554o0`0>5<#:m:1=om4n3ae>==#:k31=5=4n3`;>41<3f;i>7>5$3f3>4dd3g8hj774$3`:>4>43g8i47?9;:m2f4<72-8o<7?mc:l1gc<f3-8i57?73:l1f=<6=21d=o>50;&1`5<6jj1e>nh5b:&1f<<60:1e>o651598k4gb290/>i>51ca8j7ea2j1/>o751918j7d?28907b?nd;29 7b728hh7c<lf;f8 7d>28287c<m8;31?>i6ij0;6)<k0;3ag>h5ko0n7)<m9;3;7>h5j10:=65`1``94?"5l90:nn5a2bd9b>"5j00:4>5a2c:955=<g8kj6=4+2e295ge<f;im6<>4$3`:>4>43g8i47h4;n3b=?6=,;n;6<ll;o0`b?763-8i57?73:l1f=<b32e:m54?:%0g4?7ek2d9ok4>2:&1f<<60:1e>o65d:9l5d1=83.9h=4>bb9m6f`=9:1/>o751918j7d?2j10c<o9:18'6a6=9ki0b?mi:068 7d>28287c<m8;`8?j7f=3:1(?j?:0``?k4dn3;>7)<m9;3;7>h5j10j76a>c583>!4c83;io6`=cg822>"5j00:4>5a2c:9=>=h9j91<7*=d182ff=i:jl1=:5+2c;95=5<f;h36554o0a1>5<#:m:1=om4n3ae>4><,;h26<6<;o0a<?1<3f;h=7>5$3f3>4dd3g8hj7?6;%0a=?7?;2d9n549;:m2g5<72-8o<7?mc:l1gc<6i2.9n44>829m6g>==21d=oh50;&1`5<6jj1e>nh51c9'6g?=9190b?l7:598k4db290/>i>51ca8j7ea28i0(?l6:0:0?k4e03907b?ma;29 7b728hh7c<lf;3g?!4e13;3?6`=b981?>i6io0;6)<k0;3ag>h5ko0:i6*=b882<6=i:k21=65`1`694?"5l90:nn5a2bd95c=#:k31=5=4n3`;>5=<a;i1<7*=d181f>h5ko0;7)<m9;3;?k4e03n07d<n:18'6a6=:k1e>nh51:&1f<<602d9n54l;:k1=?6=,;n;6?l4n3ae>7=#:k31=55a2c:9f>=n:10;6)<k0;0a?k4dn390(?l6:0:8j7d?2h10e>;50;&1`5<5j2d9ok4;;%0a=?7?3g8i4774;h17>5<#:m:1>o5a2bd91>"5j00:46`=b98;?>o4;3:1(?j?:3`8j7ea2?1/>o75199m6g>=?21b??4?:%0g4?4e3g8hj794$3`:>4><f;h36;54i2394?"5l909n6`=cg8;?!4e13;37c<m8;78?l57290/>i>52c9m6f`=12.9n44>8:l1f=<332c9j7>5$3f3>7d<f;im6l5+2c;95==i:k21?65f2d83>!4c838i7c<lf;`8 7d>2820b?l7:398m7b=83.9h=4=b:l1gc<d3-8i57?7;o0a<?7<3`8<6=4+2e296g=i:jl1h6*=b882<>h5j10;76g;a;29 7b72=30b?mi:19'6g?=;?1e>o65d:9j0=<72-8o<7:6;o0`b?7<,;h26>84n3`;>f=<a==1<7*=d187=>h5ko097)<m9;15?k4e03h07d:9:18'6a6=<01e>nh53:&1f<<4>2d9n54n;:k67?6=,;n;6974n3ae>1=#:k31?;5a2c:9=>=n=;0;6)<k0;6:?k4dn3?0(?l6:248j7d?2110e8?50;&1`5<312d9ok49;%0a=?513g8i4794;h73>5<#:m:1845a2bd93>"5j008:6`=b985?>o3n3:1(?j?:5;8j7ea211/>o75379m6g>==21b8h4?:%0g4?2>3g8hj774$3`:>60<f;h36954i5f94?"5l90?56`=cg8b?!4e139=7c<m8;18?l2d290/>i>5489m6f`=j2.9n44<6:l1f=<532c?n7>5$3f3>1?<f;im6n5+2c;973=i:k21=65f4483>!4c83>27c<lf;f8 7d>2:<0b?l7:198m400290/>i>51748j7ea291/>o7513f8j7d?28l07d?95;29 7b728<=7c<lf;38 7d>288o7c<m8;3f?>o6>:0;6)<k0;352>h5ko097)<m9;31`>h5j10:h65f17094?"5l90::;5a2bd97>"5j00:>i5a2c:95f=<a8<:6=4+2e29530<f;im695+2c;957b<f;h36<l4;h354?6=,;n;6<89;o0`b?3<,;h26<<k;o0a<?7f32c:9k4?:%0g4?71>2d9ok49;%0a=?75l2d9n54>9:9j50c=83.9h=4>679m6f`=?2.9n44>2e9m6g>=9110e<;k:18'6a6=9?<0b?mi:99'6g?=9;n0b?l7:058?l72k3:1(?j?:045?k4dn330(?l6:00g?k4e03;=76g>5c83>!4c83;=:6`=cg8b?!4e13;9h6`=b9821>=n9<k1<7*=d18223=i:jl1n6*=b8826a=i:k21=954i07;>5<#:m:1=;84n3ae>f=#:k31=?j4n3`;>45<3`;>;7>5$3f3>4013g8hj7j4$3`:>44c3g8i47?=;:k213<72-8o<7?96:l1gc<b3-8i57?=d:l1f=<6921b=8;50;&1`5<6>?1e>nh5f:&1f<<6:m1e>o651198m433290/>i>51748j7ea28:0(?l6:00g?k4e03l07d?:3;29 7b728<=7c<lf;32?!4e13;9h6`=b98f?>o6=;0;6)<k0;352>h5ko0:>6*=b8826a=i:k21h65f14394?"5l90::;5a2bd956=#:k31=?j4n3`;>f=<a8?;6=4+2e29530<f;im6<:4$3`:>44c3g8i47l4;h37b?6=,;n;6<89;o0`b?723-8i57?=d:l1f=<f32c::h4?:%0g4?71>2d9ok4>6:&1f<<6:m1e>o659:9j53b=83.9h=4>679m6f`=9>1/>o7513f8j7d?2110e<8l:18'6a6=9?<0b?mi:0:8 7d>288o7c<m8;58?l71j3:1(?j?:045?k4dn3;27)<m9;31`>h5j10=76g>6`83>!4c83;=:6`=cg82e>"5j00:>i5a2c:91>=n9?31<7*=d18223=i:jl1=o5+2c;957b<f;h36954i04;>5<#:m:1=;84n3ae>4e<,;h26<<k;o0a<?5<3`;=87>5$3f3>4013g8hj7?k;%0a=?75l2d9n54=;:k21<<72-8o<7?96:l1gc<6m2.9n44>2e9m6g>=921b=9k50;&1`5<6>?1e>nh51g9'6g?=9;n0b?l7:198k775290/>i>52038j7ea291/>o7521;8j7d?2:10c???:18'6a6=:8;0b?mi:09'6g?=:930b?l7:398k76a290/>i>52038j7ea2;1/>o7521;8j7d?2810c?>j:18'6a6=:8;0b?mi:29'6g?=:930b?l7:198m4>5290/>i>51938j7ea291/>o7516;8j7d?2:10e<6?:18'6a6=91;0b?mi:09'6g?=9>30b?l7:398m41a290/>i>51938j7ea2;1/>o7516;8j7d?2810e<9j:18'6a6=91;0b?mi:29'6g?=9>30b?l7:198k77d290/>i>520`8j7ea291/>o752018j7d?2:10c??n:18'6a6=:8h0b?mi:09'6g?=:890b?l7:398k77>290/>i>520`8j7ea2;1/>o752018j7d?2810c??7:18'6a6=:8h0b?mi:29'6g?=:890b?l7:198k767290/>i>51gd8j7ea291/>o751b48j7d?28l07b?ie;29 7b728lm7c<lf;38 7d>28i=7c<m8;3f?>i6nj0;6)<k0;3eb>h5ko097)<m9;3`2>h5j10:h65`1g`94?"5l90:jk5a2bd97>"5j00:o;5a2c:95f=<g8lj6=4+2e295c`<f;im695+2c;95f0<f;h36<l4;n3e=?6=,;n;6<hi;o0`b?3<,;h26<m9;o0a<?7f32e:j54?:%0g4?7an2d9ok49;%0a=?7d>2d9n54>9:9l5c1=83.9h=4>fg9m6f`=?2.9n44>c79m6g>=9110c<h9:18'6a6=9ol0b?mi:99'6g?=9j<0b?l7:058?j7a=3:1(?j?:0de?k4dn330(?l6:0a5?k4e03;=76a>f583>!4c83;mj6`=cg8b?!4e13;h:6`=b9821>=h9o91<7*=d182bc=i:jl1n6*=b882g3=i:k21=954o0d2>5<#:m:1=kh4n3ae>f=#:k31=n84n3`;>45<3f;m<7>5$3f3>4`a3g8hj7j4$3`:>4e13g8i47?=;:m2ac<72-8o<7?if:l1gc<b3-8i57?l6:l1f=<6921d=hk50;&1`5<6no1e>nh5f:&1f<<6k?1e>o651198k4cc290/>i>51gd8j7ea28:0(?l6:0a5?k4e03l07b?jc;29 7b728lm7c<lf;32?!4e13;h:6`=b98f?>i6mk0;6)<k0;3eb>h5ko0:>6*=b882g3=i:k21h65`1dc94?"5l90:jk5a2bd956=#:k31=n84n3`;>f=<g8o26=4+2e295c`<f;im6<:4$3`:>4e13g8i47l4;n3f<?6=,;n;6<hi;o0`b?723-8i57?l6:l1f=<f32e9<:4?:%0g4?7an2d9ok4>6:&1f<<6k?1e>o659:9l650=83.9h=4>fg9m6f`=9>1/>o751b48j7d?2110c?>::18'6a6=9ol0b?mi:0:8 7d>28i=7c<m8;58?j47<3:1(?j?:0de?k4dn3;27)<m9;3`2>h5j10=76a=0283>!4c83;mj6`=cg82e>"5j00:o;5a2c:91>=h:981<7*=d182bc=i:jl1=o5+2c;95f0<f;h36954o322>5<#:m:1=kh4n3ae>4e<,;h26<m9;o0a<?5<3f;mh7>5$3f3>4`a3g8hj7?k;%0a=?7d>2d9n54=;:m2b7<72-8o<7?if:l1gc<6m2.9n44>c79m6g>=921d=h950;&1`5<6no1e>nh51g9'6g?=9j<0b?l7:198m645290/>i>53338j7ea291/>o7530;8j7d?2:10e><?:18'6a6=;;;0b?mi:09'6g?=;830b?l7:398m67a290/>i>53338j7ea2;1/>o7530;8j7d?2810e>?j:18'6a6=;;;0b?mi:29'6g?=;830b?l7:198m442290/>i>51368j7ea291/>o75ec9m6g>=9o10e<<<:18'6a6=9;>0b?mi:09'6g?=mk1e>o651d98m446290/>i>51368j7ea2;1/>o75ec9m6g>=9m10e<<?:18'6a6=9;>0b?mi:29'6g?=mk1e>o651b98m47a290/>i>51368j7ea2=1/>o75ec9m6g>=9k10e<?j:18'6a6=9;>0b?mi:49'6g?=mk1e>o651`98m47c290/>i>51368j7ea2?1/>o75ec9m6g>=9010e<?l:18'6a6=9;>0b?mi:69'6g?=mk1e>o651998m47e290/>i>51368j7ea211/>o75ec9m6g>=9>10e<?n:18'6a6=9;>0b?mi:89'6g?=mk1e>o651798m47>290/>i>51368j7ea2h1/>o75ec9m6g>=9<10e<?7:18'6a6=9;>0b?mi:c9'6g?=mk1e>o651598m471290/>i>51368j7ea2j1/>o75ec9m6g>=9:10e<?::18'6a6=9;>0b?mi:e9'6g?=mk1e>o651398m473290/>i>51368j7ea2l1/>o75ec9m6g>=9810e<?<:18'6a6=9;>0b?mi:g9'6g?=mk1e>o651198m475290/>i>51368j7ea28:0(?l6:d`8j7d?2o10e<?>:18'6a6=9;>0b?mi:038 7d>2lh0b?l7:d98m477290/>i>51368j7ea2880(?l6:d`8j7d?2m10e<>i:18'6a6=9;>0b?mi:018 7d>2lh0b?l7:b98m46b290/>i>51368j7ea28>0(?l6:d`8j7d?2k10e<>k:18'6a6=9;>0b?mi:078 7d>2lh0b?l7:`98m44d290/>i>51368j7ea28<0(?l6:d`8j7d?2010e<<m:18'6a6=9;>0b?mi:058 7d>2lh0b?l7:998m44f290/>i>51368j7ea2820(?l6:d`8j7d?2>10e<<6:18'6a6=9;>0b?mi:0;8 7d>2lh0b?l7:798m44?290/>i>51368j7ea28k0(?l6:d`8j7d?2<10e<<8:18'6a6=9;>0b?mi:0`8 7d>2lh0b?l7:598m441290/>i>51368j7ea28i0(?l6:d`8j7d?2:10e<<=:18'6a6=9;>0b?mi:0f8 7d>2lh0b?l7:398m470290/>i>51368j7ea28o0(?l6:d`8j7d?2810e<>l:18'6a6=9;>0b?mi:0d8 7d>2lh0b?l7:198yg?c280:6=4?{%105?4dk2B8<l5G22f8j6542>;0'?ml:18'767=:ji07pl6c;395?6=8r.8?<4=cb9K75g<@;9o7c=<3;51?.4dk3:1(>=>:3a`?>{e1k0:6<4?:1y'767=:ji0D>>n;I00`>h4;:0<?6%=cb83>!54938ho65rb8c95?7=83:p(>=>:3a`?M57i2B9?i5a321931=,:ji1<7*<3081gf=<uk326<4>:183!54938ho6F<0`9K66b<f:986:;4+3a`>5<#;:;1>nm4;|`b<?7=93:1<v*<3081gf=O;9k0D?=k;o107?113"8ho7>5$212>7ed32wim:4>:083>5}#;:;1>nm4H22b?M44l2d8?>487:)1gf<72-98=7<lc:9~fd0=93;1<7>t$212>7ed3A9;m6F=3e9m765=?11 >nm50;&074<5kj10qoo::082>5<7s-98=7<lc:J04d=O::n0b>=<:6;8/7ed290/?>?52ba8?xdf<3;1=7>50z&074<5kj1C?=o4H31g?k54;3=j7&<lc;29 6562;ih76sma282>4<729q/?>?52ba8L66f3A88h6`<3284f>-5kj0;6)=<1;0`g>=zjh81=7?50;2x 6562;ih7E=?a:J17a=i;:91;n5$2ba94?"4;809on54}cc2>4<6290;w)=<1;0`g>N48h1C>>j4n210>2b<#;ih6=4+32396fe<3thj<7?51;294~"4;809on5G31c8L75c3g98?79j;*0`g?6=,:9:6?ml;:a==<6280;6=u+32396fe<@::j7E<<d:l076<0n2!9on4?:%105?4dk21vn4h51;394?6|,:9:6?ml;I13e>N5;m1e?>=5819(6fe=83.8?<4=cb98yg52:3;1=7>50z&074<5kj1C?=o4H31g?!4>9388o6`<328;5>o5kj0;6)=<1;0`g>=zj<o1=7?50;2x 6562;ih7E=?a:J17a=#:0;1>>m4n210>=4<a;ih6=4+32396fe<3th>o7?51;294~"4;809on5G31c8L75c3-82=7<<c:l076<?;2c9on4?:%105?4dk21vn8l51;394?6|,:9:6?ml;I13e>N5;m1/>4?522a8j65421>0e?ml:18'767=:ji07pl:a;395?6=8r.8?<4=cb9K75g<@;9o7)<61;00g>h4;:0396g=cb83>!54938ho65rb4;95?7=83:p(>=>:3a`?M57i2B9?i5+283966e<f:986584i3a`>5<#;:;1>nm4;|`6<?7=93:1<v*<3081gf=O;9k0D?=k;%0:5?44k2d8?>477:k1gf<72-98=7<lc:9~f01=93;1<7>t$212>7ed3A9;m6F=3e9'6<7=::i0b>=<:9:8m7ed290/?>?52ba8?xd2>3;1=7>50z&074<5kj1C?=o4H31g?!4>9388o6`<328;=>o5kj0;6)=<1;0`g>=zj<?1=7?50;2x 6562;ih7E=?a:J17a=#:0;1>>m4n210>=g<a;ih6=4+32396fe<3th>87?51;294~"4;809on5G31c8L75c3-82=7<<c:l076<?j2c9on4?:%105?4dk21vn4>51;394?6|,:9:6?ml;I13e>N5;m1/>4?522a8j65421i0e?ml:18'767=:ji07pl62;395?6=8r.8?<4=cb9K75g<@;9o7)<61;00g>h4;:03h6g=cb83>!54938ho65rb3a7>4<6290;w)=<1;0`g>N48h1C>>j4$3;2>75d3g98?76j;h0`g?6=,:9:6?ml;:a741=93;1<7>t$212>7ed3A9;m6F=3e9'6<7=::i0b>=<:9d8m7ed290/?>?52ba8?xd48?0:6<4?:1y'767=:ji0D>>n;I00`>"51809?n5a3219=5=n:ji1<7*<3081gf=<uk82?7?51;294~"4;809on5G31c8L75c3-82=7<<c:l076<>92c9on4?:%105?4dk21v;650;0x93>=:ko01?ji:3a8yv002909w088:3`f?84cn38j7p}96;296~;1>38ii63=dg81=>{t><0;6?u26481f`=::ml1>55rs7694?4|5?>1>ok4=3fe>63<uz<86=4={<40>7db348oj7=;;|q56?6=:r7=>7<me:?1`c<4;2wx:<4?:3y>24<5jl16>ih5339~w36=838p1;>52cg897ba2:;0q~;i:18183a2;hn70<kf;13?xu4=:0;6?:t=3fb>22<5;n<6::4=27f>22<5:?i6::4=27g>22<5:?h6::4=245>22<5:?j6::4=27:>22<5:<>6::4=247>22<5:<86::4=241>22<5:?m6::4=242>22<5:<;6::4=27;>22<5:?<6::4=270>7db34i96::4=cg931=:jh0<863m6;57?8d52>>01lk5759>a0<0<27n=79;;<fg>22<5m31;952d4840>;c93=?70mk:6689f?=?=16mi484:?b=?13348oj79j;|q:5?6=>r7297<<5:?:5?4em278<54=379>6<3=::201>:i:316?852938896s|9283>3}:1<09?;529281f`=:;921>>94=3;6>752349?j7<<8:?014<5;11v?m::18084d=38ii63<09817==::0?1>>74}r12<?6=>r78=54=bd9>75>=::?01?7::314?853n388;63<508172=:;=n1>>:4}r13=?6=;r78<44=bd9>75>=::301>:i:315?xu51?0;6>u228496gc<5;3>6?=9;<165?44>2wx?;m50;0x9gc=1>16>ih51`;8yv51j3:1>v3ma;;4?84cn3;j46s|37c94?4|5k<15:522ed95d1<uz9=57>52z?a6??0348oj7?n6:p73>=838p1lk5969>6a`=9h?0q~=86;296~;b=33<70<kf;3`0>{t;>?1<7<t=d39=2=::ml1=n=4}r140?6=:r7oh778;<0gb?7d:2wx?:=50;0x9a?=1>16>ih51b38yv50:3:1>v3k5;;4?84cn3;h<6s|36394?4|5m;15:522ed95g`<uz9<<7>52z?``??0348oj7?me:p73`=838p1n75969>6a`=9kk0q~=9e;296~;fl33<70<kf;3bb>{t;?=1<7<t=`;9=2=::ml1=l:4}r15`?6=:r7h>778;<0gb?7fi2wx>io50;0x97bf2;no70<kc;000>{t:m=1<7<t=3f4>7bc348o57<<4:p70c=838p1>;j:3fg?84cn3;:>6s|34f94?4|5:?o6?jk;<0gb?7692wx?8m50;0x963d2;no70<kf;324>{t;<h1<7<t=27a>7bc348oj7??f:p70g=838p1>;n:3fg?84cn3;;i6s|34;94?4|5:?26?jk;<0gb?77l2wx?;850;0x96012;no70<kf;31g>{t;??1<7<t=246>7bc348oj7?=b:p732=838p1>8;:3fg?84cn3;9m6s|37194?4|5:<86?jk;<0gb?7512wx?;<50;0x96052;no70<kf;31<>{t;?;1<7<t=242>7bc348oj7?=7:p736=838p1>8?:3fg?84cn3;9:6s|34d94?4|5:?m6?jk;<0gb?75:2wx?8650;0x963?2;no70<kf;323>{t;<=1<7<t=274>7bc348oj7??c:p=1<72;q6584=bd9>6a`=:8l0q~=?7;29e~;5lh03n63<0981f`=:k;03n63me;:a?8df21h01o858c9>f7<?j27ji76m;<g6>=d<5l;14o5rs3;7>5<fs48o;76m;<0:1?4em27oh76m;<f:>=d<5m?14o52d08;f>;dl32i70m6:9`89db=0k16m447b:p71e=83?p1>:k:3`f?84cn39::63=dg8051=::ml1?<=4=3fe>6753ty2h7>53z\:`>;en383i636d;0`g>{t1j0;6>uQ9b9>fg<50l165n4=cb9~w<d=839pR4l4=c596=c<50h1>nm4}r;b>5<4sW3j70l<:3:f?8?f2;ih7p}69;297~X>127jj7<7e:?:=?4dk2wxm54?:2y]e==:m?094h52a981gf=z{h=1<7=t^`589`4=:1o01l952ba8yvg12908wSo9;<ff>7>b34k=6?ml;|qb1?6=;rTj963ka;0;a>;f=38ho6s|a583>6}Yi=16h;4=8d9>e1<5kj1vl=50;1xZd5<5m81>5k4=`196fe<uzk96=4<{_c1?8eb2;2n70o=:3a`?xuf93:1?vPn1:?`e?4?m27j=7<lc:pe5<72:qUm=52c781<`=:i909on5rs8:94?5|V0201lo529g89<>=:ji0q~7i:180[?a34i86?6j;<;e>7ed3ty9m:4?:5y>77>=:j301?jn:85897b020=01?ji:3g2?xu4=?0;68:t=204>757348oj7<i;<0gb?4b348oj7<k;<0gb?40348oj7:n;<0gb?2?348oj7:8;<0gb?21348oj7;<;<0gb?35348oj7;>;<0gb?37348oj7:i;<0gb?2b348oj7:k;<0gb?2d348oj7:m;<0gb?22348oj7?=5:?1`c<6::16>ih5133897ba288;70<kf;32b>;5lo0:=h522ed954b<5;nm6<?l;<0gb?76j279hk4>1`9>6a`=98301?ji:03;?84cn3;::63=dg8250=::ml1=<:4=3fe>474348oj7?97:?1`c<6><16>ih5171897ba28<970<kf;355>;5lo0::=522ed950`<5;nm6<;j;<0gb?72l279hk4>5b9>6a`=9<h01?ji:07b?84cn3;>463=dg8212=::ml1=884=3fe>432348oj7?:4:?1`c<6=:16>ih5140897ba28?:70<kf;364>;5lo0:8k522ed953c<5;nm6<8k;<0gb?71k279hk4>6c9>6a`=9?k01?ji:04:?84cn3;=463=dg8221=::ml1=874=3fe>42b348oj7?88:?1`c<6??16>ih5167897ba28=?70<kf;3;6>;5lo0:4=522ed952`<5;nm6<9j;<0gb?55:279hk4<219>6a`=;8l01?ji:23f?84cn32870<kf;014>;5lo09i?522ed96`?<5;nm6?kn;|q1`g<720q6>im52cg89f5=:oo01oh52gg89gd=:oo01o952gg89g5=:oo01lh52gg89`0=:oo01h<52gg8yv4c03:15v3=d881f`=:ll09jh52d`81b`=:l?09jh52d381b`=:kl09jh52c`81b`=:k?09jh52a`81b`=z{:>n6=46{<16a?12349=979:;<16=?12349>m79:;<152?12349>o79:;<16`?12349>n79:;<17b?4em2wx?8>50;;x96032>?01>;8:678963?2>?01>8?:67896062>?01>;i:67896052>?01>8<:67896362;hn7p}<5383>6}Y;<801>;<:317?852:38ho6s|5d83>6}Y=l16:54=359>1`<5kj1v8m50;1xZ0e<5?=1>>:4=4a96fe<uz?i6=4<{_7a?8012;9?70;m:3a`?xu2i3:1?vP:a:?51?44<27>m7<lc:p1<<72:qU9452658171=:=009on5rs4:94?5|V<201;=5226890>=:ji0q~;8:180[3034<96?=;;<74>7ed3ty>:7>53z\62>;19388863:6;0`g>{t=<0;6>uQ549>25<5;=16984=cb9~w02=839pR8:4=4d9662<5<>1>nm4}r;3>5<4sW3;707>:317?8?72;ih7p}62;297~X>:272?7<<4:?:6?4dk2wx>n:50;1xZ7e3348h97<<4:?1g1<5kj1v>?8:180[56?278=54=359>741=:ji0q~=?6;297~X48?16?=75226896612;ih7p}=9283>6}Y:0901?79:317?84>;38ho6s|2c294?4|5:?n6494=b196gc<uz8i=7>52z?01a<>?27ij7<me:p6g4=838p1>;l:8589gd=:ko0q~<m3;296~;4=k02;63m7;0aa>{t:k>1<7<t=27b><1<5k91>ok4}r0a1?6=:r7894467:?bb?4em2wx>o850;0x960120=01h852cg8yv4e?3:1>v3<648:3>;b:38ii6s|2`:94?4|5:<?6494=eg96gc<uz8j57>52z?026<>?27om7<me:p6dg=838p1>8=:8589a0=:ko0q~<nb;296~;4>802;63k2;0aa>{t:hi1<7<t=243><1<5jo1>ok4}r0b`?6=:r789k467:?`e?4em2wx>lk50;0x963?20=01n852cg8yv4fn3:1>v3<568:3>;fi38ii6s|c383>7}:k;09hi52c28171=z{ko1<7<t=cg96ab<5kl1>>:4}r`b>5<5s4hj6?jk;<`a>7533tyi:7>52z?a2?4cl27i;7<<4:pf7<72;q6n?4=de9>f6<5;=1vlk50;0x9dc=:mn01lh52268yvc22909w0k::3fg?8c12;9?7p}j1;296~;b938oh63j2;000>{tlm0;6?u2de81`a=:ll09?95rse;94?4|5m31>ij4=ec9662<uzn>6=4={<f6>7bc34n=6?=;;|qg5?6=:r7o=7<kd:?g6?44<2wxoi4?:3y>ga<5lm16oh4=359~wf?=838p1n752ef89fg=::>0q~ok:1818gc2;no70m9:317?xuf13:1>v3n9;0g`>;fi38886srn2:0>5<6sA9;m6`<3283?xh40=0;6<uG31c8j654281vb>6::182M57i2d8?>4=;|l0<3<728qC?=o4n210>6=zf:2<6=4>{I13e>h4;:0?7p`<8983>4}O;9k0b>=<:49~j6>>290:wE=?a:l076<13td84l4?:0yK75g<f:986:5rn2:a>5<6sA9;m6`<328;?xh40j0;6<uG31c8j654201vb>6k:181M57i2d8?>4n;|l0<`<728qC?=o4n210>g=zf:2m6=4>{I13e>h4;:0h7p`<9183>4}O;9k0b>=<:e9~j6?6280:wE=?a:l076<b3td85?4?:0yK75g<f:986k5rn2;0>5<6sA9;m6`<32824>{i;0>1<7?tH22b?k54;3;:7p`<9483>4}O;9k0b>=<:008yk5>>3:1=vF<0`9m765=9:1vb>78:182M57i2d8?>4>4:m7<>=83;pD>>n;o107?723td8544?:0yK75g<f:986<84}o1:e?6=9rB8<l5a321952=zf:3i6=4>{I13e>h4;:0:46sa38a94?7|@::j7c=<3;3:?xh41m0;6<uG31c8j65428k0qc=6e;295~N48h1e?>=51c9~j6?a290:wE=?a:l076<6k2we?l>50;3xL66f3g98?7?k;|l0e4<728qC?=o4n210>4c<ug9j>7>51zJ04d=i;:91=k5rn2c0>5<6sA9;m6`<32814>{i;h>1<7?tH22b?k54;38:7p`<a483>4}O;9k0b>=<:308yk5f>3:1=vF<0`9m765=::1vb>o8:182M57i2d8?>4=4:m7d>=83;pD>>n;o107?423td8m44?:0yK75g<f:986?84}o1be?6=9rB8<l5a321962=zf:ki6=4>{I13e>h4;:0946sa3`a94?7|@::j7c=<3;0:?xh4im0;6<uG31c8j6542;k0qc=ne;295~N48h1e?>=52c9~j6ga290:wE=?a:l076<5k2we?o>50;3xL66f3g98?7<k;|l0f4<728qC?=o4n210>7c<ug9i>7>51zJ04d=i;:91>k5rn2`0>5<6sA9;m6`<32804>{i;k>1<7?tH22b?k54;39:7p`<b483>4}O;9k0b>=<:208yk5e>3:1=vF<0`9m765=;:1vb>l8:182M57i2d8?>4<4:m7g>=83;pD>>n;o107?523td8n44>:0yK75g<f:986>84$20g>67?3td8nl4?:3yK75g<A;ih6>u;:080>xh4;:08;6sa3c`94?4|@::j7D<lc;1x0?7=;3we?>=5399~j6dd2909wE=?a:K1gf<4s=0:6>4rn210>6?<ug9ih7>52zJ04d=N:ji1?v:51;19yk54;39j7p`<bd83>7}O;9k0E?ml:2y7>4<42td8?>4<b:m7g`=838pD>>n;H0`g?5|<3;1?7sa32197f=zf:i;6=4={I13e>O5kj08w94>:28~j6542:n0qc=l1;296~N48h1B>nm53z695?5=ug98?7=j;|l0g7<72;qC?=o4I3a`>6}328086p`<3280b>{i;j91<7<tH22b?L4dk39p87?53;m765=<91vb>m;:181M57i2C9on4<{582>6<zf:9869?4}o1`1?6=:rB8<l5F2ba97~2=9391qc=<3;61?xh4k?0;6?uG31c8M7ed2:q?6<4<:|l076<3;2we?n950;0xL66f3@8ho7=t4;397?{i;:91895rn2a;>5<5sA9;m6G=cb801<62:0vb>=<:578yk5d13:1>vF<0`9J6fe=;r>1=7=5}o107?213td8ol4?:0yK75g<f:986994n3da>4=zf:ii6=4>{I13e>h4;:0?46`=fc83?xh4kj0;64uG31c8j6542=30qc=ld;29=~N48h1e?>=54`9~j6eb290:wE=?a:l076<3j2we?nh50;3xL66f3g98?7:l;|l0`5<72;qC?=o4I3a`>4}62td8?>4;d:m7a7=83;pD>>n;H0`g?7|93we?>=54d9~j6b5290:wE=?a:K1gf<6s80vb>=<:5d8yk5c;3:1=vF<0`9J6fe=9r;1qc=<3;73?xh4l=0;6<uG31c8M7ed28q:6p`<32865>{i;m?1<7?tH22b?L4dk3;p=7sa321917=zf:n=6=4>{I13e>O5kj0:w<4rn210>05<ug9o;7>51zJ04d=N:ji1=v?5}o107?333td8h54?:0yK75g<A;ih6<u>:|l076<2=2we?i750;3xL66f3@8ho7?t1;m765==?1vb>jn:182M57i2C9on4>{08~j6542<=0qc=kb;295~N48h1B>nm51z39yk54;3?37p`<db83>4}O;9k0E?ml:0y2>xh4;:0>56sa3ef94?7|@::j7D<lc;3x5?{i;:919l5rn2ff>5<6sA9;m6G=cb824<zf:9868l4}o1gb?6=9rB8<l5F2ba95~7=ug98?7;l;|l0a5<728qC?=o4I3a`>4}62td8?>4:d:m7`7=838pD>>n;o107?3b3td8i?4?:3yK75g<f:9868h4}o1f7?6=:rB8<l5a321925=zf:o?6=4={I13e>h4;:0==6sa3d794?4|@::j7c=<3;41?xh4m?0;6?uG31c8j6542?90qc=j7;296~N48h1e?>=5659~j6c?2909wE=?a:l076<1=2we?h750;0xL66f3g98?789;|l0ad<72;qC?=o4n210>31<ug9nn7>52zJ04d=i;:91:55rn2g`>5<5sA9;m6`<3285=>{i;ln1<7<tH22b?k54;3<j7p`<ed83>7}O;9k0b>=<:7`8yk5bn3:1>vF<0`9m765=>j1vb>h?:181M57i2d8?>49d:m7c7=838pD>>n;o107?0b3td8j?4?:3yK75g<f:986;h4}o1e7?6=:rB8<l5a321935=zf:l?6=4={I13e>h4;:0<=6sa3g794?4|@::j7c=<3;51?xh4n?0;6?uG31c8j6542>90qc=i7;296~N48h1e?>=5759~j6`?2909wE=?a:l076<0=2we?k750;0xL66f3g98?799;|l0bd<72;qC?=o4n210>21<ug9mn7>52zJ04d=i;:91;55rn2d`>5<5sA9;m6`<3284=>{i;on1<7<tH22b?k54;3=j7p`<fd83>7}O;9k0b>=<:6`8yk5an3:1>vF<0`9m765=?j1vb9>?:181M57i2d8?>48d:m55g=83;pb>=<:6g8yxpS:;i1<7?51;5fV>a2:=36?4>325ae`<509:37)=?c;0`a>"5km0:;6F=309K6d2<@;997o9<:1815?1a2>opV:<52z59e?{O:;n0(?hi:058j74a2>n0b?h=:6g8j654291b9h4?:%0g4?3c3g8hj7>4;h7`>5<#:m:19i5a2bd95>=n=k0;6)<k0;7g?k4dn3807d;n:18'6a6==m1e>nh53:9j1<<72-8o<7;k;o0`b?2<3`?36=4+2e291a=i:jl1965f5683>!4c83?o7c<lf;48?l31290/>i>55e9m6f`=?21b984?:%0g4?3c3g8hj764;h77>5<#:m:19i5a2bd9=>=n;<81<75f9183>>o>:3:17d<l4;29?l56?3:17d=?6;29?l4>;3:17&7i:18'6a6=1l1e>nh50:9(=a<72-8o<77j;o0`b?7<3"3h6=4+2e29=`=i:jl1>65$9c83>!4c833n7c<lf;18?.?f290/>i>59d9m6f`=<21 544?:%0g4??b3g8hj7;4;*c;>5<#:m:15h5a2bd92>=,i>0;6)<k0;;f?k4dn3=07&o9:18'6a6=1l1e>nh58:9(e0<72-8o<77j;o0`b??<3"k?6=4+2e29=`=i:jl1m65$a283>!4c833n7c<lf;`8?.g5290/>i>59d9m6f`=k21 m<4?:%0g4??b3g8hj7j4;*c3>5<#:m:15h5a2bd9a>=,110;6)<k0;;f?k4dn3l07o<ka;392?6=8r.9>h4=269'6c1=?:1/?=;52d48 7`c2?i0(?:9:29K67d<f:986<5f9683>>o0?3:17d6m:188m7b22900e:;50;9l6ab=831vn?j8:085>5<7s-89i7<=7:&1b2<0;2.8<84=e79'6cb=>j1/>9853:J16g=i;:91>6g67;29?l102900e5l50;9j6a3=831b;84?::m1`a<722wi?8k51;494?6|,;8n6?<8;%0e3?143-9;97<j6:&1ba<1k2.98;4<;I01f>h4;:087d78:188m21=831b4o4?::k1`0<722c<97>5;n0g`?6=3th89o4>:783>5}#:;o1>?94$3d4>25<,::>6?k9;%0e`?0d3-8?:7=4H30a?k54;3>0e4950;9j32<722c3n7>5;h0g1?6=3`=>6=44o3fg>5<<uk9>h7?56;294~"5:l09>:5+2g5936=#;9?1>h84$3dg>3e<,;>=6>5G23`8j6542<1b5:4?::k43?6=3`2i6=44i3f6>5<<a>?1<75`2ef94?=zj:?h6<49:183!45m389;6*=f6847>"48<09i;5+2gf92f=#:=<1?6F=2c9m765=>2c2;7>5;h54>5<<a1h1<75f2e794?=n?<0;66a=de83>>{e;?<1=7850;2x 74b2;8<7)<i7;50?!57=38n:6*=fe85g>"5<?087E<=b:l076<03`3<6=44i6594?=n0k0;66g=d483>>o0=3:17b<kd;29?xd4=h0:6;4?:1y'67c=:;=0(?h8:618 6622;o=7)<id;4`?!43>390D?<m;o107?><a0=1<75f7683>>o?j3:17d<k5;29?l122900c?jk:188yg5213;1:7>50z&16`<5:>1/>k95729'753=:l<0(?hk:7a8 7212:1C>?l4n210><=n1>0;66g87;29?l>e2900e?j::188m23=831d>ij50;9~f602280=6=4?{%01a?45?2.9j:483:&040<5m?1/>kj56b9'610=;2B9>o5a3219e>o>?3:17d98:188m=d=831b>i;50;9j30<722e9hi4?::a732=93<1<7>t$30f>7403-8m;79<;%131?4b>2.9ji49c:&103<43A89n6`<328a?l?02900e:950;9j<g<722c9h84?::k41?6=3f8oh7>5;|`026<62?0;6=u+23g9671<,;l<6:=4$226>7c13-8mh78l;%072?5<@;8i7c=<3;a8m<1=831b;:4?::k;f?6=3`8o97>5;h56>5<<g;no6=44}c156?7=>3:1<v*=2d8162=#:o=1;>5+31796`0<,;lo6;m4$365>6=O:;h0b>=<:e9j=2<722c<;7>5;h:a>5<<a;n>6=44i6794?=h:mn1<75rb27e>4<1290;w)<=e;013>"5n>0<?6*<0481a3=#:on1:n5+25497>N5:k1e?>=5e:k:3?6=3`=<6=44i9`94?=n:m?1<75f7483>>i5lm0;66sm37395?0=83:p(?<j:304?!4a?3=87)=?5;0f2>"5nm0=o6*=4780?M45j2d8?>4i;h;4>5<<a>=1<75f8c83>>o5l<0;66g85;29?j4cl3:17pl<6182>3<729q/>?k52358 7`02>90(>>::3g5?!4al3<h7)<;6;18L74e3g98?7??;h;4>5<<a>=1<75f8c83>>o5l<0;66g85;29?j4cl3:17pl<5982>3<729q/>?k52358 7`02>90(>>::3g5?!4al3<h7)<;6;18L74e3g98?7?>;h;4>5<<a>=1<75f8c83>>o5l<0;66g85;29?j4cl3:17pl<5682>3<729q/>?k52358 7`02>90(>>::3g5?!4al3<h7)<;6;18L74e3g98?7?=;h;4>5<<a>=1<75f8c83>>o5l<0;66g85;29?j4cl3:17pl65;397?6=8r.8<84=9c9'67c=:j:0(?h8:618L74e3g98?7?<;h001?6=3`88:7>5;n0`<?6=3th=47?52;294~"48<09?l5+2g5936=#:0;1>>m4H30a?k54;3;?7d<<4;29?j4em3:17pl97;396?6=8r.8<84=3`9'6c1=?:1/>4?522a8L74e3g98?7?:;h000?6=3f8ii7>5;|`52?7=:3:1<v*<04817d=#:o=1;>5+283966e<@;8i7c=<3;35?l44<3:17b<me;29?xd1=3;1>7>50z&040<5;h1/>k95729'6<7=::i0D?<m;o107?703`8887>5;n0aa?6=3th=87?52;294~"48<09?l5+2g5936=#:0;1>>m4H30a?k54;3;37d<<4;29?j4em3:17pl93;396?6=8r.8<84=3`9'6c1=?:1/>4?522a8L74e3g98?7?6;h000?6=3f8ii7>5;|`56?7=:3:1<v*<04817d=#:o=1;>5+283966e<@;8i7c=<3;3b?l44<3:17b<me;29?xd193;1>7>50z&040<5;h1/>k95729'6<7=::i0D?<m;o107?7e3`8887>5;n0aa?6=3th=<7?52;294~"48<09?l5+2g5936=#:0;1>>m4H30a?k54;3;h7d<<4;29?j4em3:17pl:f;396?6=8r.8<84=3`9'6c1=?:1/>4?522a8L74e3g98?7?k;h000?6=3f8ii7>5;|`:5?7=:3:1<v*<04817d=#:o=1;>5+283966e<@;8i7c=<3;3f?l44<3:17b<me;29?xd>;3;1>7>50z&040<5;h1/>k95729'6<7=::i0D?<m;o107?7a3`8887>5;n0aa?6=3th9o84>:383>5}#;9?1>>o4$3d4>25<,;3:6?=l;I01f>h4;:09<6g=3583>>i5jl0;66sm30:95?4=83:p(>>::31b?!4a?3=87)<61;00g>N5:k1e?>=5209j662=831d>ok50;9~f66>28096=4?{%131?44i2.9j:483:&1=4<5;j1C>?l4n210>74<a;9?6=44o3`f>5<<uk82:7?52;294~"48<09?l5+2g5936=#:0;1>>m4H30a?k54;3887d<<4;29?j4em3:17pl<0982>3<729q/?=;528`8 74b2;i:7)<i7;50?!4c;39?n6F=2c9m765=:=1b>>;50;9j660=831b>>950;9j66>=831b>>750;9l6f>=831vn?7::085>5<7s-9;97<6b:&16`<5k81/>k95729'6a5=;=k0D?<m;o107?423`8897>5;h002?6=3`88;7>5;h00<?6=3`8857>5;n0`<?6=3th88k4>:483>5}#;9?1>4l4$30f>7da3-8m;79<;%0g7?53j2B9>o5a321963=n::?1<75f22494?=n::=1<75f22:94?=h:j21<75rb272>4<2290;w)=?5;0:f>"5:l09nk5+2g5936=#:m91?9o4H30a?k54;38<7d<<5;29?l44>3:17d<<7;29?l4403:17b<l8;29?xd4=<0:6?4?:1y'753=::k0(?h8:618 7?62;9h7E<=b:l076<502c9?94?::m1f`<722wi?8:51;094?6|,::>6;h4$3d4>25<@;8i7c=<3;0:?l44<3:17b<me;29?xd5lj0:6?4?:1y'753=:0h0(?<j:3a1?!4a?3=87E<7c:J16g=i;:91>l5f22794?=h:j21<75rb3f:>4<5290;w)=?5;0:f>"5:l09o?5+2g5936=O:1i0D?<m;o107?4e3`8897>5;n0`<?6=3thh87?52;294~"48<09?l5+2g5936=#:0;1>>m4H30a?k54;38h7d<<4;29?j4em3:17pll5;397?6=8r.8<84<019'6c1=?:1/>4?52b:8L74e3g98?7<k;h000?6=3`8:i7>5H3:a?>i5jl0;66smc382>3<729q/>?k52358 7`02>90(>>::3g5?!4al3<h7)<;6;18L74e3g98?7<j;h;4>5<<a>=1<75f8c83>>o5l<0;66g85;29?j4cl3:17pll0;396?6=8r.8<84=3`9'6c1=?:1/>4?522a8L74e3g98?7<i;h000?6=3f8ii7>5;|``5?7=;3:1<v*<048045=#:o=1;>5+28396f><@;8i7c=<3;13?l44<3:17d<>e;29L7>e32e9nh4?::af`<62?0;6=u+23g9671<,;l<6:=4$226>7c13-8mh78l;%072?5<@;8i7c=<3;12?l?02900e:950;9j<g<722c9h84?::k41?6=3f8oh7>5;|`ag?7=:3:1<v*<04817d=#:o=1;>5+283966e<@;8i7c=<3;11?l44<3:17b<me;29?xdel3;1?7>50z&040<4891/>k95729'6<7=:j20D?<m;o107?543`8887>5;h02a?6=@;2i76a=bd83>>{ejh0:6;4?:1y'67c=:;=0(?h8:618 6622;o=7)<id;4`?!43>390D?<m;o107?533`3<6=44i6594?=n0k0;66g=d483>>o0=3:17b<kd;29?xde03;1>7>50z&040<5;h1/>k95729'6<7=::i0D?<m;o107?523`8887>5;n0aa?6=3thi57?53;294~"48<08<=5+2g5936=#:0;1>n64H30a?k54;39=7d<<4;29?l46m3:1D?6m;:m1f`<722win;4>:783>5}#:;o1>?94$3d4>25<,::>6?k9;%0e`?0d3-8?:7=4H30a?k54;39<7d78:188m21=831b4o4?::k1`0<722c<97>5;n0g`?6=3thi87?52;294~"48<09?l5+2g5936=#:0;1>>m4H30a?k54;3937d<<4;29?j4em3:17plm5;397?6=8r.8<84<019'6c1=?:1/>4?52b:8L74e3g98?7=6;h000?6=3`8:i7>5H3:a?>i5jl0;66smb382>3<729q/>?k52358 7`02>90(>>::3g5?!4al3<h7)<;6;18L74e3g98?7=n;h;4>5<<a>=1<75f8c83>>o5l<0;66g85;29?j4cl3:17plm0;396?6=8r.8<84=3`9'6c1=?:1/>4?522a8L74e3g98?7=m;h000?6=3f8ii7>5;|`a5?7=;3:1<v*<048045=#:o=1;>5+28396f><@;8i7c=<3;1`?l44<3:17d<>e;29L7>e32e9nh4?::ae`<62?0;6=u+23g9671<,;l<6:=4$226>7c13-8mh78l;%072?5<@;8i7c=<3;1g?l?02900e:950;9j<g<722c9h84?::k41?6=3f8oh7>5;|`f3?7=:3:1<v*<04817d=#:o=1;>5+283966e<@;8i7c=<3;1f?l44<3:17b<me;29?xdb03;1?7>50z&040<4891/>k95729'6<7=:j20D?<m;o107?5a3`8887>5;h02a?6=@;2i76a=bd83>>{em<0:6;4?:1y'67c=:;=0(?h8:618 6622;o=7)<id;4`?!43>390D?<m;o107?273`3<6=44i6594?=n0k0;66g=d483>>o0=3:17b<kd;29?xdb;3;1>7>50z&040<5;h1/>k95729'6<7=::i0D?<m;o107?263`8887>5;n0aa?6=3thn87?53;294~"48<08<=5+2g5936=#:0;1>n64H30a?k54;3>97d<<4;29?l46m3:1D?6m;:m1f`<722wii<4>:783>5}#:;o1>?94$3d4>25<,::>6?k9;%0e`?0d3-8?:7=4H30a?k54;3>87d78:188m21=831b4o4?::k1`0<722c<97>5;n0g`?6=3thoj7?52;294~"48<09?l5+2g5936=#:0;1>>m4H30a?k54;3>?7d<<4;29?j4em3:17plj0;397?6=8r.8<84<019'6c1=?:1/>4?52b:8L74e3g98?7::;h000?6=3`8:i7>5H3:a?>i5jl0;66smde82>3<729q/>?k52358 7`02>90(>>::3g5?!4al3<h7)<;6;18L74e3g98?7:9;h;4>5<<a>=1<75f8c83>>o5l<0;66g85;29?j4cl3:17plkb;396?6=8r.8<84=3`9'6c1=?:1/>4?522a8L74e3g98?7:8;h000?6=3f8ii7>5;|`gg?7=;3:1<v*<048045=#:o=1;>5+28396f><@;8i7c=<3;6;?l44<3:17d<>e;29L7>e32e9nh4?::a`<<62?0;6=u+23g9671<,;l<6:=4$226>7c13-8mh78l;%072?5<@;8i7c=<3;6:?l?02900e:950;9j<g<722c9h84?::k41?6=3f8oh7>5;|`g3?7=:3:1<v*<04817d=#:o=1;>5+283966e<@;8i7c=<3;6b?l44<3:17b<me;29?xdc03;1?7>50z&040<4891/>k95729'6<7=:j20D?<m;o107?2e3`8887>5;h02a?6=@;2i76a=bd83>>{el<0:6;4?:1y'67c=:;=0(?h8:618 6622;o=7)<id;4`?!43>390D?<m;o107?2d3`3<6=44i6594?=n0k0;66g=d483>>o0=3:17b<kd;29?xdc;3;1>7>50z&040<5;h1/>k95729'6<7=::i0D?<m;o107?2c3`8887>5;n0aa?6=3tho87?53;294~"48<08<=5+2g5936=#:0;1>n64H30a?k54;3>n7d<<4;29?l46m3:1D?6m;:m1f`<722wih<4>:783>5}#:;o1>?94$3d4>25<,::>6?k9;%0e`?0d3-8?:7=4H30a?k54;3>m7d78:188m21=831b4o4?::k1`0<722c<97>5;n0g`?6=3thhj7?52;294~"48<09?l5+2g5936=#:0;1>>m4H30a?k54;3?;7d<<4;29?j4em3:17plk0;397?6=8r.8<84<019'6c1=?:1/>4?52b:8L74e3g98?7;>;h000?6=3`8:i7>5H3:a?>i5jl0;66smce82>3<729q/>?k52358 7`02>90(>>::3g5?!4al3<h7)<;6;18L74e3g98?7;=;h;4>5<<a>=1<75f8c83>>o5l<0;66g85;29?j4cl3:17pllb;396?6=8r.8<84=3`9'6c1=?:1/>4?522a8L74e3g98?7;<;h000?6=3f8ii7>5;|``g?7=;3:1<v*<048045=#:o=1;>5+28396f><@;8i7c=<3;77?l44<3:17d<>e;29L7>e32e9nh4?::ag<<62?0;6=u+23g9671<,;l<6:=4$226>7c13-8mh78l;%072?5<@;8i7c=<3;76?l?02900e:950;9j<g<722c9h84?::k41?6=3f8oh7>5;|``3?7=:3:1<v*<04817d=#:o=1;>5+283966e<@;8i7c=<3;75?l44<3:17b<me;29?xdd03;1?7>50z&040<4891/>k95729'6<7=:j20D?<m;o107?303`8887>5;h02a?6=@;2i76a=bd83>>{eim0:6;4?:1y'67c=:;=0(?h8:618 6622;o=7)<id;4`?!43>390D?<m;o107?3?3`3<6=44i6594?=n0k0;66g=d483>>o0=3:17b<kd;29?xdfj3;1>7>50z&040<5;h1/>k95729'6<7=::i0D?<m;o107?3>3`8887>5;n0aa?6=3thjo7?53;294~"48<08<=5+2g5936=#:0;1>n64H30a?k54;3?j7d<<4;29?l46m3:1D?6m;:m1f`<722wim44>:783>5}#:;o1>?94$3d4>25<,::>6?k9;%0e`?0d3-8?:7=4H30a?k54;3?i7d78:188m21=831b4o4?::k1`0<722c<97>5;n0g`?6=3th9hk4>:ca94?6|,::>6?jj;%0e3?143go26<<4ndc957=i9j?1<6`=0983?!45939;86*=238041=#:li1;85+2df930=#:lh1>km4$200>7dc3-9987<md:&17`<23-88j7;4$363>0=#:=;196*=4386?!43;3?0(?:;:49'613==2.98:4:;%07<?3<,;>2685+25c91>"5<k0>7)<;c;78 72c2<1/>9k55:&10c<23-8><7;4$372>0=#:<8196*=5286?!42<3?0(?;::49'600==2.99:4:;%06<?3<,;?2685+24c91>"5=k0>7)<:c;78 73c2<1/>8k55:&11c<23-8=<7;4$342>0=#:?8196*=6286?!41<3?0(?8::49'630==2.9::4:;%05<?3<,;<2685+27c91>"5>k0>7)<9c;78 70c2<1/>;k55:&12c<23-8<<7;4$352>0=#:>8196*=7286?!40<3?0(?9::49'620==2.9;:4:;%04<?3<,;=2685+26c91>"5?k0>7)<8c;78 71c2<1/>:k55:&13c<23-83<7;4$3:2>0=#:18196*=8286?!4?<3?0(?6::49'6=0==2.94:4;;%0;<?2<,;l3695+2g;90>"5n:0=n6*=f581b0=#:131>ol4H30a?_152jq3644>1;36>44=9?0:?7k5d;d951<d2td8?>4:c:k1a4<722c<i7>5;h014?6=3`8nm7>5;h:0>5<<a;o96=44i3g:>5<<a;;m6=44i050>5<#:m:1=:94n3ae>5=<a8=96=4+2e29521<f;im6<54i052>5<#:m:1=:94n3ae>7=<a8=;6=4+2e29521<f;im6>54i232>5<O:1h0(?j?:236?k4dn3:07d=>0;29L7>e3-8o<7=>5:l1gc<632c8<k4?:I0;f>"5l908=85a2bd96>=n;9o1<7F=8c9'6a6=;8?0b?mi:298m4c=83.9h=4=b:l1gc<732c:h7>5$3f3>7d<f;im6<54i0a94?"5l909n6`=cg81?>o6j3:1(?j?:3`8j7ea2:10e?850;&1`5<5j2d9ok4;;:k11?6=,;n;6?l4n3ae>0=<a;>1<7*=d181f>h5ko0=76g=3;29 7b72;h0b?mi:698m74=83.9h=4=b:l1gc<?32c9=7>5$3f3>7d<f;im6454i3294?"5l909n6`=cg8b?>o6n3:1(?j?:3`8j7ea2k10e<o50;&1`5<5j2d9ok4l;:k2=?6=,;n;6?l4n3ae>a=<a:i1<7*=d187=>h5ko0;76g<b;29 7b72=30b?mi:098m6g=83.9h=4;9:l1gc<532c857>5$3f3>1?<f;im6>54i5694?"5l90?56`=cg87?>o3;3:1(?j?:5;8j7ea2<10e9<50;&1`5<312d9ok49;:k75?6=,;n;6974n3ae>2=<a=:1<7*=d187=>h5ko0376g<f;29 7b72=30b?mi:898m6c=83.9h=4;9:l1gc<f32c8h7>5$3f3>1?<f;im6o54i2:94?"5l90?56`=cg8`?>o4?3:1(?j?:5;8j7ea2m10e<:8:18'6a6=9?<0b?mi:198m421290/>i>51748j7ea2810e<:;:18'6a6=9?<0b?mi:398m424290/>i>51748j7ea2:10e<:=:18'6a6=9?<0b?mi:598m426290/>i>51748j7ea2<10e<:?:18'6a6=9?<0b?mi:798m45a290/>i>51748j7ea2>10e<=j:18'6a6=9?<0b?mi:998m45c290/>i>51748j7ea2010e<=l:18'6a6=9?<0b?mi:`98m45e290/>i>51748j7ea2k10e<=6:18'6a6=9?<0b?mi:b98m45?290/>i>51748j7ea2m10e<=8:18'6a6=9?<0b?mi:d98m451290/>i>51748j7ea2o10e<=::18'6a6=9?<0b?mi:028?l74<3:1(?j?:045?k4dn3;:76g>3283>!4c83;=:6`=cg826>=n9:81<7*=d18223=i:jl1=>54i012>5<#:m:1=;84n3ae>42<3`;8<7>5$3f3>4013g8hj7?:;:k20a<72-8o<7?96:l1gc<6>21b=9m50;&1`5<6>?1e>nh51698m42e290/>i>51748j7ea28207d?;a;29 7b728<=7c<lf;3:?>o6<00;6)<k0;352>h5ko0:m65f15:94?"5l90::;5a2bd95g=<a8>>6=4+2e29530<f;im6<m4;h30e?6=,;n;6<89;o0`b?7c32c:>k4?:%0g4?71>2d9ok4>e:9j57c=83.9h=4>679m6f`=9o10e<9k:18'6a6=91;0b?mi:198m41d290/>i>51938j7ea2810e<9m:18'6a6=91;0b?mi:398m41f290/>i>51938j7ea2:10e>?k:18'6a6=;;;0b?mi:198m67d290/>i>53338j7ea2810e>?m:18'6a6=;;;0b?mi:398m67f290/>i>53338j7ea2:10e<>::18'6a6=9;>0b?mi:198m463290/>i>51368j7ea2810e<>=:18'6a6=9;>0b?mi:398m466290/>i>51368j7ea2:10e<>?:18'6a6=9;>0b?mi:598mc`=83.9h=4>259m6f`==21bjh4?:%0g4?75<2d9ok49;:ke`?6=,;n;6<<;;o0`b?1<3`lh6=4+2e29572<f;im6554ig`94?"5l90:>95a2bd9=>=nnh0;6)<k0;310>h5ko0j76gi9;29 7b7288?7c<lf;`8?l`0290/>i>51368j7ea2j10ek850;&1`5<6:=1e>nh5d:9jb0<72-8o<7?=4:l1gc<b32cm87>5$3f3>4433g8hj7h4;hd0>5<#:m:1=?:4n3ae>46<3`l96=4+2e29572<f;im6<?4;hd2>5<#:m:1=?:4n3ae>44<3`l;6=4+2e29572<f;im6<=4;hge>5<#:m:1=?:4n3ae>42<3`on6=4+2e29572<f;im6<;4;h33f?6=,;n;6<<;;o0`b?7132c:<l4?:%0g4?75<2d9ok4>7:9j55?=83.9h=4>259m6f`=9110e<>7:18'6a6=9;>0b?mi:0;8?l77?3:1(?j?:007?k4dn3;j76g>0783>!4c83;986`=cg82f>=n9991<7*=d18261=i:jl1=n54ig:94?"5l90:>95a2bd95a=<aln1<7*=d18261=i:jl1=h54ida94?"5l90:>95a2bd95c=<g83o6=44o0;`>5<<g83j6=44o0;:>5<<g8336=44o0;4>5<<g83=6=44o0;6>5<<g83?6=44o0;0>5<<g8396=44o0;2>5<<g82m6=44o0:f>5<<g82o6=44o0:`>5<<g82i6=4+2e295ge<f;im6<>4;n3;e?6=,;n;6<ll;o0`b?7632e:444?:%0g4?7ek2d9ok4>2:9l5=>=83.9h=4>bb9m6f`=9:10c<68:18'6a6=9ki0b?mi:068?j7?>3:1(?j?:0``?k4dn3;>76a>a283>!4c83;io6`=cg822>=h9h81<7*=d182ff=i:jl1=:54o0c2>5<#:m:1=om4n3ae>4><3f;j<7>5$3f3>4dd3g8hj7?6;:m2=c<72-8o<7?mc:l1gc<6i21d=4k50;&1`5<6jj1e>nh51c98k4?e290/>i>51ca8j7ea28i07b?60;29 7b728hh7c<lf;3g?>i60<0;6)<k0;3ag>h5ko0:i65`19694?"5l90:nn5a2bd95c=<g;:o6=44o32`>5<<g;:i6=44o32b>5<<g;;<6=44o335>5<<g;;>6=44o337>5<<g8o;6=44o0fe>5<<g8no6=44o0f`>5<<g8ni6=44o0fb>5<<g8n26=44o0f;>5<<g8n<6=44o0f5>5<<g8n>6=44o0f7>5<<g8n96=44o0f2>5<<g8n;6=44o0ae>5<<g8in6=44o0ag>5<<g8ih6=44o0aa>5<<g8ij6=44o0a:>5<<g8o=6=44o0g6>5<<g8o?6=44o0g0>5<<g8o96=44o0g2>5<<g8nn6=44o0f0>5<<g8i36=44o0a4>5<<uk3o6<4>:183!57=3<o7E<=b:l076<2l2!9on4?::a=f<6280;6=u+31792a=O:;h0b>=<:4g8/7ed2900qo7m:082>5<7s-9;978k;I01f>h4;:0>j6%=cb83>>{e1h0:6<4?:1y'753=>m1C>?l4n210>36<#;ih6=44}c;:>4<6290;w)=?5;4g?M45j2d8?>491:)1gf<722wim54>:083>5}#;9?1:i5G23`8j6542?80'?ml:188ygg0280:6=4?{%131?0c3A89n6`<32857>-5kj0;66sma782>4<729q/?=;56e9K67d<f:986;:4+3a`>5<<ukk>6<4>:183!57=3<o7E<=b:l076<1=2!9on4?::ae1<6280;6=u+31792a=O:;h0b>=<:748/7ed2900qoo<:082>5<7s-9;978k;I01f>h4;:0=;6%=cb83>>{ei;0:6<4?:1y'753=>m1C>?l4n210>3><#;ih6=44}cc2>4<6290;w)=?5;4g?M45j2d8?>499:)1gf<722wim=4>:083>5}#;9?1:i5G23`8j6542?k0'?ml:188yg??280:6=4?{%131?0c3A89n6`<3285f>-5kj0;66sm9g82>4<729q/?=;56e9K67d<f:986;m4+3a`>5<<uk9>>7?51;294~"48<095?5+283966e<@;8i7c=<3;4g?j4a>3:17pl:e;395?6=8r.8<84=939'6<7=::i0D?<m;o107?0b3f8m:7>5;|`6g?7=93:1<v*<0481=7=#:0;1>>m4H30a?k54;3<m7b<i6;29?xd2j3;1=7>50z&040<51;1/>4?522a8L74e3g98?79?;n0e2?6=3th>m7?51;294~"48<095?5+283966e<@;8i7c=<3;52?j4a>3:17pl:9;395?6=8r.8<84=939'6<7=::i0D?<m;o107?153f8m:7>5;|`6<?7=93:1<v*<0481=7=#:0;1>>m4H30a?k54;3=87b<i6;29?xd2?3;1=7>50z&040<51;1/>4?522a8L74e3g98?79;;n0e2?6=3th>:7?51;294~"48<095?5+283966e<@;8i7c=<3;56?j4a>3:17pl:5;395?6=8r.8<84=939'6<7=::i0D?<m;o107?113f8m:7>5;|`60?7=93:1<v*<0481=7=#:0;1>>m4H30a?k54;3=<7b<i6;29?xd>83;1=7>50z&040<51;1/>4?522a8L74e3g98?797;n0e2?6=3th2>7?51;294~"48<095?5+283966e<@;8i7c=<3;5:?j4a>3:17pl=c582>4<729q/?=;52808 7?62;9h7E<=b:l076<0i2e9j;4?::a741=93;1<7>t$226>7?53-82=7<<c:J16g=i;:91;o5`2g494?=zj::=6<4>:183!57=382>6*=90817f=O:;h0b>=<:6a8k7`12900qo<63;395?6=8r.8<84=939'6<7=::i0D?<m;o107?1c3f8m:7>5;|`;a?7=83:1<vF<2b9'753=0m1C?=?4H3``?k54;3=n7pl=e582>5<729qC??k4$226>7c43A9;=6F=bb9m765=?o1v?o8:18084ci33<70<k7;;4?84cn38n=6s|34194?43s48om798;<0g3?10349>i798;<16f?10349>h798;<16g?10349=:798;<16e?10349>5798;<151?10349=8798;<157?10349=>798;<16b?10349==798;<154?10349>4798;<163?10349>87<me:?`6?1034hn6:94=cc932=:j?0<;63m2;54?8gb2>=01h;5769>a4<0?27oh798;<f:>21<5m?1;:52d0843>;dl3=<70m6:6589db=?>16m4487:?1`c<0m2wx?=950;cx97bf21h01>>7:3a;?8e521h01ok58c9>fd<?j27i:76m;<`1>=d<5ho14o52e48;f>;b932i7p}=d`83>7}::mk1>ij4=3f`>7523ty9594?:`y>6a1=0k16>4;52b:89ab=0k16h447b:?g1?>e34n:65l4=bf9<g=:k003n63nd;:a?8g>21h0q~<k7;296~;5l>09hi522e;9663<uz8i<7>52z?01`<>?27h87<me:p71c=833p1>;j:678963e2>?01>;k:678963d2>?01>89:678963f2>?01>;6:67896022>?01>:i:3a;?xu4=l0;6?u234g96ab<5;nm6k=4}r0a7?6=:r789o467:?a<?4em2wx?8l50;0x963e2;no70<kf;d3?xu5j80;6?u234f9=2=:k909nh5rs27g>5<5s49>h7<kd:?1`c<a:2wx>o<50;0x963d20=01om52cg8yv52k3:1>v3<5b81`a=::ml1j<5rs3`5>5<5s49=:778;<g4>7db3ty8:;4?:3y>730=:mn01?ji:02a?xu5j=0;6?u234c9=2=:j=09nh5rs27b>5<5s49>m7<kd:?1`c<bn2wx>o;50;0x963>20=01o>52cg8yv5213:1>v3<5881`a=::ml1ih5rs3`4>5<5s49=9778;<g0>7db3ty8:84?:3y>733=:mn01?ji:02b?xu5i10;6?u23769=2=:lo09nh5rs273>5<>s49=879:;<157?12349=>79:;<16b?12349==79:;<154?12349>479:;<163?12349>=7<l8:p732=838p1>8;:3fg?84cn3;;56s|2`;94?4|5:<86494=e`96gc<uz9=?7>52z?026<5lm16>ih511:8yv4fi3:1>v3<638:3>;c?38ii6s|37094?4|5:<96?jk;<0gb?77?2wx>lj50;0x963a20=01nl52cg8yv52n3:1>v3<5g81`a=::ml1j55rs3ca>5<5s49==778;<f0>7db3ty8:<4?:3y>737=:mn01?ji:025?xu5ij0;6?u23729=2=:ko09nh5rs243>5<5s49=<7<kd:?1`c<68:1v?oj:181852033<70m8:3`f?xu4=10;6?u234:96ab<5;nm6hj4}r0bb?6=:r789:467:?bf?4em2wx?8950;0x96302;no70<kf;g`?xu>93:1:v365;001>;>938ii63<098173=::0?1>>64=26e>752349>=7<<5:p=6<72?q6584=379>=6<5jl16?=65225897?22;9>70=;f;00<>;4=809?55rs8694?4|50?1>n64=3fe>77a3ty>i7>53z?5<?44<27>i7<i6:\6a>{t>10;6?u26981f`=::ml1=h5rs4a94?5|5?=1>>:4=4a96c0<V<i0q~88:1818002;hn70<kf;3g?xu2j3:1?v396;000>;2j38m:6P:b:p23<72;q6:;4=bd9>6a`=9j1v8o50;1x933=::>018o52g48Z0g<uz<>6=4={<46>7db348oj7?m;|q6=?6=;r7=87<<4:?6=?4a>2T>56s|6583>7}:>=09nh522ed963=z{<21<7=t=719662<5<21>k84^4:8yv042909w08<:3`f?84cn38>7p}:7;297~;1:388863:7;0e2>X2?2wx:?4?:3y>27<5jl16>ih5259~w00=839p1;?52268900=:o<0R884}r42>5<5s4<:6?lj;<0gb?443ty>97>53z?54?44<27>97<i6:\61>{t>90;6?u26181f`=::ml1>?5rs4694?5|5<l1>>:4=4696c0<V<>0q~;i:18183a2;hn70<kf;02?xu>83:1?v361;000>;>838m:6P60:p=7<72:q65>4=359>=7<5n?1U5?5rs3a7>5<4s48h97<<4:?1g1<5n?1U>n:4}r0`1?6=;r79o84=bd9>75>=::201?7::31:?xu49>0;6>u230:9662<5:;<6?h9;_123>{t;821<77t=23;>7db349;47<<5:?1=0<5;>16?9h5225896362;9<70<kf;125>;5lo08==522ed975`<5;nm6>>j;|q043<72:q6?=75226896612;l=7S=?6:p75?=839p1>>6:3`f?8570388563<4g8173=z{;386=4<{<0:2?44<2795>4=f79]6<5<uz82:7>53z?1=3<5jl16>4;5224896362;9=7p}<5383>6}:;<?1>>:4=271>7`13W9>>6s|34794?4|5:?>6?lj;<160?44<2wx>il50;;x97bd2;i370m::33f?8e62;;n70lk:33f?8d>2;;n70l::33f?8d62;;n70k7:33f?8c32;;n7p}=d983><}::m31>n64=d2964c<5mi1><k4=e:964c<5m>1><k4=e2964c<5ji1><k4=b:964c<5hi1><k4}r;e>5<3s4i?6?=;;<a6>7db343m6?ml;_;e?xud:3:1>v3l5;000>;d:38oh6s|37f94?4|5j815:522ed95=d<uz3o6=4;{<a3>75334i:6?lj;<;g>7ed3W3o7p}me;296~;d9388863me;0g`>{t;?i1<7<t=cg9=2=::ml1=5o4}r;`>5<3s4hh6?=;;<`g>7db343h6?ml;_;`?xuei3:1>v3md;000>;ei38oh6s|37`94?4|5kk15:522ed95=?<uz3i6=4;{<`;>75334h26?lj;<;a>7ed3W3i7p}m6;296~;e1388863m6;0g`>{t;?k1<7<t=c49=2=::ml1=564}r;b>5<3s4h?6?=;;<`6>7db343j6?ml;_;b?xue:3:1>v3m5;000>;e:38oh6s|37;94?4|5k815:522ed95=1<uz326=4;{<`3>75334h:6?lj;<;:>7ed3W327p}ne;296~;e9388863ne;0g`>{t;?21<7<t=`g9=2=::ml1=584}rc;>5<3s4o<6?=;;<g;>7db34k36?ml;_c;?xub=3:1>v3j8;000>;b=38oh6s|36494?4|5l?15:522ed95d5<uzk<6=4;{<g0>75334o?6?lj;<c4>7ed3Wk<7p}j1;296~;b<388863j1;0g`>{t;>?1<7<t=d39=2=::ml1=l<4}rc5>5<3s4nm6?=;;<g3>7db34k=6?ml;_c5?xucl3:1>v3j0;000>;cl38oh6s|36694?4|5mn15:522ed95d7<uzk>6=4;{<fa>75334nh6?lj;<c6>7ed3Wk>7p}k9;296~;ck388863k9;0g`>{t;>91<7<t=e;9=2=::ml1=l>4}rc7>5<3s4n<6?=;;<f;>7db34k?6?ml;_c7?xuc=3:1>v3k8;000>;c=38oh6s|36094?4|5m?15:522ed95<`<uzk86=4;{<f0>75334n?6?lj;<c0>7ed3Wk87p}k1;296~;c<388863k1;0g`>{t;>;1<7<t=e39=2=::ml1=4k4}rc1>5<3s4im6?=;;<f3>7db34k96?ml;_c1?xudl3:1>v3k0;000>;dl38oh6s|36294?4|5jn15:522ed95<d<uzk:6=4;{<aa>75334ih6?lj;<c2>7ed3Wk:7p}l9;296~;dk388863l9;0g`>{t;?l1<7<t=b;9=2=::ml1=4>4}rc3>5<3s4i<6?=;;<a;>7db34k;6?ml;_c3?xufl3:1>v3l8;000>;fl38oh6s|37g94?4|5hn15:522ed95=3<uz336=4;{<ca>75334kh6?lj;<;;>7ed3W337p}n9;296~;fk388863n9;0g`>{t;?=1<7<t=`;9=2=::ml1=5:4}r162?6==:q6>ih5232897ba2;oj70<kf;:0?84cn38n>63=dg81a<=::ml1=:=4=3fe>415348oj7?81:?1`c<6?916>ih5219>6a`=9o16>ih51`9>6a`=9016>ih53b9>6a`=;k16>ih53`9>6a`=;016>ih5459>6a`=<:16>ih5439>6a`=<816>ih5419>6a`=;o16>ih53d9>6a`=;m16>ih5399>6a`=;>16>ih5155897ba28>=70<kf;370>;5lo0:8>522ed9514<5;nm6<:>;<0gb?738279hk4>3g9>6a`=9:o01?ji:01g?84cn3;8o63=dg827g=::ml1=>74=3fe>45?348oj7?<7:?1`c<6;?16>ih5127897ba289?70<kf;307>;5lo0:??522ed9567<5;nm6<=?;<0gb?73l279hk4>4b9>6a`=9=h01?ji:06b?84cn3;?563=dg820==::ml1=9;4=3fe>45f348oj7?=f:?1`c<6:l16>ih516f897ba28=h70<kf;34f>;5lo0:;l522ed974b<5;nm6>?l;<0gb?56j279hk4<1`9>6a`=99?01?ji:027?84cn3;;>63=dg8244=::ml1==>4=3fe>c`<5;nm6kk4=3fe>cb<5;nm6km4=3fe>cd<5;nm6ko4=3fe>c?<5;nm6k94=3fe>c0<5;nm6k;4=3fe>c2<utd<9i4?:0ym6a2=92d8?>4?;|l41`<728qe?>=51:m30`=83;pb>=<:39~j207290:wc=<3;18yk1193:1=v`<3287?xh0>;0;6<ua32191>{i??91<7?tn210>3=zf><?6=4>{o107?1<ug==97>51zl076<?3td<:;4?:0ym765=12we;;950;3xj6542h1vb:87:182k54;3h0qc999;295~h4;:0h7p`86`83>4}i;:91h6sa77`94?7|f:986h5rn64`>5<6sg98?7h4}o55`?6=9rd8?>4>0:m33c=83;pb>=<:038yk11n3:1=v`<32826>{i?>:1<7?tn210>45<ug=<=7>51zl076<6<2we;:<50;3xj65428?0qc983;295~h4;:0::6sa76694?7|f:986<94}o541?6=9rd8?>4>8:m320=83;pb>=<:0;8yk10?3:1=v`<3282e>{i?>21<7?tn210>4d<ug=<57>51zl076<6k2we;:o50;3xj65428n0qc98b;295~h4;:0:i6sa76a94?7|f:986<h4}o54`?6=9rd8?>4=0:m32c=83;pb>=<:338yk10n3:1=v`<32816>{i?1:1<7?tn210>75<ug=3=7>51zl076<5<2we;5<50;3xj6542;?0qc973;295~h4;:09:6sa79694?7|f:986?94}o5;1?6=9rd8?>4=8:m3=0=83;pb>=<:3;8yk1??3:1=v`<3281e>{i?121<7?tn210>7d<ug=357>51zl076<5k2we;5o50;3xj6542;n0qc97b;295~h4;:09i6sa79a94?7|f:986?h4}o5;`?6=9rd8?>4<0:m3=c=83;pb>=<:238yk1?n3:1=v`<32806>{i?0:1<7?tn210>65<ug=2=7>51zl076<4<2we;4<50;3xj6542:?0qc963;295~h4;:08:6sa78694?7|f:986>94}o5:1?6=9rd8?>4<8:m3<0=83;pb>=<:2;8yk1>?3:1=v`<3280e>{i?021<7?tn210>6d<ug=257>51zl076<4k2we;4o50;3xj6542:n0qc96b;295~h4;:08i6sa78a94?7|f:986>h4}o5:`?6=9rd8?>4;0:m3<c=83;pb>=<:538yk1>n3:1=v`<32876>{i?h:1<7?tn210>15<ug=j=7>51zl076<3<2we;l<50;3xj6542=?0qc9n3;295~h4;:0?:6sa7`694?7|f:986994}o5b1?6=9rd8?>4;8:m3d0=83;pb>=<:5;8yk1f?3:1=v`<3287e>{i?h21<7?tn210>1d<ug=j57>51zl076<3k2we;lo50;3xj6542=n0qc9nb;295~h4;:0?i6sa7`a94?7|f:9869h4}o5b`?6=9rd8?>4:0:m3dc=83;pb>=<:438yk1fn3:1=v`<32866>{i?k:1<7?tn210>05<ug=i=7>51zl076<2<2we;o<50;3xj6542<?0qc9m3;295~h4;:0>:6sa7c694?7|f:986894}o5a1?6=9rd8?>4:8:m3g0=83;pb>=<:4;8yk1e?3:1=v`<3286e>{i?k21<7?tn210>0d<ug=i57>51zl076<2k2we;oo50;3xj6542<n0qc9mb;295~h4;:0>i6sa7ca94?7|f:9868h4}o5a`?6=9rd8?>490:m3gc=83;pb>=<:738yk1en3:1=v`<32856>{i?j:1<7?tn210>35<ug=h=7>51zl076<1<2we;n<50;3xj6542??0qc9l3;295~h4;:0=:6sa7b694?7|f:986;94}o5`1?6=9rd8?>498:m3f0=83;pb>=<:7;8yk1d?3:1=v`<3285e>{i?j21<7?tn210>3d<ug=h57>51zl076<1k2we;no50;3xj6542?n0qc9lb;295~h4;:0=i6sa7ba94?7|f:986;h4}o5``?6=9rd8?>480:m3fc=83;pb>=<:638yk1dn3:1=v`<32846>{i?m:1<7?tn210>25<ug=o=7>51zl076<0<2we;i<50;3xj6542>?0qc9k3;295~h4;:0<:6sa7e694?7|f:986:94}o5g1?6=9rd8?>488:m3a0=83;pb>=<:6;8yk1c?3:1=v`<3284e>{i?m21<7?tn210>2d<ug=o57>51zl076<0k2we;io50;3xj7b3291e?>=57e9~yx{GHJq3944m270a321zHIHp4=u<2c83>5<52=:m69=8;|y06d<7290969o;:218y~55i3:1<7<54``97d=zs:8j6=4?:387f7<392wp??o50;296?2e13>37pu<2`83>5<52=i;69h4}z11e?6=83818n95579~64f290;6?4;cd86`>{|;;k1<7>52;6g1?033tq8>l4?:181>1bd2?h0qv==a;294?4=<l91;?5r{20b>5<72;0?il489:x77g=83:1>7:i1;:3?x}4:h0;6=4=:5d;>=1<ur99m7>50;090c`=0l1vw><n:183>7<28?0296st33c94?6=:3?;h77l;|y06d<7290968?;:`18y~55i3:1<7<550`9ed=zs:8j6=4?:38667<e92wp??o50;296?35>3h>7pu<2`83>5<52<826o64}z11e?6=83819?m5bc9~64f290;6?4:2g8aa>{|;;k1<7>52;706?e63tq8>l4?:181>0522j>0qv==a;294?4==:21o:5r{20b>5<72;0>?o4la:x77g=83:1>7;<e;ag?x}4:h0;6=4=:462>a6<ur99m7>50;09112=l:1vw><n:183>7<2<>0o:6st33c94?6=:3??m7j6;|y06d<7290968:k:ea8y~55i3:1<7<55429`c=zs:8j6=4?:38616<b:2wp??o50;296?32>3o>7pu<2`83>5<52<?o6hm4}z11e?6=83819;:5f29~64f290;6?4:6`8e=>{|;;k1<7>52;744?77:2wp??o50;296?30;3;;96st33c94?6=:3?<:7??e:x77g=83:1>7;89;325>{|;;k1<7>52;74g?7612wp??o50;296?30n3;:o6st33c94?6=:3?3?7?=4:x77g=83:1>7;7a;304>{|;;k1<7>52;7;`?74;2wp??o50;296?3>93;8n6st33c94?6=:3?247?;7:x77g=83:1>7;6b;37e>{|;;k1<7>52;7:b?72:2wp??o50;296?3f>3;>i6st33c94?6=:3?j57?91:x77g=83:1>7;nd;35=>{|;;k1<7>52;7a0?70=2wp??o50;296?3e?3;<46st33c94?6=:3?in7?70:x77g=83:1>7;l2;3;g>{|;;k1<7>52;7`1?7?n2wp??o50;296?3d13;2;6st33c94?6=:3?o<7?n3:x77g=83:1>7;k3;3b2>{|;;k1<7>52;7g3?7fm2wp??o50;296?3cm3;im6st33c94?6=:3?n=7?md:x77g=83:1>7;j5;3`1>{|;;k1<7>52;7fg?7c92wp??o50;296?3bn3;o86st33c94?6=:3?m?7?kc:x77g=83:1>7;ia;3f<>{|;;k1<7>52;7e`?7bj2wp??o50;296?0793;m?6st33c94?6=:3<;47?if:x77g=83:1>78?b;036>{|;;k1<7>52;43b?47i2wp??o50;296?06>38::6st33c94?6=:3<:57<>9:x77g=83:1>78>d;015>{|;;k1<7>52;410?45l2wp??o50;296?05?388<6st33c94?6=:3<9n7<<8:x77g=83:1>78<2;070>{|;;k1<7>52;401?43?2wp??o50;296?04138?j6st33c94?6=:3<?<7<:b:x77g=83:1>78;3;06a>{|;;k1<7>52;473?41>2wp??o50;296?03m38<>6st33c94?6=:3<>=7<85:x77g=83:1>78:5;04`>{|;;k1<7>52;46g?4?<2wp??o50;296?16139?=6st33c94?6=:3=:n7=;3:x77g=83:1>79>d;171>{|;;k1<7>52;52b?53?2wp??o50;296?15939?56st33c94?6=:3=9?7=;b:x77g=83:1>79=5;17`>{|;;k1<7>52;513?53n2wp??o50;296?15139>=6st33c94?6=:3=9n7=:3:x77g=83:1>79=d;161>{|;;k1<7>52;51b?52?2wp??o50;296?14939>56st33c94?6=:3=8?7=:b:x77g=83:1>79<5;16`>{|;;k1<7>52;503?52n2wp??o50;296?14139==6st33c94?6=:3=8n7=93:x77g=83:1>79<d;151>{|;;k1<7>52;50b?51?2wp??o50;296?13939=56st33c94?6=:3=??7=9b:x77g=83:1>79;5;15`>{|;;k1<7>52;573?51n2wp??o50;296?13139<=6st33c94?6=:3=?n7=83:x77g=83:1>79;d;141>{|;;k1<7>52;57b?50?2wp??o50;296?12939<56st33c94?6=:3=>?7=8b:x77g=83:1>79:5;14`>{|;;k1<7>52;563?50n2wp??o50;296?121393=6st33c94?6=;3=>n7=>:3d8y~55i3:1<7<574a955d<utJK\vsO@ No newline at end of file
This diff has been collapsed as it changes many lines, (504 lines changed) Show them Hide them
@@ -0,0 +1,504
1 <?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
2 <document OS="nt64" product="ISE" version="14.7">
3
4 <!--The data in this file is primarily intended for consumption by Xilinx tools.
5 The structure and the elements are likely to change over the next few releases.
6 This means code written to parse this file will need to be revisited each subsequent release.-->
7
8 <application stringID="Map" timeStamp="Mon Dec 14 11:38:58 2015">
9 <section stringID="User_Env">
10 <table stringID="User_EnvVar">
11 <column stringID="variable"/>
12 <column stringID="value"/>
13 <row stringID="row" value="0">
14 <item stringID="variable" value="Path"/>
15 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt64;C:\Xilinx\14.7\ISE_DS\common\lib\nt64;C:\WinAVR\bin;C:\WinAVR\utils\bin;C:\Program Files\TortoiseSVN\bin;C:\Program Files\doxygen\bin;C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;C:\Program Files (x86)\Microchip\xc8\v1.35\bin;C:\Program Files (x86)\Microchip\xc16\v1.24\bin;c:\MinGW\bin;c:\MinGW\MSYS\1.0\bin"/>
16 </row>
17 <row stringID="row" value="1">
18 <item stringID="variable" value="PATHEXT"/>
19 <item stringID="value" value=".COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC;.PY;.PYW"/>
20 </row>
21 <row stringID="row" value="2">
22 <item stringID="variable" value="XILINX"/>
23 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\"/>
24 </row>
25 <row stringID="row" value="3">
26 <item stringID="variable" value="XILINX_DSP"/>
27 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE"/>
28 </row>
29 <row stringID="row" value="4">
30 <item stringID="variable" value="XILINX_EDK"/>
31 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\EDK"/>
32 </row>
33 <row stringID="row" value="5">
34 <item stringID="variable" value="XILINX_PLANAHEAD"/>
35 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\PlanAhead"/>
36 </row>
37 </table>
38 <item stringID="User_EnvOs" value="OS Information">
39 <item stringID="User_EnvOsname" value="Microsoft , 64-bit"/>
40 <item stringID="User_EnvOsrelease" value="major release (build 9200)"/>
41 </item>
42 <item stringID="User_EnvHost" value="Lenovo-PC"/>
43 <table stringID="User_EnvCpu">
44 <column stringID="arch"/>
45 <column stringID="speed"/>
46 <row stringID="row" value="0">
47 <item stringID="arch" value="Intel(R) Core(TM) i5-4210U CPU @ 1.70GHz"/>
48 <item stringID="speed" value="2394 MHz"/>
49 </row>
50 </table>
51 </section>
52 <section stringID="MAP_OPTION_SUMMARY">
53 <item DEFAULT="high" label="-ol" stringID="MAP_EFFORTLEVEL" value="high"/>
54 <item DEFAULT="0" label="-xt" stringID="MAP_EXTRA_COST_TABLE" value="0"/>
55 <item DEFAULT="OFF" label="-ir" stringID="MAP_IGNORERLOCS" value="OFF"/>
56 <item DEFAULT="OFF" stringID="MAP_LUTCOMPRESSIONMODE" value="OFF"/>
57 <item DEFAULT="0" label="-t" stringID="MAP_PLACERCOSTTABLE" value="1"/>
58 <item DEFAULT="4" label="-r" stringID="MAP_REGORDERING" value="4"/>
59 <item DEFAULT="FALSE" stringID="MAP_REPLICATELUTS" value="TRUE"/>
60 <item DEFAULT="None" label="-intstyle" stringID="MAP_INTSTYLE" value="ise"/>
61 <item DEFAULT="off" label="-lc" stringID="MAP_LUT_COMBINING" value="off"/>
62 <item DEFAULT="None" label="-o" stringID="MAP_OUTFILE" value="BloqueRAM_int_map.ncd"/>
63 <item DEFAULT="false" label="-w" stringID="MAP_OVERWRITE_OUTPUT" value="true"/>
64 <item DEFAULT="off" label="-pr" stringID="MAP_PACK_INTERNAL" value="off"/>
65 <item DEFAULT="None" label="-p" stringID="MAP_PARTNAME" value="xc6slx9-tqg144-3"/>
66 </section>
67 <task stringID="MAP_PACK_REPORT">
68 <item AVAILABLE="11440" dataType="int" label="Number of Slice Registers" stringID="MAP_SLICE_REGISTERS" value="34">
69 <item dataType="int" label="Number of Slice Flip Flops" stringID="MAP_NUM_SLICE_FF" value="34"/>
70 <item dataType="int" stringID="MAP_NUM_SLICE_LATCH" value="0"/>
71 <item dataType="int" stringID="MAP_NUM_SLICE_LATCHTHRU" value="0"/>
72 <item dataType="int" stringID="MAP_NUM_SLICE_LATCHLOGIC" value="0"/>
73 </item>
74 <item AVAILABLE="5720" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="5">
75 <item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="0"/>
76 <item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="3"/>
77 <item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="2"/>
78 <item dataType="int" stringID="MAP_NUM_ROM_O5ONLY" value="0"/>
79 <item dataType="int" stringID="MAP_NUM_ROM_O6ONLY" value="0"/>
80 <item dataType="int" stringID="MAP_NUM_ROM_O5ANDO6" value="0"/>
81 <item dataType="int" stringID="MAP_NUM_DPRAM_O5ONLY" value="0"/>
82 <item dataType="int" stringID="MAP_NUM_DPRAM_O6ONLY" value="0"/>
83 <item dataType="int" stringID="MAP_NUM_DPRAM_O5ANDO6" value="0"/>
84 <item dataType="int" stringID="MAP_NUM_SPRAM_O5ONLY" value="0"/>
85 <item dataType="int" stringID="MAP_NUM_SPRAM_O6ONLY" value="0"/>
86 <item dataType="int" stringID="MAP_NUM_SPRAM_O5ANDO6" value="0"/>
87 <item dataType="int" stringID="MAP_NUM_SRL_O5ONLY" value="0"/>
88 <item dataType="int" stringID="MAP_NUM_SRL_O6ONLY" value="0"/>
89 <item dataType="int" stringID="MAP_NUM_SRL_O5ANDO6" value="0"/>
90 <item dataType="int" stringID="MAP_NUM_LUT_RT_EXO6" value="0"/>
91 <item dataType="int" stringID="MAP_NUM_LUT_RT_EXO5" value="0"/>
92 <item dataType="int" stringID="MAP_NUM_LUT_RT_O5ANDO6" value="0"/>
93 <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_FLOP" value="0"/>
94 <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_CARRY4" value="0"/>
95 <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
96 </item>
97 <item AVAILABLE="102" dataType="int" stringID="MAP_AGG_BONDED_IO" value="33"/>
98 <item AVAILABLE="98" dataType="int" stringID="MAP_AGG_UNBONDED_IO" value="0"/>
99 <item AVAILABLE="0" dataType="int" label="IOB Flip Flops" stringID="MAP_NUM_IOB_FF" value="0"/>
100 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IOB_LATCH" value="0"/>
101 <item AVAILABLE="49" dataType="int" stringID="MAP_NUM_IOBM" value="0"/>
102 <item AVAILABLE="51" dataType="int" stringID="MAP_NUM_BONDED_IOBM" value="0"/>
103 <item AVAILABLE="49" dataType="int" stringID="MAP_NUM_IOBS" value="0"/>
104 <item AVAILABLE="51" dataType="int" stringID="MAP_NUM_BONDED_IOBS" value="0"/>
105 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IPAD" value="0"/>
106 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_IPAD" value="0"/>
107 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_OPAD" value="0"/>
108 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_OPAD" value="0"/>
109 <section stringID="MAP_DESIGN_INFORMATION">
110 <item stringID="MAP_PART" value="6slx9tqg144-3"/>
111 <item stringID="MAP_DEVICE" value="xc6slx9"/>
112 <item stringID="MAP_ARCHITECTURE" value="spartan6"/>
113 <item stringID="MAP_PACKAGE" value="tqg144"/>
114 <item stringID="MAP_SPEED" value="-3"/>
115 </section>
116 <section stringID="MAP_DESIGN_SUMMARY">
117 <item dataType="int" stringID="MAP_NUM_ERRORS" value="0"/>
118 <item dataType="int" stringID="MAP_FILTERED_WARNINGS" value="0"/>
119 <item dataType="int" stringID="MAP_NUM_WARNINGS" value="0"/>
120 <item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="338216"/>
121 <item stringID="MAP_TOTAL_REAL_TIME" value="13 secs "/>
122 <item stringID="MAP_TOTAL_CPU_TIME" value="8 secs "/>
123 </section>
124 <section stringID="MAP_SLICE_REPORTING">
125 <item AVAILABLE="11440" dataType="int" label="Number of Slice Registers" stringID="MAP_SLICE_REGISTERS" value="34">
126 <item dataType="int" label="Number of Slice Flip Flops" stringID="MAP_NUM_SLICE_FF" value="34"/>
127 <item dataType="int" stringID="MAP_NUM_SLICE_LATCH" value="0"/>
128 <item dataType="int" stringID="MAP_NUM_SLICE_LATCHTHRU" value="0"/>
129 <item dataType="int" stringID="MAP_NUM_SLICE_LATCHLOGIC" value="0"/>
130 </item>
131 <item AVAILABLE="5720" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="5">
132 <item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="0"/>
133 <item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="3"/>
134 <item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="2"/>
135 <item dataType="int" stringID="MAP_NUM_ROM_O5ONLY" value="0"/>
136 <item dataType="int" stringID="MAP_NUM_ROM_O6ONLY" value="0"/>
137 <item dataType="int" stringID="MAP_NUM_ROM_O5ANDO6" value="0"/>
138 <item dataType="int" stringID="MAP_NUM_DPRAM_O5ONLY" value="0"/>
139 <item dataType="int" stringID="MAP_NUM_DPRAM_O6ONLY" value="0"/>
140 <item dataType="int" stringID="MAP_NUM_DPRAM_O5ANDO6" value="0"/>
141 <item dataType="int" stringID="MAP_NUM_SPRAM_O5ONLY" value="0"/>
142 <item dataType="int" stringID="MAP_NUM_SPRAM_O6ONLY" value="0"/>
143 <item dataType="int" stringID="MAP_NUM_SPRAM_O5ANDO6" value="0"/>
144 <item dataType="int" stringID="MAP_NUM_SRL_O5ONLY" value="0"/>
145 <item dataType="int" stringID="MAP_NUM_SRL_O6ONLY" value="0"/>
146 <item dataType="int" stringID="MAP_NUM_SRL_O5ANDO6" value="0"/>
147 <item dataType="int" stringID="MAP_NUM_LUT_RT_EXO6" value="0"/>
148 <item dataType="int" stringID="MAP_NUM_LUT_RT_EXO5" value="0"/>
149 <item dataType="int" stringID="MAP_NUM_LUT_RT_O5ANDO6" value="0"/>
150 <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_FLOP" value="0"/>
151 <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_CARRY4" value="0"/>
152 <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
153 </item>
154 <item AVAILABLE="1430" dataType="int" label="Number of occupied Slices" stringID="MAP_OCCUPIED_SLICES" value="11">
155 <item AVAILABLE="355" dataType="int" stringID="MAP_NUM_SLICEL" value="0"/>
156 <item AVAILABLE="360" dataType="int" stringID="MAP_NUM_SLICEM" value="0"/>
157 <item AVAILABLE="715" dataType="int" stringID="MAP_NUM_SLICEX" value="11"/>
158 </item>
159 <item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="MAP_OCCUPIED_LUT_AND_FF" value="37">
160 <item dataType="int" stringID="MAP_OCCUPIED_LUT_ONLY" value="3"/>
161 <item dataType="int" label="Number with an unused LUT" stringID="MAP_OCCUPIED_FF_ONLY" value="32"/>
162 <item dataType="int" label="Number of fully used LUT-FF pairs" stringID="MAP_OCCUPIED_FF_AND_LUT" value="2"/>
163 </item>
164 </section>
165 <section stringID="MAP_IOB_REPORTING">
166 <item AVAILABLE="102" dataType="int" stringID="MAP_AGG_BONDED_IO" value="33"/>
167 <item AVAILABLE="98" dataType="int" stringID="MAP_AGG_UNBONDED_IO" value="0"/>
168 <item AVAILABLE="0" dataType="int" label="IOB Flip Flops" stringID="MAP_NUM_IOB_FF" value="0"/>
169 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IOB_LATCH" value="0"/>
170 <item AVAILABLE="49" dataType="int" stringID="MAP_NUM_IOBM" value="0"/>
171 <item AVAILABLE="51" dataType="int" stringID="MAP_NUM_BONDED_IOBM" value="0"/>
172 <item AVAILABLE="49" dataType="int" stringID="MAP_NUM_IOBS" value="0"/>
173 <item AVAILABLE="51" dataType="int" stringID="MAP_NUM_BONDED_IOBS" value="0"/>
174 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IPAD" value="0"/>
175 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_IPAD" value="0"/>
176 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_OPAD" value="0"/>
177 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_OPAD" value="0"/>
178 </section>
179 <section stringID="MAP_HARD_IP_REPORTING"/>
180 <section stringID="MAP_RAM_FIFO_DATA">
181 <item AVAILABLE="32" dataType="int" stringID="MAP_NUM_RAMB16BWER" value="1"/>
182 <item AVAILABLE="64" dataType="int" stringID="MAP_NUM_RAMB8BWER" value="0"/>
183 </section>
184 <section stringID="MAP_IP_DATA">
185 <item AVAILABLE="4" dataType="int" stringID="MAP_NUM_BSCAN" value="0"/>
186 <item AVAILABLE="128" dataType="int" stringID="MAP_NUM_BUFH" value="0"/>
187 <item AVAILABLE="8" dataType="int" stringID="MAP_NUM_BUFPLL" value="0"/>
188 <item AVAILABLE="4" dataType="int" stringID="MAP_NUM_BUFPLL_MCB" value="0"/>
189 <item AVAILABLE="16" dataType="int" stringID="MAP_NUM_DSP48A1" value="0"/>
190 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_GTPA1_DUAL" value="0"/>
191 <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_ICAP" value="0"/>
192 <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_MCB" value="0"/>
193 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_PCIE_A1" value="0"/>
194 <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_PCILOGICSE" value="0"/>
195 <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_PLL_ADV" value="0"/>
196 <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_PMV" value="0"/>
197 <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_STARTUP" value="0"/>
198 <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_SUSPEND_SYNC" value="0"/>
199 </section>
200 <section stringID="MAP_BUFG_DATA">
201 <item dataType="int" label="Number used as BUFGs" stringID="MAP_NUM_BUFG" value="1"/>
202 <item dataType="int" label="Number of BUFGMUXs" stringID="MAP_NUM_BUFGMUX" value="0"/>
203 <item dataType="int" stringID="MAP_AVAILABLE" value="16"/>
204 </section>
205 <section stringID="MAP_MACRO_RPM_REPORTING">
206 <item dataType="int" stringID="MAP_HARD_MACROS" value="0"/>
207 <item dataType="int" stringID="MAP_RPMS" value="0"/>
208 </section>
209 <section stringID="MAP_IOB_PROPERTIES">
210 <table stringID="MAP_IOB_TABLE">
211 <column label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME"/>
212 <column stringID="Type"/>
213 <column stringID="Direction"/>
214 <column label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD"/>
215 <column label="Diff&#xA;Term" stringID="DIFF_TERM"/>
216 <column label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH"/>
217 <column label="Slew&#xA;Rate" stringID="SLEW_RATE"/>
218 <column label="Reg&#xA;(s)" stringID="REGS"/>
219 <column stringID="Resistor"/>
220 <column label="IOB&#xA;Delay" stringID="IOB_DELAY"/>
221 <row stringID="row" value="1">
222 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;0>"/>
223 <item stringID="Type" value="IOB"/>
224 <item stringID="Direction" value="INPUT"/>
225 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
226 </row>
227 <row stringID="row" value="2">
228 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;1>"/>
229 <item stringID="Type" value="IOB"/>
230 <item stringID="Direction" value="INPUT"/>
231 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
232 </row>
233 <row stringID="row" value="3">
234 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;2>"/>
235 <item stringID="Type" value="IOB"/>
236 <item stringID="Direction" value="INPUT"/>
237 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
238 </row>
239 <row stringID="row" value="4">
240 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;3>"/>
241 <item stringID="Type" value="IOB"/>
242 <item stringID="Direction" value="INPUT"/>
243 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
244 </row>
245 <row stringID="row" value="5">
246 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;4>"/>
247 <item stringID="Type" value="IOB"/>
248 <item stringID="Direction" value="INPUT"/>
249 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
250 </row>
251 <row stringID="row" value="6">
252 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;5>"/>
253 <item stringID="Type" value="IOB"/>
254 <item stringID="Direction" value="INPUT"/>
255 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
256 </row>
257 <row stringID="row" value="7">
258 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;6>"/>
259 <item stringID="Type" value="IOB"/>
260 <item stringID="Direction" value="INPUT"/>
261 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
262 </row>
263 <row stringID="row" value="8">
264 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;7>"/>
265 <item stringID="Type" value="IOB"/>
266 <item stringID="Direction" value="INPUT"/>
267 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
268 </row>
269 <row stringID="row" value="9">
270 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;8>"/>
271 <item stringID="Type" value="IOB"/>
272 <item stringID="Direction" value="INPUT"/>
273 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
274 </row>
275 <row stringID="row" value="10">
276 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ADDR_RAM&lt;9>"/>
277 <item stringID="Type" value="IOB"/>
278 <item stringID="Direction" value="INPUT"/>
279 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
280 </row>
281 <row stringID="row" value="11">
282 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="CS_2"/>
283 <item stringID="Type" value="IOB"/>
284 <item stringID="Direction" value="INPUT"/>
285 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
286 </row>
287 <row stringID="row" value="12">
288 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="CS_1_bar"/>
289 <item stringID="Type" value="IOB"/>
290 <item stringID="Direction" value="INPUT"/>
291 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
292 </row>
293 <row stringID="row" value="13">
294 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;0>"/>
295 <item stringID="Type" value="IOB"/>
296 <item stringID="Direction" value="BIDIR"/>
297 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
298 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
299 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
300 </row>
301 <row stringID="row" value="14">
302 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;1>"/>
303 <item stringID="Type" value="IOB"/>
304 <item stringID="Direction" value="BIDIR"/>
305 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
306 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
307 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
308 </row>
309 <row stringID="row" value="15">
310 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;2>"/>
311 <item stringID="Type" value="IOB"/>
312 <item stringID="Direction" value="BIDIR"/>
313 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
314 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
315 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
316 </row>
317 <row stringID="row" value="16">
318 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;3>"/>
319 <item stringID="Type" value="IOB"/>
320 <item stringID="Direction" value="BIDIR"/>
321 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
322 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
323 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
324 </row>
325 <row stringID="row" value="17">
326 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;4>"/>
327 <item stringID="Type" value="IOB"/>
328 <item stringID="Direction" value="BIDIR"/>
329 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
330 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
331 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
332 </row>
333 <row stringID="row" value="18">
334 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;5>"/>
335 <item stringID="Type" value="IOB"/>
336 <item stringID="Direction" value="BIDIR"/>
337 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
338 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
339 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
340 </row>
341 <row stringID="row" value="19">
342 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;6>"/>
343 <item stringID="Type" value="IOB"/>
344 <item stringID="Direction" value="BIDIR"/>
345 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
346 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
347 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
348 </row>
349 <row stringID="row" value="20">
350 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;7>"/>
351 <item stringID="Type" value="IOB"/>
352 <item stringID="Direction" value="BIDIR"/>
353 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
354 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
355 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
356 </row>
357 <row stringID="row" value="21">
358 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;8>"/>
359 <item stringID="Type" value="IOB"/>
360 <item stringID="Direction" value="BIDIR"/>
361 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
362 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
363 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
364 </row>
365 <row stringID="row" value="22">
366 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;9>"/>
367 <item stringID="Type" value="IOB"/>
368 <item stringID="Direction" value="BIDIR"/>
369 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
370 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
371 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
372 </row>
373 <row stringID="row" value="23">
374 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;10>"/>
375 <item stringID="Type" value="IOB"/>
376 <item stringID="Direction" value="BIDIR"/>
377 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
378 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
379 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
380 </row>
381 <row stringID="row" value="24">
382 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;11>"/>
383 <item stringID="Type" value="IOB"/>
384 <item stringID="Direction" value="BIDIR"/>
385 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
386 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
387 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
388 </row>
389 <row stringID="row" value="25">
390 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;12>"/>
391 <item stringID="Type" value="IOB"/>
392 <item stringID="Direction" value="BIDIR"/>
393 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
394 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
395 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
396 </row>
397 <row stringID="row" value="26">
398 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;13>"/>
399 <item stringID="Type" value="IOB"/>
400 <item stringID="Direction" value="BIDIR"/>
401 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
402 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
403 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
404 </row>
405 <row stringID="row" value="27">
406 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;14>"/>
407 <item stringID="Type" value="IOB"/>
408 <item stringID="Direction" value="BIDIR"/>
409 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
410 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
411 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
412 </row>
413 <row stringID="row" value="28">
414 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="DATA_RAM&lt;15>"/>
415 <item stringID="Type" value="IOB"/>
416 <item stringID="Direction" value="BIDIR"/>
417 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
418 <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
419 <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
420 </row>
421 <row stringID="row" value="29">
422 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="LB_bar"/>
423 <item stringID="Type" value="IOB"/>
424 <item stringID="Direction" value="INPUT"/>
425 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
426 </row>
427 <row stringID="row" value="30">
428 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="OE_bar"/>
429 <item stringID="Type" value="IOB"/>
430 <item stringID="Direction" value="INPUT"/>
431 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
432 </row>
433 <row stringID="row" value="31">
434 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="UB_bar"/>
435 <item stringID="Type" value="IOB"/>
436 <item stringID="Direction" value="INPUT"/>
437 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
438 </row>
439 <row stringID="row" value="32">
440 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="WEA_bar"/>
441 <item stringID="Type" value="IOB"/>
442 <item stringID="Direction" value="INPUT"/>
443 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
444 </row>
445 <row stringID="row" value="33">
446 <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="clk_main"/>
447 <item stringID="Type" value="IOB"/>
448 <item stringID="Direction" value="INPUT"/>
449 <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
450 </row>
451 </table>
452 </section>
453 <section stringID="MAP_RPM_MACROS">
454 <section stringID="MAP_SHAPE_SECTION">
455 <item dataType="int" stringID="MAP_NUM_SHAPE" value="0"/>
456 </section>
457 </section>
458 <section stringID="MAP_GUIDE_REPORT"/>
459 <section stringID="MAP_AREA_GROUPS_PARTITIONS"/>
460 <section stringID="MAP_TIMING_REPORT"/>
461 <section stringID="MAP_CONFIGURATION_STRING_DETAILS"/>
462 <section stringID="MAP_GENERAL_CONFIG_DATA"/>
463 <section stringID="MAP_CONTROL_SET_INFORMATION">
464 <item dataType="int" label="Number of unique control sets" stringID="MAP_NUM_CONTROL_SETS" value="4"/>
465 <tree stringID="MAP_CONTROL_SET_HIERARCHY">
466 <property stringID="MAP_CLOCK_SIGNAL"/>
467 <property stringID="MAP_RESET_SIGNAL"/>
468 <property stringID="MAP_SET_SIGNAL"/>
469 <property stringID="MAP_ENABLE_SIGNAL"/>
470 <property label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT"/>
471 <property label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT"/>
472 </tree>
473 </section>
474 </task>
475 <section stringID="MAP_RAM_FIFO_DATA">
476 <item AVAILABLE="32" dataType="int" stringID="MAP_NUM_RAMB16BWER" value="1"/>
477 <item AVAILABLE="64" dataType="int" stringID="MAP_NUM_RAMB8BWER" value="0"/>
478 </section>
479 <section stringID="MAP_IP_DATA">
480 <item AVAILABLE="4" dataType="int" stringID="MAP_NUM_BSCAN" value="0"/>
481 <item AVAILABLE="128" dataType="int" stringID="MAP_NUM_BUFH" value="0"/>
482 <item AVAILABLE="8" dataType="int" stringID="MAP_NUM_BUFPLL" value="0"/>
483 <item AVAILABLE="4" dataType="int" stringID="MAP_NUM_BUFPLL_MCB" value="0"/>
484 <item AVAILABLE="16" dataType="int" stringID="MAP_NUM_DSP48A1" value="0"/>
485 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_GTPA1_DUAL" value="0"/>
486 <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_ICAP" value="0"/>
487 <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_MCB" value="0"/>
488 <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_PCIE_A1" value="0"/>
489 <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_PCILOGICSE" value="0"/>
490 <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_PLL_ADV" value="0"/>
491 <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_PMV" value="0"/>
492 <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_STARTUP" value="0"/>
493 <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_SUSPEND_SYNC" value="0"/>
494 </section>
495 <section stringID="MAP_BUFG_DATA">
496 <item dataType="int" label="Number used as BUFGs" stringID="MAP_NUM_BUFG" value="1"/>
497 <item dataType="int" label="Number of BUFGMUXs" stringID="MAP_NUM_BUFGMUX" value="0"/>
498 <item dataType="int" stringID="MAP_AVAILABLE" value="16"/>
499 <item dataType="int" stringID="MAP_HARD_MACROS" value="0"/>
500 <item dataType="int" stringID="MAP_RPMS" value="0"/>
501 </section>
502 </application>
503
504 </document>
@@ -0,0 +1,102
1 <?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
2 <document OS="nt64" product="ISE" version="14.7">
3
4 <!--The data in this file is primarily intended for consumption by Xilinx tools.
5 The structure and the elements are likely to change over the next few releases.
6 This means code written to parse this file will need to be revisited each subsequent release.-->
7
8 <application stringID="NgdBuild" timeStamp="Mon Dec 14 11:38:38 2015">
9 <section stringID="User_Env">
10 <table stringID="User_EnvVar">
11 <column stringID="variable"/>
12 <column stringID="value"/>
13 <row stringID="row" value="0">
14 <item stringID="variable" value="Path"/>
15 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;C:\Xilinx\14.7\ISE_DS\common\bin\nt64;C:\Xilinx\14.7\ISE_DS\common\lib\nt64;C:\WinAVR\bin;C:\WinAVR\utils\bin;C:\Program Files\TortoiseSVN\bin;C:\Program Files\doxygen\bin;C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;C:\Program Files (x86)\Microchip\xc8\v1.35\bin;C:\Program Files (x86)\Microchip\xc16\v1.24\bin;c:\MinGW\bin;c:\MinGW\MSYS\1.0\bin"/>
16 </row>
17 <row stringID="row" value="1">
18 <item stringID="variable" value="PATHEXT"/>
19 <item stringID="value" value=".COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC;.PY;.PYW"/>
20 </row>
21 <row stringID="row" value="2">
22 <item stringID="variable" value="XILINX"/>
23 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE\"/>
24 </row>
25 <row stringID="row" value="3">
26 <item stringID="variable" value="XILINX_DSP"/>
27 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\ISE"/>
28 </row>
29 <row stringID="row" value="4">
30 <item stringID="variable" value="XILINX_EDK"/>
31 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\EDK"/>
32 </row>
33 <row stringID="row" value="5">
34 <item stringID="variable" value="XILINX_PLANAHEAD"/>
35 <item stringID="value" value="C:\Xilinx\14.7\ISE_DS\PlanAhead"/>
36 </row>
37 </table>
38 <item stringID="User_EnvOs" value="OS Information">
39 <item stringID="User_EnvOsname" value="Microsoft , 64-bit"/>
40 <item stringID="User_EnvOsrelease" value="major release (build 9200)"/>
41 </item>
42 <item stringID="User_EnvHost" value="Lenovo-PC"/>
43 <table stringID="User_EnvCpu">
44 <column stringID="arch"/>
45 <column stringID="speed"/>
46 <row stringID="row" value="0">
47 <item stringID="arch" value="Intel(R) Core(TM) i5-4210U CPU @ 1.70GHz"/>
48 <item stringID="speed" value="2394 MHz"/>
49 </row>
50 </table>
51 </section>
52 <task stringID="NGDBUILD_OPTION_SUMMARY">
53 <section stringID="NGDBUILD_OPTION_SUMMARY">
54 <item DEFAULT="None" label="-intstyle" stringID="NGDBUILD_intstyle" value="ise"/>
55 <item DEFAULT="None" label="-dd" stringID="NGDBUILD_output_dir" value="_ngo"/>
56 <item DEFAULT="None" label="-p" stringID="NGDBUILD_partname" value="xc6slx9-tqg144-3"/>
57 </section>
58 </task>
59 <task stringID="NGDBUILD_REPORT">
60 <section stringID="NGDBUILD_DESIGN_SUMMARY">
61 <item dataType="int" stringID="NGDBUILD_NUM_ERRORS" value="0"/>
62 <item dataType="int" stringID="NGDBUILD_FILTERED_WARNINGS" value="0"/>
63 <item dataType="int" stringID="NGDBUILD_NUM_WARNINGS" value="0"/>
64 <item dataType="int" stringID="NGDBUILD_FILTERED_INFOS" value="0"/>
65 <item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
66 </section>
67 <section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
68 <item dataType="int" stringID="NGDBUILD_NUM_BUFGP" value="1"/>
69 <item dataType="int" stringID="NGDBUILD_NUM_FDC" value="18"/>
70 <item dataType="int" stringID="NGDBUILD_NUM_FDE" value="16"/>
71 <item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
72 <item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="16"/>
73 <item dataType="int" stringID="NGDBUILD_NUM_INV" value="3"/>
74 <item dataType="int" stringID="NGDBUILD_NUM_IOBUF" value="16"/>
75 <item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="1"/>
76 <item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="2"/>
77 <item dataType="int" stringID="NGDBUILD_NUM_LUT5" value="2"/>
78 <item dataType="int" stringID="NGDBUILD_NUM_RAMB16BWER" value="1"/>
79 <item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
80 </section>
81 <section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
82 <item dataType="int" stringID="NGDBUILD_NUM_BUFG" value="1"/>
83 <item dataType="int" stringID="NGDBUILD_NUM_FDC" value="18"/>
84 <item dataType="int" stringID="NGDBUILD_NUM_FDE" value="16"/>
85 <item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
86 <item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="32"/>
87 <item dataType="int" stringID="NGDBUILD_NUM_IBUFG" value="1"/>
88 <item dataType="int" stringID="NGDBUILD_NUM_INV" value="3"/>
89 <item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="1"/>
90 <item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="2"/>
91 <item dataType="int" stringID="NGDBUILD_NUM_LUT5" value="2"/>
92 <item dataType="int" stringID="NGDBUILD_NUM_OBUFT" value="16"/>
93 <item dataType="int" stringID="NGDBUILD_NUM_RAMB16BWER" value="1"/>
94 <item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
95 </section>
96 <section stringID="NGDBUILD_CORE_GENERATION_SUMMARY">
97 <section stringID="NGDBUILD_CORE_INSTANCES"/>
98 </section>
99 </task>
100 </application>
101
102 </document>
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
1 NO CONTENT: new file 10644
The requested commit or file is too big and content was truncated. Show full diff
General Comments 0
You need to be logged in to leave comments. Login now