Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/DCM_fwd_int.vhd =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/DCM_fwd_int.vhd b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/DCM_fwd_int.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/DCM_fwd_int.vhd (revision 225) @@ -0,0 +1,93 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 17:23:06 02/16/2016 +-- Design Name: +-- Module Name: DCM_fwd_int - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity DCM_fwd_int is +PORT( + clk_main_io: IN std_logic; + c_200MHz_in: OUT std_logic +); +end DCM_fwd_int; + +architecture Behavioral of DCM_fwd_int is +SIGNAL clk_main: std_logic; +SIGNAL c_16MHz: std_logic; + +SIGNAL clk_fb_aux: std_logic; +SIGNAL clk_fb_aux_200MHz: std_logic; + +SIGNAL c_16MHz_inv: std_logic; + +begin + + + +DCM_SP_inst_int : DCM_SP + generic map ( + CLKDV_DIVIDE => 2.0, -- CLKDV divide value + -- (1.5,2,2.5,3,3.5,4,4.5,5,5.5,6,6.5,7,7.5,8,9,10,11,12,13,14,15,16). + CLKFX_DIVIDE => 1, -- Divide value on CLKFX outputs - D - (1-32) + CLKFX_MULTIPLY => 4, -- Multiply value on CLKFX outputs - M - (2-32) + CLKIN_DIVIDE_BY_2 => FALSE, -- CLKIN divide by two (TRUE/FALSE) + CLKIN_PERIOD => 16.667, -- Input clock period specified in nS + CLKOUT_PHASE_SHIFT => "NONE", -- Output phase shift (NONE, FIXED, VARIABLE) + CLK_FEEDBACK => "1X", -- Feedback source (NONE, 1X, 2X) + DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SYSTEM_SYNCHRNOUS or SOURCE_SYNCHRONOUS + DFS_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value + DLL_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value + DSS_MODE => "NONE", -- Unsupported - Do not change value + DUTY_CYCLE_CORRECTION => TRUE, -- Unsupported - Do not change value + FACTORY_JF => X"c080", -- Unsupported - Do not change value + PHASE_SHIFT => 0, -- Amount of fixed phase shift (-255 to 255) + STARTUP_WAIT => FALSE -- Delay config DONE until DCM_SP LOCKED (TRUE/FALSE) + ) + port map ( + CLK0 => clk_fb_aux_200MHz, -- 1-bit output: 0 degree clock output + CLK180 => open, -- 1-bit output: 180 degree clock output + CLK270 => open, -- 1-bit output: 270 degree clock output + CLK2X => open, -- 1-bit output: 2X clock frequency clock output + CLK2X180 => open, -- 1-bit output: 2X clock frequency, 180 degree clock output + CLK90 => open, -- 1-bit output: 90 degree clock output + CLKDV => open, -- 1-bit output: Divided clock output + CLKFX => c_200MHz_in, -- 1-bit output: Digital Frequency Synthesizer output (DFS) + CLKFX180 => open, -- 1-bit output: 180 degree CLKFX output + LOCKED => open, -- 1-bit output: DCM_SP Lock Output + PSDONE => open, -- 1-bit output: Phase shift done output + STATUS => open, -- 8-bit output: DCM_SP status output + CLKFB => clk_fb_aux_200MHz, -- 1-bit input: Clock feedback input + CLKIN => clk_main_io, -- 1-bit input: Clock input + DSSEN => '0', -- 1-bit input: Unsupported, specify to GND. + PSCLK => '1', -- 1-bit input: Phase shift clock input + PSEN => '0', -- 1-bit input: Phase shift enable + PSINCDEC => '0', -- 1-bit input: Phase shift increment/decrement input + RST => '0' -- 1-bit input: Active high reset input + ); + +end Behavioral; Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/Data_Req_gen.vhd =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/Data_Req_gen.vhd b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/Data_Req_gen.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/Data_Req_gen.vhd (revision 225) @@ -0,0 +1,116 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:47:34 02/08/2017 +-- Design Name: +-- Module Name: Data_Req_gen - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity Data_Req_gen is + GENERIC ( + --CLOCKS_COUNT_1KSPS: INTEGER :=240000; --For 240 000 + --CYCLES_COUNT_DUTY_1KSPS: INTEGER :=2400; --For 2400 + --PULSES_COUNT_1KSPS: INTEGER :=1000; --For 1 000 + + NBITS_PERIOD: INTEGER :=18; + NBITS_DUTY: INTEGER :=12; + NBITS_PULSES: INTEGER :=10 + ); + PORT( + c_200MHz_in : IN std_logic; + reset_int : IN std_logic; + pps : IN std_logic; + data_req : OUT std_logic + ); +end Data_Req_gen; + +architecture Behavioral of Data_Req_gen is +--Constantes +CONSTANT LIM_COUNT_PERIOD : std_logic_vector((NBITS_PERIOD-1) downto 0) := "111010100100000000"; --240000 +--CONSTANT LIM_COUNT_DUTY : std_logic_vector((NBITS_DUTY-1) downto 0) := "100101100000"; --2400 +CONSTANT LIM_COUNT_DUTY : std_logic_vector((NBITS_PERIOD-1) downto 0) := "011101010011000000"; +CONSTANT LIM_COUNT_PULSES : std_logic_vector((NBITS_PULSES-1) downto 0) := "1111101001"; --1000 +--CONSTANT LIM_COUNT_PULSES : std_logic_vector((2-1) downto 0) := "11"; +--Contadores de ciclos de reloj(count_clock) y pulsos generados(count_cycle) +SIGNAL count_clock : std_logic_vector((NBITS_PERIOD-1) downto 0) :="000000000000000000"; --"00 0000 0000 0000 0000" +SIGNAL count_pulses : std_logic_vector(9 downto 0) :="0000000000"; --"00 0000 0000" +--SIGNAL count_pulses : std_logic_vector(1 downto 0) :=(OTHERS =>'0'); --"00" +--Registro para deteccion de flanco +SIGNAL pps_r: std_logic_vector(1 downto 0) :="00"; +SIGNAL pps_rising : std_logic := '0'; +SIGNAL data_req_s: std_logic :='0'; + +begin + +--Deteccion de flanco de pps +pps_bloco: PROCESS(c_200MHz_in) + BEGIN + IF (rising_edge(c_200MHz_in)) THEN + IF(reset_int = '0') THEN + pps_r <= (OTHERS=>'0'); + ELSE + pps_r <= pps_r(0) & pps; + IF(pps_r(1 downto 0) = "01") THEN + pps_rising <= '1'; + ELSE + pps_rising <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + +out_generator: PROCESS(c_200MHz_in) + BEGIN + IF(rising_edge(c_200MHz_in)) THEN + IF(pps_rising = '1') THEN + data_req_s <= '1'; + count_clock <= (OTHERS=>'0'); + count_pulses <= "0000000001"; + ELSE + IF(count_pulses = LIM_COUNT_PULSES) THEN + data_req_s<= '0'; + ELSE + IF(count_clock = LIM_COUNT_PERIOD) THEN + --data_req<= '1'; + count_clock <= (OTHERS=>'0'); + count_pulses <= std_logic_vector(unsigned(count_pulses)+1); + ELSIF(count_clock = LIM_COUNT_DUTY) THEN + data_req_s<= '0'; + count_clock <= std_logic_vector(unsigned(count_clock)+1); + ELSIF(count_clock = "000000000000000000" AND count_pulses /= "00") THEN + data_req_s<= '1'; + count_clock <= std_logic_vector(unsigned(count_clock)+1); + ELSE + count_clock <= std_logic_vector(unsigned(count_clock)+1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +data_req <= data_req_s; +end Behavioral; \ No newline at end of file Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/DtoDPRAM.vhd =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/DtoDPRAM.vhd b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/DtoDPRAM.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/DtoDPRAM.vhd (revision 225) @@ -0,0 +1,656 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:24:04 02/21/2017 +-- Design Name: +-- Module Name: DtoDPRAM - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity DtoDPRAM is +GENERIC( + ADDR_LENGTH: INTEGER := 12; + DATAOUT_LENGTH: INTEGER := 8; + DATAIN_LENGTH: INTEGER := 40; + CHAN_NUM: INTEGER := 5; + COUNT_BYTE_SZ: INTEGER := 3; + COUNT_PACK_SZ: INTEGER := 6 + +); + +PORT( + --Reset general + rst_bar: IN std_logic; + + --Reset general + data_req: IN std_logic; + + --Entrada de reloj principal + clk_main: IN std_logic; + + --Entradas para indicar dato preparado por leer + --Desde los nibble to packets + ch_rdy_vector: IN std_logic_vector((CHAN_NUM-1) downto 0); + + --Senhal para que indica que termino con una peticion + --Hacia los nibble to packets + ch_ack_vector: OUT std_logic_vector((CHAN_NUM-1) downto 0); + + --Data IN + datach1: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach2: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach3: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach4: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach5: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + + --DPRAM senhales de control, direccion y data + --Direccion de escritura/lectura + addrA: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); + dataA: OUT std_logic_vector((DATAOUT_LENGTH-1) downto 0); + enA: OUT std_logic; + --Aviso de Paquete de 40 muestras solicitadas a los 5 canales + rpi_req: OUT std_logic + + ); +end DtoDPRAM; + +architecture Behavioral of DtoDPRAM is +SIGNAL datach1_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0'); +SIGNAL datach2_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0'); +SIGNAL datach3_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0'); +SIGNAL datach4_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0'); +SIGNAL datach5_aux: std_logic_vector((DATAOUT_LENGTH-1) downto 0) := (OTHERS=>'0'); + +--Estados del controlador de memoria +--Incluye el estado de espera, proceso de borrado, espera de "listos" para llenado de memoria +--espera de pedido y estados para proceder a una lectura +TYPE ram_cont_states IS (idle, + + ------------------------------------------ + pre_ch1, wr_ch1, end_wrt_ch_1, + ------------------------------------------ + pre_ch2, wr_ch2, end_wrt_ch_2, + ------------------------------------------ + pre_ch3, wr_ch3, end_wrt_ch_3, + ------------------------------------------ + pre_ch4, wr_ch4, end_wrt_ch_4, + ------------------------------------------ + pre_ch5, wr_ch5, end_wrt_ch_5 + + ); + +SIGNAL ram_contr_cur_state: ram_cont_states := idle; +SIGNAL ram_contr_next_state: ram_cont_states := idle; + +---------------------------------- +--Estados de la atencion a ready's +TYPE chn_cont_states IS ( idle, + checking_ch1, attending_ch1, + checking_ch2, attending_ch2, + checking_ch3, attending_ch3, + checking_ch4, attending_ch4, + checking_ch5, attending_ch5 + ); + +SIGNAL ch_cont_cur_state: chn_cont_states := idle; +SIGNAL ch_cont_next_state: chn_cont_states := idle; + +SIGNAL count_ch_byte: std_logic_vector((COUNT_BYTE_SZ-1) downto 0) := (OTHERS=>'0'); + +--Direcciones base por canal +CONSTANT BASE_ADDR_CH1: std_logic_vector((ADDR_LENGTH-1) downto 0) := (OTHERS=>'0'); +CONSTANT BASE_ADDR_CH2: std_logic_vector((ADDR_LENGTH-1) downto 0) := "000011001000"; --200 +CONSTANT BASE_ADDR_CH3: std_logic_vector((ADDR_LENGTH-1) downto 0) := "000110010000"; --400 +CONSTANT BASE_ADDR_CH4: std_logic_vector((ADDR_LENGTH-1) downto 0) := "001001011000"; --600 +CONSTANT BASE_ADDR_CH5: std_logic_vector((ADDR_LENGTH-1) downto 0) := "001100100000"; --800 + +CONSTANT BASE_BUFFER_2: std_logic_vector((ADDR_LENGTH-1) downto 0) := "010000000000"; --1024 + +SIGNAL sel_buf: std_logic := '0'; +SIGNAL count_pack: std_logic_vector((COUNT_PACK_SZ-1) downto 0) := (OTHERS => '0'); +begin + +--------------------- +--Estados para atencion de canales +ch_cont_cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + ch_cont_cur_state <= idle; + ELSE + ch_cont_cur_state <= ch_cont_next_state; + END IF; + END IF; + END PROCESS; + +ch_salidas_estados: PROCESS(ch_cont_cur_state, + ch_rdy_vector, + ram_contr_cur_state + ) + BEGIN + + CASE ch_cont_cur_state IS + WHEN idle => + ch_cont_next_state <= checking_ch1; + + WHEN checking_ch1 => + IF(ch_rdy_vector(0) = '1') THEN + ch_cont_next_state <= attending_ch1; + ELSE + ch_cont_next_state <= checking_ch2; + END IF; + + WHEN attending_ch1 => + IF(ram_contr_cur_state = end_wrt_ch_1) THEN + ch_cont_next_state <= checking_ch2; + ELSE + ch_cont_next_state <= attending_ch1; + END IF; + + WHEN checking_ch2 => + IF(ch_rdy_vector(1) = '1') THEN + ch_cont_next_state <= attending_ch2; + ELSE + ch_cont_next_state <= checking_ch3; + END IF; + + WHEN attending_ch2 => + IF(ram_contr_cur_state = end_wrt_ch_2) THEN + ch_cont_next_state <= checking_ch3; + ELSE + ch_cont_next_state <= attending_ch2; + END IF; + + WHEN checking_ch3 => + IF(ch_rdy_vector(2) = '1') THEN + ch_cont_next_state <= attending_ch3; + ELSE + ch_cont_next_state <= checking_ch4; + END IF; + + WHEN attending_ch3 => + IF(ram_contr_cur_state = end_wrt_ch_3) THEN + ch_cont_next_state <= checking_ch4; + ELSE + ch_cont_next_state <= attending_ch3; + END IF; + + WHEN checking_ch4 => + IF(ch_rdy_vector(3) = '1') THEN + ch_cont_next_state <= attending_ch4; + ELSE + ch_cont_next_state <= checking_ch5; + END IF; + + WHEN attending_ch4 => + IF(ram_contr_cur_state = end_wrt_ch_4) THEN + ch_cont_next_state <= checking_ch5; + ELSE + ch_cont_next_state <= attending_ch4; + END IF; + + WHEN checking_ch5 => + IF(ch_rdy_vector(4) = '1') THEN + ch_cont_next_state <= attending_ch5; + ELSE + ch_cont_next_state <= checking_ch1; + END IF; + + WHEN attending_ch5 => + IF(ram_contr_cur_state = end_wrt_ch_5) THEN + ch_cont_next_state <= checking_ch1; + ELSE + ch_cont_next_state <= attending_ch5; + END IF; + + WHEN OTHERS => + + ch_cont_next_state <= idle; + + END CASE; + END PROCESS; + +-------------------------------------------------- +--Estados de m�quina principal para control de RAM + +ctrl_cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + ram_contr_cur_state <= idle; + ELSE + ram_contr_cur_state <= ram_contr_next_state; + END IF; + END IF; + END PROCESS; + +--Revisar si los estados de set, act y proc se pueden unificar. Al parecer los de set s�. +ctrl_salidas_estados: PROCESS(ram_contr_cur_state, ch_cont_cur_state, + count_pack, count_ch_byte, sel_buf, + datach1_aux, datach2_aux, datach3_aux, datach4_aux, datach5_aux + ) + BEGIN + CASE ram_contr_cur_state IS + WHEN idle => + enA <= '0'; + dataA <= (OTHERS=>'0'); + addrA <= (OTHERS=>'0'); + ch_ack_vector <= (OTHERS=>'0'); + IF (ch_cont_cur_state=attending_ch1) THEN + ram_contr_next_state <= pre_ch1; + ELSIF (ch_cont_cur_state=attending_ch2) THEN + ram_contr_next_state <= pre_ch2; + ELSIF (ch_cont_cur_state=attending_ch3) THEN + ram_contr_next_state <= pre_ch3; + ELSIF (ch_cont_cur_state=attending_ch4) THEN + ram_contr_next_state <= pre_ch4; + ELSIF (ch_cont_cur_state=attending_ch5) THEN + ram_contr_next_state <= pre_ch5; + ELSE + ram_contr_next_state <= idle; + END IF; + + WHEN pre_ch1 => + enA <= '0'; + dataA <= datach1_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= wr_ch1; + + WHEN wr_ch1 => + enA <= '1'; + dataA <= datach1_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= end_wrt_ch_1; + + WHEN end_wrt_ch_1 => + enA <= '0'; + dataA <= datach1_aux; + ch_ack_vector <= "00001"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH1) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + IF (count_ch_byte = "100") THEN + ram_contr_next_state <= idle; + ELSE + ram_contr_next_state <= pre_ch1; + END IF; + + --------------------------------------- + + WHEN pre_ch2 => + enA <= '0'; + dataA <= datach2_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= wr_ch2; + + WHEN wr_ch2 => + enA <= '1'; + dataA <= datach2_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= end_wrt_ch_2; + + WHEN end_wrt_ch_2 => + enA <= '0'; + dataA <= datach2_aux; + ch_ack_vector <= "00010"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + IF (count_ch_byte = "100") THEN + ram_contr_next_state <= idle; + ELSE + ram_contr_next_state <= pre_ch2; + END IF; + + ------------------------------ + + WHEN pre_ch3 => + enA <= '0'; + dataA <= datach3_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= wr_ch3; + + WHEN wr_ch3 => + enA <= '1'; + dataA <= datach3_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= end_wrt_ch_3; + + WHEN end_wrt_ch_3 => + enA <= '0'; + dataA <= datach3_aux; + ch_ack_vector <= "00100"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH3) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + IF (count_ch_byte = "100") THEN + ram_contr_next_state <= idle; + ELSE + ram_contr_next_state <= pre_ch3; + END IF; + + -------------------------------------------------- + + WHEN pre_ch4 => + enA <= '0'; + dataA <= datach4_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= wr_ch4; + + WHEN wr_ch4 => + enA <= '1'; + dataA <= datach4_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= end_wrt_ch_4; + + WHEN end_wrt_ch_4 => + enA <= '0'; + dataA <= datach4_aux; + ch_ack_vector <= "01000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH4) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + IF (count_ch_byte = "100") THEN + ram_contr_next_state <= idle; + ELSE + ram_contr_next_state <= pre_ch4; + END IF; + + ------------------------------------------ + + WHEN pre_ch5 => + enA <= '0'; + dataA <= datach5_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= wr_ch5; + + WHEN wr_ch5 => + enA <= '1'; + dataA <= datach5_aux; + ch_ack_vector <= "00000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + ram_contr_next_state <= end_wrt_ch_5; + + WHEN end_wrt_ch_5 => + enA <= '0'; + dataA <= datach5_aux; + ch_ack_vector <= "10000"; + IF ( sel_buf = '1' ) THEN + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(BASE_BUFFER_2) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + ELSE + addrA <= std_logic_vector(unsigned(BASE_ADDR_CH5) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_pack) + unsigned(count_ch_byte)); + END IF; + IF (count_ch_byte = "100") THEN + ram_contr_next_state <= idle; + ELSE + ram_contr_next_state <= pre_ch5; + END IF; + + + WHEN OTHERS => + + enA <= '0'; + dataA <= (OTHERS=>'0'); + addrA <= (OTHERS=>'0'); + ch_ack_vector <= (OTHERS=>'0'); + ram_contr_next_state <= idle; + + END CASE; + END PROCESS; + +--Contador de paquetes +wr_bytes_dpram: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + --count_req <= (OTHERS => '0'); + count_pack <= (OTHERS => '1'); + ELSE + --IF (data_req = '1') THEN + -- count_req <= std_logic_vector(unsigned(count_req) + 1); + --END IF; + + IF (data_req = '1') THEN + IF (count_pack = "100111") THEN + count_pack <= (OTHERS => '0'); + sel_buf <= NOT(sel_buf); + rpi_req <= '1'; + ELSE + count_pack <= std_logic_vector(unsigned(count_pack) + 1); + rpi_req <= '0'; + END IF; + ELSE + rpi_req <= '0'; + END IF; + + END IF; + END IF; + END PROCESS; + + +--Contador de bytes +count_ch_bytes: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + count_ch_byte <= (OTHERS => '0'); + ELSE + IF (ram_contr_cur_state = idle) THEN + count_ch_byte <= (OTHERS => '0'); + ELSE + IF (ram_contr_cur_state = end_wrt_ch_1 OR + ram_contr_cur_state = end_wrt_ch_2 OR + ram_contr_cur_state = end_wrt_ch_3 OR + ram_contr_cur_state = end_wrt_ch_4 OR + ram_contr_cur_state = end_wrt_ch_5 + ) THEN + count_ch_byte <= std_logic_vector(unsigned(count_ch_byte) + 1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +----------------------------------------- +datach1_bytes: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main))THEN + IF (rst_bar = '0') THEN + datach1_aux <= (OTHERS =>'0'); + ELSE + CASE count_ch_byte IS + WHEN "000" => + datach1_aux <= datach1(39 downto 32); + WHEN "001" => + datach1_aux <= datach1(31 downto 24); + WHEN "010" => + datach1_aux <= datach1(23 downto 16); + WHEN "011" => + datach1_aux <= datach1(15 downto 8); + WHEN "100" => + datach1_aux <= datach1(7 downto 0); + WHEN OTHERS => + datach1_aux <= (OTHERS =>'0'); + END CASE; + END IF; + END IF; + END PROCESS; + +datach2_bytes: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main))THEN + IF (rst_bar = '0') THEN + datach2_aux <= (OTHERS =>'0'); + ELSE + CASE count_ch_byte IS + WHEN "000" => + datach2_aux <= datach2(39 downto 32); + WHEN "001" => + datach2_aux <= datach2(31 downto 24); + WHEN "010" => + datach2_aux <= datach2(23 downto 16); + WHEN "011" => + datach2_aux <= datach2(15 downto 8); + WHEN "100" => + datach2_aux <= datach2(7 downto 0); + WHEN OTHERS => + datach2_aux <= (OTHERS =>'0'); + END CASE; + END IF; + END IF; + END PROCESS; + +datach3_bytes: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main))THEN + IF (rst_bar = '0') THEN + datach3_aux <= (OTHERS =>'0'); + ELSE + CASE count_ch_byte IS + WHEN "000" => + datach3_aux <= datach3(39 downto 32); + WHEN "001" => + datach3_aux <= datach3(31 downto 24); + WHEN "010" => + datach3_aux <= datach3(23 downto 16); + WHEN "011" => + datach3_aux <= datach3(15 downto 8); + WHEN "100" => + datach3_aux <= datach3(7 downto 0); + WHEN OTHERS => + datach3_aux <= (OTHERS =>'0'); + END CASE; + END IF; + END IF; + END PROCESS; + +datach4_bytes: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main))THEN + IF (rst_bar = '0') THEN + datach4_aux <= (OTHERS =>'0'); + ELSE + CASE count_ch_byte IS + WHEN "000" => + datach4_aux <= datach4(39 downto 32); + WHEN "001" => + datach4_aux <= datach4(31 downto 24); + WHEN "010" => + datach4_aux <= datach4(23 downto 16); + WHEN "011" => + datach4_aux <= datach4(15 downto 8); + WHEN "100" => + datach4_aux <= datach4(7 downto 0); + WHEN OTHERS => + datach4_aux <= (OTHERS =>'0'); + END CASE; + END IF; + END IF; + END PROCESS; + +datach5_bytes: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main))THEN + IF (rst_bar = '0') THEN + datach5_aux <= (OTHERS =>'0'); + ELSE + CASE count_ch_byte IS + WHEN "000" => + datach5_aux <= datach5(39 downto 32); + WHEN "001" => + datach5_aux <= datach5(31 downto 24); + WHEN "010" => + datach5_aux <= datach5(23 downto 16); + WHEN "011" => + datach5_aux <= datach5(15 downto 8); + WHEN "100" => + datach5_aux <= datach5(7 downto 0); + WHEN OTHERS => + datach5_aux <= (OTHERS =>'0'); + END CASE; + END IF; + END IF; + END PROCESS; + + +end Behavioral; + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.cmd_log =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.cmd_log b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.cmd_log new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.cmd_log (revision 225) @@ -0,0 +1,13 @@ +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/FrontEnd_Acq.syr" Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.gise =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.gise b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.gise new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.gise (revision 225) @@ -0,0 +1,118 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.lso =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.lso b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.lso new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.lso (revision 225) @@ -0,0 +1 @@ +work Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.ngc =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.ngc b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.ngc new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.ngc (revision 225) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$`f54=7991;<=>?0123456789;:<6>?0123456789:9>???;123456789:;4=>?0028456789:;;KHID47655=789:;<=>70123456682:;<=>?01@;45D?J120<=>?012255=789:;==>?0123456?39:;<:JI5993456?89:37=>?0GF6@46<89:;JKHJFGDF4567<2:;<<640122446602:;==>>11:845478;8;86>?7E33?56?0<:?8==?012341=78JK?7=?>102847678;:;<=>?0323<>658;:9<=:4052355=7=H=>9K9IA4:BE5>3392;77991:<=>?0123456789::<6?>0123457789:;<=64107210329<1:>7AZTQWW>dbczH6:=7>14:37F@0<9?$=::??;0G;3@700>23;5988392\4=5:28;==5=01036767:;:;>?>7;32367678=19>=<7;301454788:0>??K=;341?716=291EC^ZT;fbpdYd`8696=0>5:19MKVR\3njxlQlh3>1>586=291EC^ZT;fbpdYd`:696=0>5:19MKVR\3njxlQlh5>1>586=291EC^ZT;fbpdYd`<696=0>;533?16789:;<=>?0123446<<9:;<=:?41234567<2>?8=6444DEBC@A:2??86;:4133?032==:;<9>?05234==2=98:>0:761032=<>?8=>;0133?032=?012;446<=IHKN54762305991>98;IFGD6103788::<6;9674523GFIHKJML:45E2;5>0682<=>;8927547201:?;;7;8967016341:?K9M<>46745G@ED>?<=8;:;8:452<0>>0>0:4768:4:=10;;7;7698;:=10325?59A0282D01>H<=94;:6@4555=1I?K=M;O9A7@5E3G682=9>?>=23024>1>M:<:O:9>E6G2<240;KHI1194BC@ANOL9MLONA@C24>1ANOLMJKHIFGDEBC7<0=13<=>7;923456788:04=>?0123456789:;==57012;456?89:;<=>7;923<=678113<5>701224>>6183:=<0123<=6?159;>JSSX\^1_YO[UR>4>586;221CXZ_UU8geqgF4>0;2556547:1<3530?<9746>0:;;==??89:;55>?01:8=?<=:;8>0:;:=3?11?3=5;799733?1032:47668;:21=F0;:37L6=89:3<1=F013:<6O7A10;65>?09:3<=??;@:BED>5:;:JM?>=2028E=GFI123>=<=2123<>G?IHKJML??;@;:=032=98;:5028ED4FNH=JMLGF092;4=64A@CB<=>702KJMOONA933?DGA89:;?B923F=6789:;46LNA@:BED>119AFFEE;JIINN:61B67?GSAO11IY^QFNGM2?F>EFXHIBXL@LE028GFGF89:;J=>?012355=DKHKM<=>?0123456682IHONMLCBG@GFEDKJ80OH<4CH68GIM7>2IGG<6?6:AOO717=2IGG?V7;BNH6]7?8<1H@F7?5:AOOD703JF@M1H@FO>D978GIME9<1H@FM>5:AOOA723JF@H[m4CMIGRZBN^@NN96MCKG@6?FJLNQ20OAEIX0:3g>EKCORTHDXFDDg8GIMAPVG^@YG_LX78GIMNF8;0OAEFN^FJRLBBWKST>n5LLJKM[UCUAFN:>6MCKNWW[UNF[LUXDDH[c:AOOZ@BMMHJOF74CNONMQRBL?1HCCMZR69@V@GSMM?0OYBHO69@PIKHLL=0OYB@SB34?FRKGZI9;6M[LRGO42=D\EYN@<94CUNPAI463M;;7I::65056A22M=8=M?5K579GGHYTXk1OO@Qiwe]jjq773MNOM56K0EF;E=>?8>1OIKOZLUf8@@ULM^UJHG[\T59GB=G6:2NM_RH]EPWFJF_XEFNN>6JF139GHIYAZL[^ICMV_LMGA<=CGG^TOL\J5:FPW@H?3MYXS@AKE078@PR^WJSH@HQLOSPFGQOHFj1OmyoPRds\c`h?3M~dH]\NM09F55=B8L:N<=>J0D2F4@653L>37H;J452707=BI11NMLO=A@C;?@GFI=:;<<>4E@GBADGF<9>;8=>?119FAD4DKH8JML<70@024>CBMLOHONML89:;<5773LONIHKJEDGFACEBM8:0IKKJ4@6FA0C3<9>?==5I0G23456?89:;<=>;;G:;<==AI<2JM=6n;GC@PJT^WCL?7KOJE49EEIUB:2LO?6HKE59E@C@43ONY86HKRD18BAU682LNILKJE9GFADCBM1;;7KKICGGE0CCAKOKM<55IF1:3<5>682LM8=:?41630527<9>0JKKN8:DEAC@ANO>0JKHJ119EBCC789:;<=>IFGG;?C@AMOL>8<>4FGDE4=6781:;<5>?8:DEBC>701;;7KHIF9C;4=G?81K3<55IFGDFEDG682LMJKKNA@GBEDCFIH;;7KHIFG:;?0O234<>4FGDEBABCNOLMM567119EBC@ANONMJKHIFGD;?C@ANOLMI<>4FGDEBC@A818J8N8J119EBC@ANOL8?>=<33224>@ANOLMJK:;457701773OLMJKHIF4760022<8:0JKHIFGDE3C@ANOLM==5IFGDEBC@FI1:3<5>>0:DEBC@ANOLMM5O7A933?C@ANOLMJKHJADCFE467991MJKHIFGDEBC@AN>L:<6HIFGDEBC@ANOLMIL74FSGF^@BA[01M^HKUEENOg>@ugg~NbiQNcp38C6=@FM;0E?5F039J57=N:;1B??5F439J11=NJ\L>7DLZFFc8MGSAOVcey??;H@VBZBBDHSTZLBZEb9JFP@XDF]T\Z\6;HLJPVRF\L90ECXn;HMPPDHCI[N97AG9;MM@O@B03EELENOC3:NLS1=K]];?7A[[259OQQ533E__895CUU77?ISS><1FYUMV5:OV\C1602Ghcx`{_bmmpZ478DBqSnw30?3:?Heh}g~Tob`{_323IM|Xkp6:<3?l;LalqkrXkfdS?>?MIx\g|:687Uyx<74MbmvjqYdgg~T>=>BH{]`}97668i0Anaznu]`kkrX:9:FDwQly=32:Zts901Fob{at^aljqY589GCvRmv<00=5f=JkfexRm`nu]145KOrVir0<<1_sv2=>Kdg|dSnaat^034HN}Wjs7=>0>c:O`kphsWjeexR?MIx\g|:6<7;h7@m`uov\gjhsW;:;AEtPcx>20;Yu|820Anaznu]`kkrX:9:FDwQly=3=5g=JkfexRm`nu]145KOrVir0<0Pru3;?Heh}g~Tob`{_323IM|Xkp692=>BH{]`}949W{~:46Clotlw[fii|V8;<@Fu_b{?7;7e3DidyczPcnlw[767EApTot2<>^pw5==JkfexRm`nu]145KOrVir090>b:O`kphsWjeexR=>BH{]`}9399k1Fob{at^aljqY589GCvRmv<4<\vq7?3DidyczPcnlw[767EApTot29>0`8Ifirf}UhcczP212NLYdq5<5Sz>8:O`kphsWjeexR4:Zts911Fob{at^aljqY589GCvRmv<9<2f>Kdg|dSnaat^034HN}Wjs743Q}t0:8Ifirf}UhcczP212NLYdq535=o5BcnwmpZehf}U9<=CGz^az8<8Xz};27@m`uov\gjhsW;:;AEtPltv?4;7a3DidyczPcnlw[767EApT`xz30?]JJSY7901Fob{at^aljqY589GCvRv`r=2=5d=JkfexRm`nu]145KOrVrd~1??>0c8Ifirf}UhcczP212NLYg{6:=3?n;LalqkrXkfdS?>?MIx\|jt;9;4:m6Clotlw[fii|V8;<@Fu_ymq84599h1Fob{at^aljqY589GCvRv`r=37:4g5?3g?Heh}g~Tob`{_323IM|Xpfx7=80Pru3:?Heh}g~Tob`{_323IM|Xpfx7=3?6;LalqkrXkfdS?>?MIx\|jt;:7;27@m`uov\gjhsW;:;AEtPxnp?7;7>3DidyczPcnlw[767EApTtb|34?3:?Heh}g~Tob`{_323IM|Xpfx793?6;LalqkrXkfdS?>?MIx\|jt;>7;27@m`uov\gjhsW;:;AEtPxnp?3;7>3DidyczPcnlw[767EApTtb|38?3:?Heh}g~Tob`{_323IM|Xpfx753<4N108J45H5?:1E>4<4N218J6743G98?6@<529M7253:L776=I<<90B99<;O6:6>H2;2D>=>5A5218J0343G?080B:=4N630?K15;2D5A7518J2343G==?6@8729M3=5387C6?3:L;56=I0;90B5=<;O:76>H>?2DNXZA]K59MKKC63F>0CO[I169LTQOJASOT\_GBIUKUAVYIOJ;0\n5_.3227466;VF?7]O]Tc9SLDUBWZBBJYo4PHL\FPUNLQh0\D@PBTQMEHC>3YATDA[[NL78TVEKC?1[_IAAE59SW@H?3YYBBNJJC338TRTX=VeTob`{_323IM|\92?84PVP\1ZiXkfdS?>?MIxX50[Xmxj`R?Po=36:4413Y]YS8Q`_bmmpZ478DBqW<;R_dsveiY6Wf6:93<=6:RTVZ3XgVidbyQ=01OK~^72UVozylbP1^m?5084:<1[[_Q:_n]`kkrX:9:FDwU>5\]fupgkW8UdSd`y279SSWY2WfUhcczP212NL]6=TUn}xoc_0]l[lhq981Z=6\<;SQW=>Tb{|f0=0n;Sgpqir;994j7_k|umv?548f3[oxyaz3130n;Sgpqir;9=4j7_k|umv?508>3[oxyaz31?;8V`urd}69245]erwop95912Xnxb{<5<:?Wct}e~79374Rdqvhq:1601Yi~{ct=5==>Tb{|f0506;Sgpqir;17;0_:5\C@LLQQ763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRLk0_FKXCMIJJ4g<[BO\OAEFN348WNCPAG=0_FKXOTV4?VMB_[Y_46]DIQ]SMK0<[F_YOHm4SUCQPPVX_HC_:6][AUWP=>USI]_X0=06;RVBPPU;9730_YO[UR>1:<=T\H^^_1=19:QWEQST4=427^ZNTTQ?1;?<[]K_Y^29>c9PPDRR[5=1<374SUCWQV:0611XX^OKRR2;?VRTIMXX=55\TRCGVV4?3Z^XMI\\399PPVGCZZ>:>6]VSUGN[V_IKAXDBB[\4:VQQ@2<\PZNo6[\ES]UMVOEDL90ZNM>3:TFV\YOANBTJ_K^UDL@]<=QAL]TXT^J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF5:ZLVF_13QY_@DL>f:ZPPZGC^HDHIIQBABPL[DED]VGjhiQIF69[WQY@FM=0T^ZPVBA5?]beW@n:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq5:>55P_^ZOJHYXW8:TSRijndpb`jtXzl{$Sc>?56]jjs45m2UTSUBAM^]\54YXWjeexacaemq[AVUID%FaxvPaefqE=56;o1TSRVCNL]\[44XWVidby|`l`flvZBWZHG$oeQloov\gptX{}kiRH\M^DE`7+Nf88h7RQPXMLN[ZY6;VUTob`{rnnb`jtXLYXJA"Qa040482869=n0SRQWLOO\[Z73WVUhccz}omcgkwYCX[KF#]Y]_05\kZtfeVidby|Pctp\wqgsmR9VShzam]115Yh9=;0SRQWLOO\[Z72WVUhccz}omcgkwYCX[KF#@m`uov\gjsi|VicSow{e^zlv9499VY\<>?4_^][HKKXWV;=SRQjmqc\gm4(Eje~byQkbhvp[firf}oyS{oc1238[ZY_DGGTSR?8_^]fiugXka>$Anaznu]gflrtWje~byk}_wco5625P_^ZOJHYXW83TSRkbp`]`l4)ci}kTox|PsucwaZ@TEVLMh?#Fn00f?ZYXPEDFSRQ>_^]`kkrugekocQKPSCN+HkrpVkohO>16307>YXWQFEARQP21]\[`kwiVic>"jnt`]`qwYt|h~nSK]B_GDg6(Oi9:90SRQWLOO\[Z46WVUna}oPci1,`drfWjyS~zntd]EWHYANm8&Ec?<3:]\[]JIEVUT>?QP_doseZeo<&njxlQlus]ppdrbWOYFSKHk2,Km5658QP_doseZeo9&Ghcx`{_e`jpvYdg|diQwos>1:474=2UTSUBAM^]\63YXWlg{mRmg2.O`kphsWmhbx~QlotlwawYg{692:QP_doseZeo;&Ghcx`{_e`jpvYdg|diQwos>1:474=2UTSUBAM^]\6=YXWlg{mRmg4.O`kphsWmhbx~QlotlwawYg{6924QP_doseZeo=&Ghcx`{_e`jpvYdg|diQwos>1:474;2UTSUBAM^]\6ZYXkfd~bbndnp\@UTFE&idyczPp`ah[4Yu{}zdx>64_^][HKKXWV9;SRQloovqkigcg{UO\_OB/Lcg`ZYi8<:3Sua}<1<;[VQ7::1TSRVCNL]\[67XWVof|lQlh0-\j55>RQPelrb[fn5'Vd;?4:Piot266=XWVRGB@QP_21\[ZcjxhUhd>!Pn11:0Zoi~8887RQPXMLN[ZY4Tecx>579\[Z^KFDUTS>;P_^aljqthdhnd~RJ_R@O,IhsWje~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>;7POTV25ZUP8::0SRQWLOO\[Z51WVUlick}aemq[wcv'je~byQumqfwZ>Xlye?=5P_^ZOJHYXW:=TSRijndpb`jtXzl{$ob{at^rvhvctW?Uo|bz<0:]\[]JIEVUT?5QP_fgmawgcg{Uyi|!lotlw[usk{lyT8Rjou13?ZYXPEDFSRQ<9^]\c`hbzhnd~R|jq.alqkrXx|fxi~Q=_erlp6bYXWQFEARQP40]\[`kwiVic8"mck^c`uZtn{lUyy~`t3`8[ZY_DGGTSR:=_^]fiugXka?$oaePabs\vlubW{y|bz=d:]\[]JIEVUT8>QP_bmmpwikimeySI^]AL-Nip~Xlh~jM?==d:]\[]JIEVUT89QP_bmmpwikimeySI^]AL-Nip~Xlh~jM9==d:]\[]JIEVUT88QP_bmmpwikimeySI^]AL-Nip~Xlh~jM;==e:]\[]JIEVUT8;QP_bmmpwikimeySI^]AL-Nip~XimnyM5?>2e9\[Z^KFDUTS99P_^aljqthdhnd~RJ_R@O,IhsWhno~L8;259\[Z^KFDUTS96P_^efj`tflfxT~h _o230<74>2UTSUBAM^]\02d9\[Z^KFDUTS9QP_bmmpwikimeySI^]AL-Nip~XimnyM7^m\vdkXkfd~Rmzr^qweqc\;TUn}xoc_371[j73k2UTSUBAM^]\2ZYXkfd~bbndnp\@UTFE&MEHR<9_n]qehYdgg~ySn{}_rvbp`]4UVozylbP25;\k45b3VUTTA@B_^]4[ZYdgg~ycaokos]GTWGJ'{kfSnaats]`qwYt|h~nSR`?595?4;75j2UTSUBAM^]\3:a=fkbU}inz`r=3=`>gdcV|noya}<399b`atF49427ljkr@>24;d:1<:?dbczH6:=364aefqE97902kohO32?:8eabuI59546okdsC?0;>720mij}A=5=<>gcl{K74364aefqE9?9k2kohOP0^MAQCbgcl{KT=RAMUGa8eabuIV8TCO[Ic:cg`wGX;VEIYKm4aefqEZ2XGK_Mo6okdsC\1ZIE]Oi0mij}A^4\KGSAk2kohOP7^MAQCegcl{KT5RAMUG32?fnXkfdSn{}_rvbp`dekcVkh}Rmg1^KAQCdekcVkh}Rmg2^KAQCdekcVkh}Rmg3^KAQCdekcVkh}Rmg4^KAQCdekcVkh}Rmg5^KAQC>=>BH{]AQCAf3jf`SxQrnae?fjlW|U{~bmPBTDDa>ehf}U9<=CGz=2=b>ehf}U9<=CGz=33:c=dgg~T>=>BH{>25;`?MIx?578a3jeexR7o0ob`{_323IM|;?7o0ob`{_323IM|;07o0ob`{_323IM|;17n0ob`{_323IM|X8m1hcczP212NLY6m2idbyQ=01OK~Z77m2idbyQ=01OK~Z76m2idbyQ=01OK~Z75m2idbyQ=01OK~Z74m2idbyQ=01OK~Z73m2idbyQ=01OK~Z72l2idbyQ=01OK~Z4c3jeexR?MIx\0a=dgg~T>=>BH{]6`>ehf}U9<=CGz^4g?fii|V8;<@Fu_6f8gjhsW;:;AEtP8e9`kkrX:9:FDwQ6339`kkrugekocQKPSCN+BHCW;3:64VeTmijP110\KPR;97997naatsmoeaiuWMZYM@!HNE]12ZiXOGNT>;Q`_`fg[465WF__0?0<2:aljqthdhnd~RJ_R@O,CKBX:?UdSJ@K_34\kZgclV;;>RAZT=1=77=dgg~ycaokos]GTWGJ'NDOS?8Po^EM@Z41WfUjhiQ>03]LQQ:36:80ob`{rnnb`jtXLYXJA"IAD^05[jY@FMU9:RaPaef\554XG\^793==;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW8:9SB[[<7<06>ehf}xd`lj`r^FSVDK(OGNT>;Q`_FLG[70XgVkohR??2^MVP919;;1hccz}omcgkwYCX[KF#J@K_34\kZAILV8=SbQnde]247YH]]632><4cnlwvjjflfxTH]\NM.EM@Z41WfULBIQ=6^m\eabX998TCXZ39?11?fii|{egmia}_ERQEH)@FMU9:RaPGOF\63YhWhnoS<>7_NWW8584:2idby|`l`flvZBWZHG$KCJP27]l[BHCW;208gjhszffjhb|PDQPBI*AILV8=SbQHNE]12ZiXimnT==6POTV?7;553jeexacaemq[AVUID%LBIQ=6^m\CKBX:?UdSljk_02;[JSS4=48>6m`nuplhdbhzVN[^LC GOF\63YhWNDOS?8Po^cg`Z770VE^X1;1339`kkrugekocQKPSCN+BHCW;5:64VeTmijP11:\KPR;?7997naatsmoeaiuWMZYM@!HNE]12ZiXOGNT>;Q`_`fg[46?WF__050<2:aljqthdhnd~RJ_R@O,CKBX:?UdSJ@K_34\kZgclV;;4RAZT=;=77=dgg~ycaokos]GTWGJ'NDOS?8Po^EM@Z41WfUjhiQ>42]LQQ:76:90ob`{rnnb`jtXLYXJA"IAD^05[jY@FMU9:RaPaef\515XG\^7==0<2:aljqthdhnd~RJ_R@O,CKBX:?UdSJ@K_34\kZgclV;??RAZT=3=77=dgg~ycaokos]GTWGJ'NDOS?8Po^EM@Z41WfUjhiQ>42]LQQ:56:80ob`{rnnb`jtXLYXJA"IAD^05[jY@FMU9:RaPaef\515XG\^7?3==;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW8>8SB[[<5<06>ehf}xd`lj`r^FSVDK(OGNT>;Q`_FLG[70XgVkohR?;3^MVP939;;1hccz}omcgkwYCX[KF#J@K_34\kZAILV8=SbQnde]206YH]]6=2><4cnlwvjjflfxTH]\NM.EM@Z41WfULBIQ=6^m\eabX9=9TCXZ37?11?fii|{egmia}_ERQEH)@FMU9:RaPGOF\63YhWhnoS<:<_NWW8=84:2idby|`l`flvZBWZHG$KCJP27]l[BHCW;208gjhszffjhb|PDQPBI*AILV8=SbQHNE]12ZiXimnT=97POTV?5;553jeexacaemq[AVUID%LBIQ=6^m\CKBX:?UdSljk_06:[JSS4;48>6m`nuplhdbhzVN[^LC GOF\63YhWNDOS?8Po^cg`Z731VE^X1=1339`kkrugekocQKPSCN+BHCW;7:64VeTmijP15;\KPR;=7997naatsmoeaiuWMZYM@!HNE]12ZiXOGNT>;Q`_`fg[42>WF__0;0<2:aljqthdhnd~RJ_R@O,CKBX:?UdSJ@K_34\kZgclV;?5RAZT=5=77=dgg~ycaokos]GTWGJ'NDOS?8Po^EM@Z41WfUjhiQ>48]LQQ:?6:80ob`{rnnb`jtXLYXJA"IAD^05[jY@FMU9:RaPaef\51?XG\^753==;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW82?SB[[<1<07>ehf}xd`lj`r^FSVDK(OGNT>;Q`_FLG[70XgVkohR?74^MVP9776:80ob`{rnnb`jtXLYXJA"IAD^05[jY@FMU9:RaPaef\5=2XG\^7=3==;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW82?SB[[<3<06>ehf}xd`lj`r^FSVDK(OGNT>;Q`_FLG[70XgVkohR?74^MVP959;;1hccz}omcgkwYCX[KF#J@K_34\kZAILV8=SbQnde]2<1YH]]6?2><4cnlwvjjflfxTH]\NM.EM@Z41WfULBIQ=6^m\eabX91>TCXZ35?11?fii|{egmia}_ERQEH)@FMU9:RaPGOF\63YhWhnoS<6;_NWW8384:2idby|`l`flvZBWZHG$KCJP27]l[BHCW;208gjhszffjhb|PDQPBI*AILV8=SbQHNE]12ZiXimnT=5:POTV?=;553jeexacaemq[AVUID%LBIQ=6^m\CKBX:?UdSljk_0;3[JSS4948>6m`nuplhdbhzVN[^LC GOF\63YhWNDOS?8Po^cg`Z7>8VE^X1?1339`kkrugekocQKPSCN+BHCW;1:64VeTmijP182\KPR;;7997naatsmoeaiuWMZYM@!HNE]12ZiXOGNT>;Q`_`fg[4?7WF__090<2:aljqthdhnd~RJ_R@O,CKBX:?UdSJ@K_34\kZgclV;291]LQQ:16:80ob`{rnnb`jtXLYXJA"IAD^05[jY@FMU9:RaPaef\5<6XG\^7;3==;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW83;SB[[<9<06>ehf}xd`lj`r^FSVDK(OGNT>;Q`_FLG[70XgVkohR?60^MVP9?9;;1hccz}omcgkwYCX[KF#J@K_34\kZAILV8=SbQnde]160YH]]6;2>=4cnlwvjjflfxTH]\NM.EM@Z41WfULBIQ=6^m\eabX:;?TCXZ311<07>ehf}xd`lj`r^FSVDK(OGNT>;Q`_FLG[70XgVkohR<=5^MVP9766:80ob`{rnnb`jtXLYXJA"IAD^05[jY@FMU9:RaPaef\673XG\^7=3==;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW;8>SB[[<3<06>ehf}xd`lj`r^FSVDK(OGNT>;Q`_FLG[70XgVkohR<=5^MVP959;;1hccz}omcgkwYCX[KF#J@K_34\kZAILV8=SbQnde]160YH]]6?2><4cnlwvjjflfxTH]\NM.EM@Z41WfULBIQ=6^m\eabX:;?TCXZ35?11?fii|{egmia}_ERQEH)@FMU9:RaPGOF\63YhWhnoS?<:_NWW8384:2idby|`l`flvZBWZHG$KCJP27]l[BHCW;208gjhszffjhb|PDQPBI*AILV8=SbQHNE]12ZiXimnT>?;POTV?=;553jeexacaemq[AVUID%LBIQ=6^m\CKBX:?UdSljk_312[JSS4948?6m`nuplhdbhzVN[^LC GOF\63YhWNDOS?8Po^cg`Z449VE^X1??>208gjhszffjhb|PDQPBI*AILV8=SbQHNE]12ZiXimnT>>?POTV?5;553jeexacaemq[AVUID%LBIQ=6^m\CKBX:?UdSljk_312[JSS4;48>6m`nuplhdbhzVN[^LC GOF\63YhWNDOS?8Po^cg`Z449VE^X1=1339`kkrugekocQKPSCN+BHCW;7:64VeTmijP223\KPR;=7997naatsmoeaiuWMZYM@!HNE]12ZiXOGNT>;Q`_`fg[756WF__0;0<2:aljqthdhnd~RJ_R@O,CKBX:?UdSJ@K_34\kZgclV88=RAZT=5=77=dgg~ycaokos]GTWGJ'NDOS?8Po^EM@Z41WfUjhiQ=30]LQQ:?6:80ob`{rnnb`jtXLYXJA"IAD^05[jY@FMU9:RaPaef\667XG\^753=>;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW?;TCXZ30?12?fii|{egmia}_ERQEH)@FMU9:RaPGOF\63YhWhnoS;?POTV?5;563jeexacaemq[AVUID%LBIQ=6^m\CKBX:?UdSljk_73\KPR;:79:7naatsmoeaiuWMZYM@!HNE]12ZiXOGNT>;Q`_`fg[37XG\^7?3=>;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW?;TCXZ34?12?fii|{egmia}_ERQEH)@FMU9:RaPGOF\63YhWhnoS;?POTV?1;563jeexacaemq[AVUID%LBIQ=6^m\CKBX:?UdSljk_73\KPR;>79:7naatsmoeaiuWMZYM@!HNE]12ZiXOGNT>;Q`_`fg[37XG\^7;3=>;bmmpwikimeySI^]AL-DJAY5>VeTKCJP27]l[dbcW?;TCXZ38?1f?fii|{egmia}_ERQEH)@FMU9:RaPr`o\gjhszVi~~R}{augX7XYby|kgS?:7_n30a>ehf}xd`lj`r^FSVDK(OGNT>;Q`_scn[fii|{UhyQ|t`vf_6[Xmxj`R<;9^m27d=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\554XG\^Tot2?>2c8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_021[JSSWjs7=3=n;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR??2^MVPZe~4;48m6m`nuplhdbhzVN[^LC M`fg[BHCW;7:6g;Q`_`fg[465WF__Snw35?1b?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;03]LQQYdq5=5?l5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT==VeTKCJP27]l[dbcW8:9SB[[_mww8584j2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY68;UDYYQcuu>2:6d;Q`_`fg[465WF__Sa{{<3<0f>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]247YH]]Ugyy2<>2`8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_021[JSSWe09003]LQQYk}}6>2>l4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<>=_NWW[}iu4948n6m`nuplhdbhzVN[^LC M`fg[BHCW;VeTKCJP27]l[dbcW8:9SB[[_ymq8784j2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY68;UDYYQwos>0:6d;Q`_`fg[465WF__Sua}<5<0f>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]247YH]]Usc2:>2`8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_021[JSSWqey0;003]LQQYg{6<2>l4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<>=_NWW[}iu4148n6m`nuplhdbhzVN[^LC M`fg[BHCW;6:k0ob`{rnnb`jtXLYXJA"Cnde]DJAY5>VeTKCJP27]l[dbcW8:3SB[[_b{?4;5f3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z770VE^XRmv<0<0e>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]24=YH]]Uhu1<13`9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP11:\KPRXkp682>o4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<>7_NWW[f;<79j7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;;4RAZT^az8084i2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY681UDYYQly=4=7d=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\55>XG\^Tot28>2c8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_02;[JSSWjs743=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR??8^MVPZjr|5:5?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT==6POTV\hpr;979i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;;4RAZT^nvp949;k1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX992TCXZPltv?7;5e3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z770VE^XRbzt=6=7g=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\55>XG\^T`xz35?1a?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;13c9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP11:\KPRXpfx7=3=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR??8^MVPZ~hz585?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT==6POTV\|jt;;79i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;;4RAZT^zlv929;k1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX992TCXZPxnp?1;5e3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z770VE^XRv`r=4=7g=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\55>XG\^Ttb|37?1a?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;VeTmijP11:\KPRXpfx753=n;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR?;3^MVPZe~4948n6m`nuplhdbhzVN[^LC M`fg[BHCW;Q@UU]`}9776:k0ob`{rnnb`jtXLYXJA"Cnde]DJAY5>VeTKCJP27]l[dbcW8>8SB[[_b{?5;5f3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z73;VE^XRmv<3<0e>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]206YH]]Uhu1=13`9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP151\KPRXkp6?2>o4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<:<_NWW[f;=79j7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;??RAZT^az8384i2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY6<:UDYYQly=5=7d=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\515XG\^Tot27>2c8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_060[JSSWjs753=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR?;3^MVPZjr|5:5?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT=9=POTV\hpr;979i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;??RAZT^nvp949;k1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX9=9TCXZPltv?7;5e3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z73;VE^XRbzt=6=7g=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\515XG\^T`xz35?1a?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;13b9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP151\KPRXpfx7==042]LQQYg{6:2>l4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<:<_NWW[}iu4;48n6m`nuplhdbhzVN[^LC M`fg[BHCW;Q@UU]{kw:46:h0ob`{rnnb`jtXLYXJA"Cnde]DJAY5>VeTKCJP27]l[dbcW8>8SB[[_ymq8184j2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY6<:UDYYQwos>6:6d;Q`_`fg[424WF__Sua}<7<0f>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]206YH]]Usc28>2`8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_060[JSSWqey05042]LQQYg{622>o4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<:6_NWW[f;879j7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;?5RAZT^az8484i2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY6<0UDYYQly=0=7d=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\51?XG\^Tot2<>2c8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_06:[JSSWjs783=n;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR?;9^MVPZe~4<48m6m`nuplhdbhzVN[^LC M`fg[BHCW;4:6g;Q`_`fg[42>WF__Snw38?1b?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;48]LQQYk}}6;2>l4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<:6_NWW[iss4848n6m`nuplhdbhzVN[^LC M`fg[BHCW;VeTKCJP27]l[dbcW8>2SB[[_mww8684j2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY6<0UDYYQcuu>7:6d;Q`_`fg[42>WF__Sa{{<4<0f>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]202`8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_06:[JSSWqey0<048]LQQYg{692>l4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<:6_NWW[}iu4:48n6m`nuplhdbhzVN[^LC M`fg[BHCW;VeTKCJP27]l[dbcW8>2SB[[_ymq8084j2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY6<0UDYYQwos>5:6d;Q`_`fg[42>WF__Sua}<6<0f>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]202`8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_06:[JSSWqey04085]LQQYdq5:5?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT=5:POTV\g|:6879j7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;38RAZT^az8484i2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY60=UDYYQly=0=7d=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\5=2XG\^Tot2<>2c8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_0:7[JSSWjs783=n;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR?74^MVPZe~4<48m6m`nuplhdbhzVN[^LC M`fg[BHCW;TCXZPcx>4:6g;Q`_`fg[4>3WF__Snw38?1b?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;85]LQQYk}}6;2>l4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS<6;_NWW[iss4848n6m`nuplhdbhzVN[^LC M`fg[BHCW;VeTKCJP27]l[dbcW82?SB[[_mww8684j2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY60=UDYYQcuu>7:6d;Q`_`fg[4>3WF__Sa{{<4<0f>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]2<1YH]]Usc2?>2a8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_0:7[JSSWqey0<>13c9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP196\KPRXpfx7=3=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR?74^MVPZ~hz585?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT=5:POTV\|jt;;79i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;38RAZT^zlv929;k1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX91>TCXZPxnp?1;5e3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z7?VeTmijP196\KPRXpfx753=n;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR?60^MVPZe~4948m6m`nuplhdbhzVN[^LC M`fg[BHCW;1:6g;Q`_`fg[4?7WF__Snw33?1b?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;91]LQQYdq5?5?l5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT=4>POTV\g|:16:k0ob`{rnnb`jtXLYXJA"Cnde]DJAY5>VeTKCJP27]l[dbcW83;SB[[_b{?3;5f3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z7>8VE^XRmv<9<0e>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]2=5YH]]Uhu1713c9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP182\KPRXd|~7<3=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR?60^MVPZjr|5;5?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT=4>POTV\hpr;:79i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;28VE^XRbzt=7=7g=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\5<6XG\^Ttb|30?1a?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;VeTmijP182\KPRXpfx7>3=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR?60^MVPZ~hz595?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT=4>POTV\|jt;<79i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV;28VE^XRv`r=5=7g=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\5<6XG\^Ttb|38?1a?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;VeTmijP237\KPRXkp6;2>l4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS?<:_NWW[f;9948m6m`nuplhdbhzVN[^LC M`fg[BHCW;8Q@UU]`}979;h1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX:;?TCXZPcx>1:6g;Q`_`fg[742WF__Snw33?1b?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;?;POTV\g|:16:k0ob`{rnnb`jtXLYXJA"Cnde]DJAY5>VeTKCJP27]l[dbcW;8>SB[[_b{?3;5f3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z45=VE^XRmv<9<0e>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]160YH]]Uhu1713c9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP237\KPRXd|~7<3=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR<=5^MVPZjr|5;5?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT>?;POTV\hpr;:79i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV899RAZT^nvp959;k1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX:;?TCXZPltv?0;5e3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z45=VE^XRbzt=7=7g=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\673XG\^Ttb|30?1`?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;2a8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_306[JSSWqey0VeTmijP237\KPRXpfx7=3=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR<=5^MVPZ~hz585?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT>?;POTV\|jt;;79i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV899RAZT^zlv929;k1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX:;?TCXZPxnp?1;5e3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z45=VE^XRv`r=4=7g=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\673XG\^Ttb|37?1a?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;VeTmijP237\KPRXpfx753=n;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR<<1^MVPZe~4948n6m`nuplhdbhzVN[^LC M`fg[BHCW;VeTKCJP27]l[dbcW;9:SB[[_b{?5;5f3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z449VE^XRmv<3<0e>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]174YH]]Uhu1=13`9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP223\KPRXkp6?2>o4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS?=>_NWW[f;=79j7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV88=RAZT^az8384i2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY5;8UDYYQly=5=7d=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\667XG\^Tot27>2c8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_312[JSSWjs753=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR<<1^MVPZjr|5:5?n5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT>>?POTV\hpr;87;8n6m`nuplhdbhzVN[^LC M`fg[BHCW;VeTKCJP27]l[dbcW;9:SB[[_mww8784j2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY5;8UDYYQcuu>0:6d;Q`_`fg[756WF__Sa{{<5<0f>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]174YH]]Ugyy2:>2`8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_312[JSSWqey0=0;Q`_FLG[70XgVkohR<<1^MVPZ~hz5;5?o5loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT>>?POTV\|jt;:79i7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV88=RAZT^zlv959;k1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX::;TCXZPxnp?0;5e3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z449VE^XRv`r=7=7g=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\667XG\^Ttb|36?1a?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;VeTmijP223\KPRXpfx743=m;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR<<1^MVPZ~hz535?45loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT:8UDYYQly=3=7<=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\24YH]]Uhu1<1389`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP60]LQQYdq595?45loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT:8UDYYQly=7=7<=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\24YH]]Uhu181389`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP60]LQQYdq5=5?45loovqkigcg{UO\_OB/Lcg`ZAILV8=SbQHNE]12ZiXimnT:9;h1hccz}omcgkwYCX[KF#@okd^EM@Z41WfULBIQ=6^m\eabX>8UDYYQcuu>3:6g;Q`_`fg[37XG\^T`xz31?1b?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;VeTKCJP27]l[dbcW?;TCXZPltv?1;5f3jeexacaemq[AVUID%FmijPGOF\63YhWNDOS?8Po^cg`Z06WF__Sua}<1<0e>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]55ZIR\Vrd~1?13`9`kkrugekocQKPSCN+HgclVMEHR<9_n]DJAY5>VeTmijP60]LQQYg{692>o4cnlwvjjflfxTH]\NM.Ob`aY@FMU9:RaPGOF\63YhWhnoS;?POTV\|jt;;79j7naatsmoeaiuWMZYM@!Baef\CKBX:?UdSJ@K_34\kZgclV<:SB[[_ymq8184i2idby|`l`flvZBWZHG$Aljk_FLG[70XgVMEHR<9_n]b`aY19VE^XRv`r=7=7d=dgg~ycaokos]GTWGJ'DkohRIAD^05[jY@FMU9:RaPaef\24YH]]Usc29>2c8gjhszffjhb|PDQPBI*KflmULBIQ=6^m\CKBX:?UdSljk_73\KPRXpfx7;3=n;bmmpwikimeySI^]AL-NeabXOGNT>;Q`_FLG[70XgVkohR8>_NWW[}iu4148m6m`nuplhdbhzVN[^LC M`fg[BHCW;>UDYYQly=2=16d;Q`_`fg[31XG\^Tot2?>430g>ehf}xd`lj`r^FSVDK(EhnoSJ@K_34\kZAILV8=SbQnde]53ZIR\Vir0=0:101a?fii|{egmia}_ERQEH)JimnTKCJP27]l[BHCW;VeTmijP66]LQQYdq5:59><;;bmmpwikimeySI^]AL-NeabXWg:><5Qly=2=373<5Qwos>3:276:<1hccz}omcgkwYCX[KF#@okd^]m406?Wqey0=07289`kkrugekocQKPSCN+HgclVUe<8>7_ymq858?WZ];>95loovqkigcg{UO\_OB/Lcg`ZYi8<8ehf}xd`lj`r^FSVDK(EhnoSR`?535\g|:761;9:6m`nuplhdbhzVN[^LC M`fg[Zh7=;=Tot2?>93261=dgg~ycaokos]GTWGJ'DkohRQa0414[f;87=996m`nuplhdbhzVN[^LC M`fg[Zh7=:=Tot2?>6312>ehf}xd`lj`r^FSVDK(EhnoSR`?525\g|:76>;:>85loovqkigcg{UO\_OB/Lcg`ZYi8<>2idby|`l`flvZBWZHG$Anaznu]`kphsWjbTntzj269`kkrugekocQKPSCN+Heh}g~Tob{at^ak[gsm88<7naatsmoeaiuWMZYM@!BcnwmpZeh}g~ToeQmyug16c=dgg~ycaokos]GTWGJ'DidyczPcnwmpZeoWksiRv`r=2=544a3jeexacaemq[AVUID%Fob{at^alqkrXkaUiuykPxnp?5;76:l1hccz}omcgkwYCX[KF#@m`uov\gjsi|VicSow{e^zlv9499:80ob`{rnnb`jtXLYXJA"Clotlw[firf}UhdRlvtd]{kw:568UX[=>1g9`kkrugekocQKPSCN+HkrpVkohO>033e?fii|{egmia}_ERQEH)Je|rTmij}A0205c=dgg~ycaokos]GTWGJ'Dg~tRokdsC2417a3jeexacaemq[AVUID%FaxvPaefqE4629o1hccz}omcgkwYCX[KF#@czx^cg`wG68?;n7naatsmoeaiuWMZYM@!Bmtz\eabuI8;:j6m`nuplhdbhzVN[^LC Mlw{[dbczH;:=?>4cnlwvjjflfxTH]\NM.Onq}YflmxJ=219`kkrugekocQKPSCN+HkrpVkohO>10014>ehf}xd`lj`r^FSVDK(EdsSljkr@32567a3jeexacaemq[AVUID%FaxvPaefqE475:91hccz}omcgkwYCX[KF#@czx^cg`wG69;;:j6m`nuplhdbhzVN[^LC Mlw{[dbczH;:??>4cnlwvjjflfxTH]\NM.Onq}YflmxJ=<=>1g9`kkrugekocQKPSCN+HkrpVkohO>1503?fii|{egmia}_ERQEH)Je|rTmij}A03754`=5loovqkigcg{UO\_OB/Lov|Zgcl{K:=;?>f:aljqthdhnd~RJ_R@O,IhsWhno~L?>7328gjhszffjhb|PDQPBI*Kj}qUjhi|N105264=dgg~ycaokos]GTWGJ'Dg~tRokdsC252YA:81hccz}omcgkwYCX[KF#@czx^cg`wG69>UL=k5loovqkigcg{UO\_OB/Lov|Zgcl{K:=5?i;bmmpwikimeySI^]AL-Nip~XimnyM203e?fii|{egmia}_ERQEH)Je|rTmij}A0015c=dgg~ycaokos]GTWGJ'Dg~tRokdsC2667a3jeexacaemq[AVUID%FaxvPaefqE4439o1hccz}omcgkwYCX[KF#@czx^cg`wG6:<;n7naatsmoeaiuWMZYM@!Bmtz\eabuI89:i6m`nuplhdbhzVN[^LC Mlw{[dbczH;?=h5loovqkigcg{UO\_OB/Lov|Zgcl{K:9e:aljqthdhnd~RJ_R@O,IhsWhno~L?71d9`kkrugekocQKPSCN+HkrpVkohO>90f8gjhszffjhb|PDQPBI*Kj}qUjhi|N20g8gjhszffjhb|PDQPBI*Kj}qUjhi|N203f?fii|{egmia}_ERQEH)Je|rTmij}A302a>ehf}xd`lj`r^FSVDK(EdsSljkr@005`=dgg~ycaokos]GTWGJ'Dg~tRokdsC104c:i6m`nuplhdbhzVN[^LC Mlw{[dbczH>:=h5loovqkigcg{UO\_OB/Lov|Zgcl{K?>?j;bmmpwikimeySI^]AL-Nip~XimnyM9:>e:aljqthdhnd~RJ_R@O,IhsWhno~L::1d9`kkrugekocQKPSCN+HkrpVkohO;60g8gjhszffjhb|PDQPBI*Kj}qUjhi|N463g?fii|{egmia}_ERQEH)Je|rTmij}A43f?fii|{egmia}_ERQEH)Je|rTmij}A432a>ehf}xd`lj`r^FSVDK(EdsSljkr@715`=dgg~ycaokos]GTWGJ'Dg~tRokdsC674c;;n7naatsmoeaiuWMZYM@!Bmtz\eabuI?9:i6m`nuplhdbhzVN[^LC Mlw{[dbczHe:aljqthdhnd~RJ_R@O,IhsWhno~L9<1d9`kkrugekocQKPSCN+HkrpVkohO840g8gjhszffjhb|PDQPBI*Kj}qUjhi|N743g?fii|{egmia}_ERQEH)Je|rTmij}A93f?fii|{egmia}_ERQEH)Je|rTmij}A932b>ehf}xd`lj`r^FSVDK(EdsSljkr@:254c219`kkrugekocQKPSCN+HkrpVkohO76032a>ehf}xd`lj`r^FSVDK(EdsSljkr@:45a=dgg~ycaokos]GTWGJ'Dg~tRokdsC:5`=dgg~ycaokos]GTWGJ'Dg~tRokdsC:54c=8o0ob`{rnnb`jtXLYXJA"Cbuy]b`atF1?>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;UDYY?>469`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?;8_NWW54YT_9>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;UDYY?=429`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?;8_NWW56243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV5loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;?1hccz}omcgkwYCX[KF#@czx^alqkrXkaUiuykT2\]DJAY5>VeT{djj_lw{[730WF__?VeT{djj_lw{[730WF__?>:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU99:Q@UU6202=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_374[JSS<8UX[=:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU99:Q@UU6106=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_374[JSS<:>97naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;UDYY;;3:aljqthdhnd~RJ_R@O,IhsWje~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV65156m`nuplhdbhzVN[^LC Mlw{[firf}UhdRlvtdY1YZAILV8=SbQxieg\ip~X:<=TCXZ9429`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?;8_NWW24243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV9<4cnlwvjjflfxTH]\NM.Onq}Ydg|dSnfPbxvf_7[XOGNT>;Q`_vkgaZkrpV8>;RAZT960?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=56]LQQ>6<:1hccz}omcgkwYCX[KF#@czx^alqkrXkaUiuykT2\]DJAY5>VeT{djj_lw{[730WF__4?:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:=Q@UU3202=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_343[JSS98UX[=:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:=Q@UU3106=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_343[JSS9:>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;9UDYY<>469`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?8?_NWW64YT_9>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;9UDYY<=429`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?8?_NWW66243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV5loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;<;SB[[3260?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=61]LQQ26<>1hccz}omcgkwYCX[KF#@czx^alqkrXkaUiuykT2\]DJAY5>VeT{djj_lw{[707WF__8VeT{djj_lw{[707WF__8>:=;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:=Q@UU777>ehf}xd`lj`r^FSVDK(EdsSnaznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP272\KPR29=90ob`{rnnb`jtXLYXJA"Cbuy]`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z418VE^X8<;2:aljqthdhnd~RJ_R@O,IhsWje~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>;>POTV506=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_343[JSS>8>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;9UDYY8=439`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?8?_NWW315;??6m`nuplhdbhzVN[^LC Mlw{[firf}UhdRlvtdY1YZAILV8=SbQxieg\ip~X:?:TCXZ82508gjhszffjhb|PDQPBI*Kj}qUhcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP=243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV5loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;<;SB[[8360?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=62]LQQ76<>1hccz}omcgkwYCX[KF#@czx^alqkrXkaUiuykT2\]DJAY5>VeT{djj_lw{[704WF__=VeT{djj_lw{[704WF__=>:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:>Q@UU0202=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_340[JSS:8UX[=:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:>Q@UU0106=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_340[JSS::>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;:UDYY=>469`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?8<_NWW74YT_9>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;:UDYY==429`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?8<_NWW76243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV:8:5loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;<8SB[[40]PS5243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV98>5loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;<8SB[[4261?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=62]LQQ33;2idby|`l`flvZBWZHG$A`{w_bmvjqYd`VhrxhU=]^EM@Z41WfU|eikPmtz\635XG\^>=9=4cnlwvjjflfxTH]\NM.Onq}Ydg|dSnfPbxvf_7[XOGNT>;Q`_vkgaZkrpV8=?RAZT4076>ehf}xd`lj`r^FSVDK(EdsSnaznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR1<:1hccz}omcgkwYCX[KF#@czx^alqkrXkaUiuykT2\]DJAY5>VeT{djj_lw{[704WF__:<:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:>Q@UU4107=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_340[JSS?=90ob`{rnnb`jtXLYXJA"Cbuy]`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z41;VE^X:?;3:aljqthdhnd~RJ_R@O,IhsWje~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>;=POTV461487naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;:UDYY6>429`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?8<_NWW<7243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV5loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;<=SB[[1260?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=67]LQQ46<>1hccz}omcgkwYCX[KF#@czx^alqkrXkaUiuykT2\]DJAY5>VeT{djj_lw{[701WF__>VeT{djj_lw{[701WF__>>:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:;Q@UU1202=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_345[JSS;8UX[=:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:;Q@UU1106=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_345[JSS;:>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;?UDYY:>469`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?89_NWW04YT_9>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;?UDYY:=429`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?89_NWW06253jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV5loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;<=SB[[6060?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=67]LQQ05<;1hccz}omcgkwYCX[KF#@czx^alqkrXkaUiuykT2\]DJAY5>VeT{djj_lw{[701WF__;9=4cnlwvjjflfxTH]\NM.Onq}Ydg|dSnfPbxvf_7[XOGNT>;Q`_vkgaZkrpV8=:RAZT6377>ehf}xd`lj`r^FSVDK(EdsSnaznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP274\KPR0:=80ob`{rnnb`jtXLYXJA"Cbuy]`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z41>VE^X5:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:;Q@UU:206=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_345[JSS0;><7naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;0UDYY?>_RU306=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_34:[JSS9:>>7naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;0UDYY?<_G66?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=68]LQQ74WN>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;0UDYY<>469`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?86_NWW64YT_9>87naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;0UDYY<=429`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?86_NWW66243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiV5loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;<2SB[[3260?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=68]LQQ26<>1hccz}omcgkwYCX[KF#@czx^alqkrXkaUiuykT2\]DJAY5>VeT{djj_lw{[70>WF__8VeT{djj_lw{[70>WF__8>:<;bmmpwikimeySI^]AL-Nip~XkfexRmg_c{wa^4ZWNDOS?8Po^uj``Yj}qU9:4Q@UU7100=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_34:[JSS=;UM885loovqkigcg{UO\_OB/Lov|Zeh}g~ToeQmyugX6XY@FMU9:RaPwhff[hsW;<2SB[[53]D06=dgg~ycaokos]GTWGJ'Dg~tRm`uov\gmYeq}oP>PQHNE]12ZiX`nnS`{w_34:[JSS>;>>7naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;0UDYY8=_G66?fii|{egmia}_ERQEH)Je|rTob{at^ak[gsmR8VSJ@K_34\kZqnllUfyuQ=68]LQQ05WN>97naatsmoeaiuWMZYM@!Bmtz\gjsi|VicSow{eZ0^[BHCW;0UDYY9;3:aljqthdhnd~RJ_R@O,IhsWje~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>;7POTV45158?>6m`nuplhdbhzVN[^LC Mlw{[firf}UhdRlvtdY1YZAILV8=SbQxieg\ip~X:?3TCXZ7429`kkrugekocQKPSCN+HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?86_NWW<4243jeexacaemq[AVUID%FaxvPcnwmpZeoWksiVd:aljqthdhnd~RJ_R@O,IhsWmkmL<>e:aljqthdhnd~RJ_R@O,IhsWmkmL<>1d9`kkrugekocQKPSCN+HkrpVnjxlO=20g8gjhszffjhb|PDQPBI*Kj}qUomyoN223g?fii|{egmia}_ERQEH)Je|rThlznA23f?fii|{egmia}_ERQEH)Je|rThlznA232a>ehf}xd`lj`r^FSVDK(EdsSio{a@115`=dgg~ycaokos]GTWGJ'Dg~tRjnt`C074b?k;bmmpwikimeySI^]AL-Nip~Xlh~jM:?j;bmmpwikimeySI^]AL-Nip~Xlh~jM:?>e:aljqthdhnd~RJ_R@O,IhsWmkmL9=1d9`kkrugekocQKPSCN+HkrpVnjxlO830g8gjhszffjhb|PDQPBI*Kj}qUomyoN8203?fii|{egmia}_ERQEH)Je|rThlznA91\B76:09>4:4e10`8gjhszffjhb|PDQPBI*Yi8<;<0:0>c:aljqthdhnd~RJ_R@O,[k629>6<2ehf}xd`lj`r^FSVDK(Wg:>>:27>03g?fii|{egmia}_ERQEH)Xf9?9;161103`?fii|{egmia}_ERQEH)Xf9?8;1;110f8gjhszffjhb|PDQPBI*Yi8<9<080>10`8gjhszffjhb|PDQPBI*Yi8<9<0;0>c:aljqthdhnd~RJ_R@O,[k62;>6=2:46>::4e9:aljqthdhnd~RJ_R@O,[k62=>8:o6m`nuplhdbhzVN[^LC _o262=Ynf;o7naatsmoeaiuWMZYM@!Pn175n5loovqkigcg{UO\_OB/bj\gjhsWjyS~zntd]EWHYANm>9j6m`nuplhdbhzVN[^LC ci]`kkrXk|xTyo{e^DPIZ@Al='Bb>>4cnlwvjjflfxTH]\NM.ak[fii|Vi~~R}{aug\BVKXNOn?!D`>319`kkrugekocQKPSCN+fnXkfdSn{}_rvbp`YA[DUMJi:"Io004>ehf}xd`lj`r^FSVDK(kaUhcczPctp\wqgsmVLXARHId5/Jj6573jeexacaemq[AVUID%hdRm`nu]`qwYt|h~nSK]B_GDg0(Oi<::0ob`{rnnb`jtXLYXJA"mg_bmmpZerzVymykPFRO\BCb3%@d>?=5loovqkigcg{UO\_OB/bj\gjhsWjyS~zntd]EWHYANm>&Ec8=2:aljqthdhnd~RJ_R@O,gjsi|VicSow{e=2=67=dgg~ycaokos]GTWGJ'je~byQlh^`zp`:66;80ob`{rnnb`jtXLYXJA"m`uov\gmYeq}o7>3=i;bmmpwikimeySI^]AL-`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z42?VE^X1>13g9`kkrugekocQKPSCN+firf}UhdRlvtdY1YZAILV8=SbQxieg\ip~X:<=TCXZ31?1e?fii|{egmia}_ERQEH)dg|dSnfPbxvf_7[XOGNT>;Q`_vkgaZkrpV8>;RAZT=0=7c=dgg~ycaokos]GTWGJ'je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?7;5a3jeexacaemq[AVUID%hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<:7^MVP929;o1hccz}omcgkwYCX[KF#naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP245\KPR;=79m7naatsmoeaiuWMZYM@!lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^063ZIR\5<5?k5loovqkigcg{UO\_OB/bmvjqYd`VhrxhU=]^EM@Z41WfU|eikPmtz\601XG\^7;3=i;bmmpwikimeySI^]AL-`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z418VE^X1>13g9`kkrugekocQKPSCN+firf}UhdRlvtdY1YZAILV8=SbQxieg\ip~X:?:TCXZ31?1e?fii|{egmia}_ERQEH)dg|dSnfPbxvf_7[XOGNT>;Q`_vkgaZkrpV8=;>POTV?7;5a3jeexacaemq[AVUID%hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP929;o1hccz}omcgkwYCX[KF#naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP272\KPR;=79m7naatsmoeaiuWMZYM@!lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^054ZIR\5<5?k5loovqkigcg{UO\_OB/bmvjqYd`VhrxhU=]^EM@Z41WfU|eikPmtz\636XG\^7;3=i;bmmpwikimeySI^]AL-`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z41;VE^X1>13g9`kkrugekocQKPSCN+firf}UhdRlvtdY1YZAILV8=SbQxieg\ip~X:?9TCXZ31?1e?fii|{egmia}_ERQEH)dg|dSnfPbxvf_7[XOGNT>;Q`_vkgaZkrpV8=?RAZT=0=7c=dgg~ycaokos]GTWGJ'je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>;=POTV?7;5a3jeexacaemq[AVUID%hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<93^MVP929;o1hccz}omcgkwYCX[KF#naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;=79m7naatsmoeaiuWMZYM@!lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^057ZIR\5<5?k5loovqkigcg{UO\_OB/bmvjqYd`VhrxhU=]^EM@Z41WfU|eikPmtz\635XG\^7;3=i;bmmpwikimeySI^]AL-`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z41>VE^X1>13g9`kkrugekocQKPSCN+firf}UhdRlvtdY1YZAILV8=SbQxieg\ip~X:?;Q`_vkgaZkrpV8=:RAZT=0=7c=dgg~ycaokos]GTWGJ'je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>;8POTV?7;5a3jeexacaemq[AVUID%hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<96^MVP929;o1hccz}omcgkwYCX[KF#naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP274\KPR;=79m7naatsmoeaiuWMZYM@!lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\5<5?k5loovqkigcg{UO\_OB/bmvjqYd`VhrxhU=]^EM@Z41WfU|eikPmtz\630XG\^7;3=i;bmmpwikimeySI^]AL-`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z411VE^X1>13g9`kkrugekocQKPSCN+firf}UhdRlvtdY1YZAILV8=SbQxieg\ip~X:?3TCXZ31?1e?fii|{egmia}_ERQEH)dg|dSnfPbxvf_7[XOGNT>;Q`_vkgaZkrpV8=5RAZT=0=7c=dgg~ycaokos]GTWGJ'je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>;7POTV?7;5a3jeexacaemq[AVUID%hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<99^MVP929;o1hccz}omcgkwYCX[KF#naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP27;\KPR;=79m7naatsmoeaiuWMZYM@!lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^05=ZIR\5<5?k5loovqkigcg{UO\_OB/bmvjqYd`VhrxhU=]^EM@Z41WfU|eikPmtz\63?XG\^7;3<>;bmmpwikimeySI^]AL-`kphsWjbTntzj_102?fii|{egmia}_ERQEH)dg|dSnfPbxvf[4463jeexacaemq[AVUID%hcx`{_bj\f|rbW;;m7naatsmoeaiuWMZYM@!lotlw[ugdc5:5=k5loovqkigcg{UO\_OB/bmvjqYwija7=3?i;bmmpwikimeySI^]AL-`kphsWykhg1<11g9`kkrugekocQKPSCN+firf}U{mne33?3e?fii|{egmia}_ERQEH)dg|dS}olk=6=5c=dgg~ycaokos]GTWGJ'je~byQabi?1;7b3jeexacaemq[AVUID%hcx`{_qc`oZ6582idby|`l`flvZBWZHG$ob{at^rbgnY7W88>7naatsmoeaiuWMZYM@!lotlw[ugdcV:T~~zou3f?fii|{egmia}_ERQEH)dg|dS}olk^314>ehf}xd`lj`r^FSVDK(kfexR~ncj]2[4423jeexacaemq[AVUID%hcx`{_qc`oZ7Xzz~{cy?j;bmmpwikimeySI^]AL-`kphsWykhgR<=0:aljqthdhnd~RJ_R@O,gjsi|VzjofQ=_006?fii|{egmia}_ERQEH)dg|dS}olk^0\vvrwg};n7naatsmoeaiuWMZYM@!lotlw[ugdcV99;6m`nuplhdbhzVN[^LC cnwmpZvfkbU8Sjbze^qfp73e:aljqthdhnd~RJ_R@O,gjsi|VzjofQ:249`kkrugekocQKPSCN+firf}U{mneP5^pppuis:91hccz}omcgkwYCX[KF#io{abj2[ds4949<6m`nuplhdbhzVN[^LC d`vbgm7Xi|r7=3328gjhszffjhb|PDQPBI*bf|hic=Rozx=1=65=dgg~ycaokos]GTWGJ'mkmnf>_`w{818582idby|`l`flvZBWZHG$hlznci3\ep~;=78;7naatsmoeaiuWMZYM@!kauc`l4Yf}q6=2?>4cnlwvjjflfxTH]\NM.fbpdeo9Vk~t1911g9`kkrugekocQKPSCN+agsijb:Sl{w_13e?fii|{egmia}_ERQEH)ci}khd_`w{[77a3jeexacaemq[AVUID%omyolh0]bq}Y49o1hccz}omcgkwYCX[KF#io{abj2[dsW=;m7naatsmoeaiuWMZYM@!kauc`l4Yf}qU>=k5loovqkigcg{UO\_OB/ecwefn6WhsS;?i;bmmpwikimeySI^]AL-geqgd`8UjyuQ8219`kkrugekocQKPSCN+agsijb9Sl{w<1<14>ehf}xd`lj`r^FSVDK(lh~joe=5loovqkigcg{UO\_OB/ecwefn5Whs090=0:aljqthdhnd~RJ_R@O,`drfka8Tmxv35?03?fii|{egmia}_ERQEH)ci}khd?Qnuy>5:76328gjhszffjhb|PDQPBI*bf|hic?Rozx=1=65=dgg~ycaokos]GTWGJ'mkmnf<_`w{818582idby|`l`flvZBWZHG$hlznci1\ep~;=78;7naatsmoeaiuWMZYM@!kauc`l6Yf}q6=2?>4cnlwvjjflfxTH]\NM.fbpdeo;Vk~t1911g9`kkrugekocQKPSCN+agsijb8Sl{w_13e?fii|{egmia}_ERQEH)ci}khd>Qnuy]25c=dgg~ycaokos]GTWGJ'mkmnf<_`w{[77a3jeexacaemq[AVUID%omyolh2]bq}Y49o1hccz}omcgkwYCX[KF#io{abj0[dsW=;m7naatsmoeaiuWMZYM@!kauc`l6Yf}qU>=k5loovqkigcg{UO\_OB/ecwefn4WhsS;?i;bmmpwikimeySI^]AL-geqgd`:UjyuQ8219`kkrugekocQKPSCN+agsijb?Sl{w<1<14>ehf}xd`lj`r^FSVDK(lh~joe:Patz?5;473jeexacaemq[AVUID%omyolh5]bq}:56;:0ob`{rnnb`jtXLYXJA"jnt`ak0Zgrp595>=5loovqkigcg{UO\_OB/ecwefn3Whs090=0:aljqthdhnd~RJ_R@O,`drfka>Tmxv35?03?fii|{egmia}_ERQEH)ci}khd9Qnuy>5:76328gjhszffjhb|PDQPBI*bf|hic9Rozx=1=65=dgg~ycaokos]GTWGJ'mkmnf:_`w{818582idby|`l`flvZBWZHG$hlznci7\ep~;=78;7naatsmoeaiuWMZYM@!kauc`l0Yf}q6=2?>4cnlwvjjflfxTH]\NM.fbpdeo=Vk~t1911g9`kkrugekocQKPSCN+agsijb>Sl{w_13e?fii|{egmia}_ERQEH)ci}khd8Qnuy]25c=dgg~ycaokos]GTWGJ'mkmnf:_`w{[77a3jeexacaemq[AVUID%omyolh4]bq}Y49o1hccz}omcgkwYCX[KF#io{abj6[dsW=;m7naatsmoeaiuWMZYM@!kauc`l0Yf}qU>=k5loovqkigcg{UO\_OB/ecwefn2WhsS;?i;bmmpwikimeySI^]AL-geqgd`ehf}xd`lj`r^FSVDK(zhgTob`{r^avvZusi}oTJ^CPFGf0)Lh4:2idby|`l`flvZBWZHG$~lcPcnlwvZerzVymykPFRO\BCb4%@d8?95loovqkigcg{UO\_OB/scn[fii|{UhyQ|t`vf[CUJWOLo? Ga3^D00>ehf}xd`lj`r^FSVDK(zhgTob`{r^avvZusi}oTJ^CPFGf0)Lh4WN8n7naatsmoeaiuWMZYM@!}al]`kkruWjyS~zntd]EWHYANm>8=6m`nuplhdbhzVN[^LC r`o\gjhszVi~~R}{aug\BVKXNOn?!D`<2:aljqthdhnd~RJ_R@O,vdkXkfd~Rmzr^qweqcXNZGTJKj;-Hl277=dgg~ycaokos]GTWGJ'{kfSnaats]`qwYt|h~nSK]B_GDg0(Oi::80ob`{rnnb`jtXLYXJA"|nm^aljqtXk|xTyo{e^DPIZ@Al='Bb>=?;bmmpwikimeySI^]AL-qehYdgg~ySn{}_rvbp`YXf9?3;1>110;8gjhszffjhb|PDQPBI*twaVxn}??4cnlwvjjflfxTH]\NM.psmZtbyVxxx}a{1378gjhszffjhb|PDQPBI*twaVxn}R||tqmw5ZUP88n0ob`{rnnb`jtXLYXJA"||t^`bvZoi~830ob`{rnnb`jtXLYXJA"}jl^`vb4dehf}xd`lj`r^FSVDK({lfTnxh>1^QT44e99geqgF48437io{a@>1:==ci}kJ0>07;ecweD:3611omyoN<4<;?agsiH6=2l5kaucB82<7611omyoN<6<`?agsiHU;SBLZFb9geqgFW8UDNXHl;ecweDY5WFH^Jn5kaucB[6YHJ\Lh7io{a@]7[JDRNj1omyoN_4]LFP@d3mkmLQ9_N@VBf=ci}kJS:Q@BTDa?agsiVic=1>1b:fbpdYd`86:2i5kauc\gm7;:3:5n6jnt`]`l4:56o1omyoPci3\4ZOE]Ol0hlzn_bj2[4YNJ\Lm7io{a^ak5Z4XAK_Mn6jnt`]`l7:76k1omyoPci0?5;bR?PICWEb>bf|hUhd?Q=_H@VBg=ci}kToe=30?`8`drfWjb80<0k;ecweZeo;581<3l4d`vb[fn44;4m7io{a^ak7Z6XAK_Mj6jnt`]`l6Y6W@H^Jk5kauc\gm5X:VCIYKl4d`vb[fn3494i7io{a^ak0979l2njxlQlh5>1>58e3mkmRmg4=0=b>bf|hUhd9Q?_H@VBc=ci}kToe:P1^KAQC`bf|hUhd82>>e9geqgXka?7>7>1b:fbpdYd`<692k5kauc\gm3X8VCIYKh4d`vb[fn2W8UBNXHi;ecweZeo=V8TEO[Ie:fbpdYd}{Uxxlzjc:fbpdYumxUhd;ecweZtbyVic=RAMUGa8`drfW{ozSnf=109geqgXzl{Toebf|hUyi|Qlh232?agsiVxn}Rmg3^MAQCed:gntdYd`8%Fob{at^famquXkfexh|>e:gntdYd`8%Fob{at^famquXkfexh|>1d9fiugXka;$Anaznu]gflrtWje~byk}2338ahvfWjb:#@m`uov\`gos{Vidyczjr^tbh74/LalqkrXlkcRm`uovfvZpfd88h7hca^ak5*Kdg|dSilftr]`kphsm{Usc2?>03\MKPX8;<0i`~n_bj2+Heh}g~Thog{s^alqkrbzVrd~1?11005?`kwiVic="Clotlw[adn|zUhcx`{es]{kw:568;?46kbp`]`l4)Je|rThog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV244203lg{mRmg1.Onq}Ycj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY?>499fiugXka;$A`{w_e`jpvYdg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^37[JSS98;?46kbp`]`l4)Je|rThog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV2642?3lg{mRmg1.Onq}Ycj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY?<15:8ahvfWjb:#@czx^famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\8>:855jmqc\gm7(EdsSilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW507302of|lQlh0-Nip~XlkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ>606;?`kwiVic="Cbuy]gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]];<=964elrb[fn6'Dg~tRjmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP4>6<11na}oPci3,IhsWmhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[1837<>cjxhUhd>?;8:gntdYd`8%FaxvPdckwwZeh}g~n~V<7hca^ak5*Kj}qUondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU0602=beykToe? Mlw{[adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__?<:8;doseZeo9&GfyuQkbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ26<>1na}oPci3,IhsWmhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[5064?`kwiVic="Cbuy]gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]<:8:5jmqc\gm7(EdsSilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW34203lg{mRmg1.Onq}Ycj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY6>469fiugXka;$A`{w_e`jpvYdg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^37[JSS18;i7hca^ak5*Kj}qUyi~{c_rcnt4779j1na}oPci3,IhsW{oxyaQ|alr25576;2of|lQlh0-\j5438Vcez<84elrb[fn6'Vd;>9>Piot114743lg{mRmg1.]m46>0W`d}=95jmqc\gm7(Wg:84:Qfnw327>cjxhUhd0i`~n_bj2+Zh7;0>Tecx>1e9fiugXka;$oe`Pbhvp[devWkc<1>11e9fiugXka;$oe`Pbhvp[devWkc<1?11b9fiugXka;$oe`Pbhvp[devWkc>c:gntdYd`8%hdcQmiuq\efwXj`~;S7hca^ak5*ekcVkh}R|30?36?`kwiVic="mck^c`uZt;97;>7hca^ak5*ekcVkh}R|32?37?`kwiVic="mck^c`uZtX88>0i`~n_bj2+fjlWhizSQ>159fiugXka;$oaePabs\vZ46=2of|lQlh0-`hnYfkxUye~k>c:gntdYd`8%h`fQncp]qmvcXzz~{cycjxhUhd3:12/e`jpvYdg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^37[JSS48:5895jmqc\gm7(lkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ310<70>cjxhUhd?7hca^ak5*bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6568ahvfWjb:#ilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW8429<=1na}oPci3,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7=80;4:gntdYd`8%ondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU>22;233lg{mRmg1.famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\5;<29:4elrb[fn6'mhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[<0:=01=beykToe? dckwwZeh}g~n~V>568ahvfWjb:#ilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW8769<=1na}oPci3,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7><0;4:gntdYd`8%ondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU>16;233lg{mRmg1.famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\58829=4elrb[fn6'mhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[<3<77>cjxhUhd6:15/e`jpvYdg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^37[JSS4?4??6kbp`]`l4)cj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY28>518ahvfWjb:#ilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW8=83;2of|lQlh0-gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]622<64elrb[fn6'mhbx~QlotlwawY7911na}oPci3,`gos{Vidyczjr^32<>cjxhUhdcjxhUhd/sgpqiYjmdUbbyk}n`n?5486n2of|lQlh0-qavskWdofSd`{eslbh97568l0i`~n_bj2+wct}eUfi`Qfnugqjdj;9:4:j6kbp`]`l4)umzgS`kb_hlwawhfd5;?2f:gntdYd`8%yi~{c_lgn[lhsm{dj`1?9>0d8ahvfWjb:#k|um]nahYnf}oyblb316<2b>cjxhUhd/sgpqiYjmdUbbyk}n`n?5<86m2of|lQlh0-qavskWdofSd`{eslbh9799o1na}oPci3,v`urdVgnaRgatdpmei:587;m7hca^ak5*tb{|fTahcPiovfvkgk4;;5=k5jmqc\gm7(zly~`Rcjm^kmp`tiie69>3?i;doseZeo9&xnxbPmdo\mkrbzgkg0?=11d9fiugXka;$~h}zl^ofiZoi|lxema2=>0g8ahvfWjb:#k|um]nahYnf}oyblb33?3f?`kwiVic="|jstn\i`kXag~n~coc<5<2a>cjxhUhd5:4c/sgpqiYjmdUbbyk}n`n?3;7b3lg{mRmg1.pfwpjXelgTeczjroco8=86m2of|lQlh0-qavskWdofSd`{eslbh9?99m1na}oPci3,v`urdVgnaRgatdpmeiY79m1na}oPci3,v`urdVgnaRgatdpmeiY69l1na}oPci3,v`urdVgnaRgatdpmeiY688o0i`~n_bj2+wct}eUfi`QfnugqjdjX98;n7hca^ak5*tb{|fTahcPiovfvkgkW88:i6kbp`]`l4)umzgS`kb_hlwawhfdV;8=h5jmqc\gm7(zly~`Rcjm^kmp`tiieU:8e:gntdYd`8%yi~{c_lgn[lhsm{dj`R?81d9fiugXka;$~h}zl^ofiZoi|lxemaQ>80g8ahvfWjb:#k|um]nahYnf}oyblbP183g?`kwiVic="|jstn\i`kXag~n~coc_33f?`kwiVic="|jstn\i`kXag~n~coc_322a>cjxhUhd/sgpqiYjmdUbbyk}n`n\667c3lg{mRmg1.pfwpjXelgTeczjroco[67c3lg{mRmg1.pfwpjXelgTeczjroco[17c3lg{mRmg1.pfwpjXelgTeczjroco[07c3lg{mRmg1.pfwpjXelgTeczjroco[37c3lg{mRmg1.pfwpjXelgTeczjroco[27c3lg{mRmg1.pfwpjXelgTeczjroco[=7c3lg{mRmg1.pfwpjXelgTeczjroco[<463lg{mRmg1.pfwpjXelgTeczjroco[dsW98:7hca^ak5*tb{|fTahcPiovfvkgkWhsS<<=;doseZeo9&xnxbPmdo\mkrbzgkgSl{w_0216>cjxhUhd?<4elrb[fn6'{oxyaQbel]jjqcufhfTmxvP1201?`kwiVic="|jstn\i`kXag~n~coc_`w{[425:2of|lQlh0-qavskWdofSd`{eslbhZgrpV;>>?5jmqc\gm7(zly~`Rcjm^kmp`tiieUjyuQ>6308ahvfWjb:#k|um]nahYnf}oyblbPatz\52453lg{mRmg1.pfwpjXelgTeczjroco[dsW829>6kbp`]`l4)umzgS`kb_hlwawhfdVk~tR?6209fiugXka;$~h}zl^ofiZoi|lxemaQnuy]167=beykToe? rdqvhZkbeVcexh|aam]bq}Y58;80i`~n_bj2+wct}eUfi`QfnugqjdjXi|rT><<=;doseZeo9&xnxbPmdo\mkrbzgkgSl{w_3016>cjxhUhd?5jmqc\gm7(zly~`Rcjm^kmp`tiieUjyuQ=8308ahvfWjb:#k|um]nahYnf}oyblbPatz\6<463lg{mRmg1.pfwpjXelgTeczjroco[dsW:897hca^ak5*tb{|fTahcPiovfvkgkWhsS>>=2:gntdYd`8%yi~{c_lgn[lhsm{dj`Rozx^1267=beykToe? rdqvhZkbeVcexh|aam]bq}Y4:;80i`~n_bj2+wct}eUfi`QfnugqjdjXi|rT?><=;doseZeo9&xnxbPmdo\mkrbzgkgSl{w_2616>cjxhUhd<5jmqc\gm7(zly~`Rcjm^kmp`tiieUjyuQ:209fiugXka;$~h}zl^ofiZoi|lxemaQnuy]564=beykToe? rdqvhZkbeVcexh|aam]bq}Y0:81na}oPci3,v`urdVgnaRgatdpmeiYf}qU3><5jmqc\gm7(zly~`Rcjm^kmp`tiieUjyuQ61e9fiugXka8$Anaznu]gflrtWje~byk}1d9fiugXka8$Anaznu]gflrtWje~byk}10g8ahvfWjb9#@m`uov\`gos{Vidyczjr302?`kwiVic>"Clotlw[adn|zUhcx`{es]uei453lg{mRmg2.O`kphsWmhbx~QlotlwawYqie;9o6kbp`]`l7)JkfexRjmiuq\gjsi|lxTtb|30?32[LHQW98=7hca^ak6*Kdg|dSilftr]`kphsm{Usc2>>0312>cjxhUhd?!BcnwmpZbea}yTob{atdp\|jt;:7;:855jmqc\gm4(EdsSilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW5573?2of|lQlh3-Nip~XlkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ>15:8ahvfWjb9#@czx^famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\8;:855jmqc\gm4(EdsSilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW577302of|lQlh3-Nip~XlkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ>306;?`kwiVic>"Cbuy]gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]];?=964elrb[fn5'Dg~tRjmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP436<11na}oPci0,IhsWmhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[1737<>cjxhUhd?!Bmtz\`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^:;<:7;doseZeo:&GfyuQkbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ7?9=20i`~n_bj1+HkrpVniey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT0;20==beykToe< Mlw{[adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__>=?;8:gntdYd`;%FaxvPdckwwZeh}g~n~V37hca^ak6*Kj}qUondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU0151>499fiugXka8$A`{w_e`jpvYdg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^37[JSS:=;?;6kbp`]`l7)Je|rThog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV1111?;7:gntdYd`;%FaxvPdckwwZeh}g~n~VcjxhUhd?!Bmtz\`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^==994elrb[fn5'Dg~tRjmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP273?2of|lQlh3-Nip~XlkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ71558ahvfWjb9#@czx^famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\0;:n6kbp`]`l7)Je|rT~h}zl^qbiu7688i0i`~n_bj1+HkrpVxnxbPs`os54669:1na}oPci0,[k65<9Ubb{?9;doseZeo:&Ue0f8ahvfWjb9#nfa_ckwwZgdyVhbx=2>>0a8ahvfWjb9#nfa_ckwwZgdyVhbx=Q?1b9fiugXka8$oe`Pbhvp[devWkcd:gntdYd`;%hdcQmiuq\efwXj`~:0=0>d:gntdYd`;%hdcQmiuq\efwXj`~:0<0>c:gntdYd`;%hdcQmiuq\efwXj`~:S=?l;doseZeo:&icbRlftr]bgtYea};T=cjxhUhd?!llj]bgtYu484:96kbp`]`l7)ddbUjo|Q}<3<20>cjxhUhd?!llj]bgtYuW9;?7hca^ak6*ekcVkh}R|P1068ahvfWjb9#nbd_`ar[wY59<1na}oPci0,gimXij{T~d}j1b9fiugXka8$oaePabs\vlubW{y|bz=0:gntdYd`;%omyoPctp\wqgsmVLXARHId004?`kwiVic>"jnt`]`qwYt|h~nSK]B_GDg5Ztt|ye>=5jmqc\gm4(lh~jSn{}_rvbp`YA[DUMJi<=3:gntdYd`;%omyoPctp\wqgsmVLXARHId3/Jj723?67?`kwiVic>"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP9736=>0i`~n_bj1+adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__0<;1459fiugXka8$hog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV?5383<2of|lQlh3-gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]6:;3:;;doseZeo:&niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=3;:125jmqc\gm4(lkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ31?67?`kwiVic>"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP9476=>0i`~n_bj1+adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__0??1459fiugXka8$hog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV?6783<2of|lQlh3-gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]69?3:<;doseZeo:&niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=0=06=beykToe< dckwwZeh}g~n~V87hca^ak6*bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y65jmqc\gm4(lkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ37?60?`kwiVic>"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP9>9<:1na}oPci0,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^753?7;doseZeo:&niey}Pcnwmp`tX8820i`~n_bj1+adn|zUhcx`{es]25==beykToe< dckwwZeh}g~n~R<>7:gntdYd`;%{mnePctp\wqgsm;:0i`~n_bj1+ugdcVi~~R}{aug\cisbWzo=95jmqc\gm4(zmsTahcPatz2`>cjxhUhd?!}dx]nahYf}qUl`xkPsdv2a>cjxhUhd?!}erwo[hcjW`di`nl=2=5c=beykToe< rdqvhZkbeVcexh|aam>24;7a3lg{mRmg2.pfwpjXelgTeczjroco84799o1na}oPci0,v`urdVgnaRgatdpmei:6:7;m7hca^ak6*tb{|fTahcPiovfvkgk4895=k5jmqc\gm4(zly~`Rcjm^kmp`tiie6:83?i;doseZeo:&xnxbPmdo\mkrbzgkg0<;11g9fiugXka8$~h}zl^ofiZoi|lxema2>6?3e?`kwiVic>"|jstn\i`kXag~n~coc<05=5c=beykToe< rdqvhZkbeVcexh|aam>2<;7a3lg{mRmg2.pfwpjXelgTeczjroco84?99l1na}oPci0,v`urdVgnaRgatdpmei:668l0i`~n_bj1+wct}eUfi`Qfnugqjdj;:94:j6kbp`]`l7)umzgS`kb_hlwawhfd58:2?0>f:gntdYd`;%yi~{c_lgn[lhsm{dj`1<<>0g8ahvfWjb9#k|um]nahYnf}oyblb32?3f?`kwiVic>"|jstn\i`kXag~n~coc<2<2a>cjxhUhd?!}erwo[hcjW`di`nl=6=5`=beykToe< rdqvhZkbeVcexh|aam>6:4c99l1na}oPci0,v`urdVgnaRgatdpmei:>68n0i`~n_bj1+wct}eUfi`QfnugqjdjX88n0i`~n_bj1+wct}eUfi`QfnugqjdjX98o0i`~n_bj1+wct}eUfi`QfnugqjdjX99;n7hca^ak6*tb{|fTahcPiovfvkgkW8;:i6kbp`]`l7)umzgS`kb_hlwawhfdV;9=h5jmqc\gm4(zly~`Rcjm^kmp`tiieU:?e:gntdYd`;%yi~{c_lgn[lhsm{dj`R?91d9fiugXka8$~h}zl^ofiZoi|lxemaQ>70g8ahvfWjb9#k|um]nahYnf}oyblbP193f?`kwiVic>"|jstn\i`kXag~n~coc_0;2`>cjxhUhd?!}erwo[hcjW`di`nl^02a>cjxhUhd?!}erwo[hcjW`di`nl^035`=beykToe< rdqvhZkbeVcexh|aam]154c6l2of|lQlh3-qavskWdofSd`{eslbhZ?592of|lQlh3-qavskWdofSd`{eslbhZgrpV:9=6kbp`]`l7)umzgS`kb_hlwawhfdVk~tR?=2:gntdYd`;%yi~{c_lgn[lhsm{dj`Rozx^3367=beykToe< rdqvhZkbeVcexh|aam]bq}Y69;80i`~n_bj1+wct}eUfi`QfnugqjdjXi|rT=?<=;doseZeo:&xnxbPmdo\mkrbzgkgSl{w_0116>cjxhUhd?!}erwo[hcjW`di`nl^cv|Z73:;1na}oPci0,v`urdVgnaRgatdpmeiYf}qU:9?<4elrb[fn5'{oxyaQbel]jjqcufhfTmxvP1701?`kwiVic>"|jstn\i`kXag~n~coc_`w{[415:2of|lQlh3-qavskWdofSd`{eslbhZgrpV;3>?5jmqc\gm4(zly~`Rcjm^kmp`tiieUjyuQ>9338ahvfWjb9#k|um]nahYnf}oyblbPatz\6749<=;doseZeo:&xnxbPmdo\mkrbzgkgSl{w_3716>cjxhUhd?!}erwo[hcjW`di`nl^cv|Z41:;1na}oPci0,v`urdVgnaRgatdpmeiYf}qU9;?<4elrb[fn5'{oxyaQbel]jjqcufhfTmxvP2901?`kwiVic>"|jstn\i`kXag~n~coc_`w{[7?592of|lQlh3-qavskWdofSd`{eslbhZgrpV99>6kbp`]`l7)umzgS`kb_hlwawhfdVk~tR=?239fiugXka8$~h}zl^ofiZoi|lxemaQnuy]0574==2:gntdYd`;%yi~{c_lgn[lhsm{dj`Rozx^1767=beykToe< rdqvhZkbeVcexh|aam]bq}Y4=;;0i`~n_bj1+wct}eUfi`QfnugqjdjXi|rT8??4elrb[fn5'{oxyaQbel]jjqcufhfTmxvP5338ahvfWjb9#k|um]nahYnf}oyblbPatz\277cjxhUhd>!BcnwmpZbea}yTob{atdp\rdj5:2of|lQlh2-Ngjsi|Vniey}Pcnwmp`tX~hf:>n5jmqc\gm5(Eje~byQkbhvp[firf}oySua}<1<25ZOI^V:9:6kbp`]`l6)JkfexRjmiuq\gjsi|lxTtb|31?3263=beykToe= MbmvjqYcj`~xSnaznugq[}iu4;4:=964elrb[fn4'Dg~tRjmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP466<>1na}oPci1,IhsWmhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[106;?`kwiVic?"Cbuy]gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]];:=964elrb[fn4'Dg~tRjmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP446<11na}oPci1,IhsWmhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[1237<>cjxhUhd>!Bmtz\`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^:8<:7;doseZeo;&GfyuQkbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ729=20i`~n_bj0+HkrpVniey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT0420==beykToe= Mlw{[adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__=:?;8:gntdYd`:%FaxvPdckwwZeh}g~n~V37hca^ak7*Kj}qUondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU3:51>>499fiugXka9$A`{w_e`jpvYdg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^37[JSS:8;?46kbp`]`l6)Je|rThog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV1642?3lg{mRmg3.Onq}Ycj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY<<15:8ahvfWjb8#@czx^famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\;>:8:5jmqc\gm5(EdsSilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW60203lg{mRmg3.Onq}Ycj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY=>469fiugXka9$A`{w_e`jpvYdg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^37[JSS<8><7hca^ak7*Kj}qUondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU7202=beykToe= Mlw{[adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__:<:8;doseZeo;&GfyuQkbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ16<>1na}oPci1,IhsWmhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[8064?`kwiVic?"Cbuy]gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]3:=o5jmqc\gm5(EdsSk|um]pehv699;h7hca^ak7*Kj}qUyi~{c_rcnt4779890i`~n_bj0+Zh7:=:Tecx>6:gntdYd`:%Tb=<;0^kmr7369:1na}oPci1,[k640>Ubb{?;;doseZeo;&Ue<>68_hlu54530?3g?`kwiVic?"mgn^`jpvYfkxUiey>31?3`?`kwiVic?"mgn^`jpvYfkxUiey>P00a8ahvfWjb8#nfa_ckwwZgdyVhbx=Q>1e9fiugXka9$oe`Pbhvp[devWkc=1>11e9fiugXka9$oe`Pbhvp[devWkc=1?11b9fiugXka9$oe`Pbhvp[devWkc=R>>c:gntdYd`:%hdcQmiuq\efwXj`~:ScjxhUhd>!kauc\gptX{}kiRH\M^DE`4Yu{}zdx?>4elrb[fn4'mkmRmzr^qweqcXNZGTJKj=229fiugXka9$hlzn_bwq[vrf|lUM_@QIFe0.Mk433lg{mRmg3.fbpdYd}{Uxxlzj_GQN[C@c:$Ce=<74elrb[fn4'mhbx~Qlotlwaw:76830i`~n_bj0+adn|zUhcx`{es>2:4?518ahvfWjb8#ilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW8583<2of|lQlh2-gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]6:<3:;;doseZeo;&niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=32:12>SPwhff[hsW8>TCXZ312<70>cjxhUhd>!kbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ:6<7>?7hca^ak7*bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6568ahvfWjb8#ilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW8409<=1na}oPci1,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7=:0;4:gntdYd`:%ondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU>2<;233lg{mRmg3.famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\5;229=4elrb[fn4'mhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[<0<70>cjxhUhd>!kbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ:587>?7hca^ak7*bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6>568ahvfWjb8#ilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW8749<=1na}oPci1,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7>>0;3:gntdYd`:%ondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU>1:15518ahvfWjb8#ilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW8083;2of|lQlh2-gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]6=29=4elrb[fn4'mhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[<6<77>cjxhUhd>!kbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ:?6=90i`~n_bj0+adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__040>8:gntdYd`:%ondz|_bmvjqcuW9;37hca^ak7*bea}yTob{atdp\54>3:4`f:gntdYd`:%yi~{c_lgn[lhsm{dj`1?:>0d8ahvfWjb8#k|um]nahYnf}oyblb317<2b>cjxhUhd>!}erwo[hcjW`di`nl=34:4`68o0i`~n_bj0+wct}eUfi`Qfnugqjdj;97;m7hca^ak7*tb{|fTahcPiovfvkgk4;:5=k5jmqc\gm5(zly~`Rcjm^kmp`tiie69=3?i;doseZeo;&xnxbPmdo\mkrbzgkg0?<11g9fiugXka9$~h}zl^ofiZoi|lxema2=3?3f?`kwiVic?"|jstn\i`kXag~n~coc<3<2a>cjxhUhd>!}erwo[hcjW`di`nl=1=5`=beykToe= rdqvhZkbeVcexh|aam>7:4c?j;doseZeo;&xnxbPmdo\mkrbzgkgS<:>e:gntdYd`:%yi~{c_lgn[lhsm{dj`R?:1d9fiugXka9$~h}zl^ofiZoi|lxemaQ>60g8ahvfWjb8#k|um]nahYnf}oyblbP163f?`kwiVic?"|jstn\i`kXag~n~coc_0:2a>cjxhUhd>!}erwo[hcjW`di`nl^3:5a=beykToe= rdqvhZkbeVcexh|aam]15`=beykToe= rdqvhZkbeVcexh|aam]144c:81na}oPci1,v`urdVgnaRgatdpmeiYf}qU;><5jmqc\gm5(zly~`Rcjm^kmp`tiieUjyuQ>239fiugXka9$~h}zl^ofiZoi|lxemaQnuy]2474cjxhUhd>!}erwo[hcjW`di`nl^cv|Z70:;1na}oPci1,v`urdVgnaRgatdpmeiYf}qU:4?<4elrb[fn4'{oxyaQbel]jjqcufhfTmxvP1802?`kwiVic?"|jstn\i`kXag~n~coc_`w{[7453lg{mRmg3.pfwpjXelgTeczjroco[dsW;:9>6kbp`]`l6)umzgS`kb_hlwawhfdVk~tR<>239fiugXka9$~h}zl^ofiZoi|lxemaQnuy]1674;80i`~n_bj0+wct}eUfi`QfnugqjdjXi|rT>:<=;doseZeo;&xnxbPmdo\mkrbzgkgSl{w_3:16>cjxhUhd>!}erwo[hcjW`di`nl^cv|Z4>:81na}oPci1,v`urdVgnaRgatdpmeiYf}qU8>?5jmqc\gm5(zly~`Rcjm^kmp`tiieUjyuQ<0308ahvfWjb8#k|um]nahYnf}oyblbPatz\74453lg{mRmg3.pfwpjXelgTeczjroco[dsW:89>6kbp`]`l6)umzgS`kb_hlwawhfdVk~tR=<239fiugXka9$~h}zl^ofiZoi|lxemaQnuy]0074;doseZeo;&xnxbPmdo\mkrbzgkgSl{w_402?`kwiVic?"|jstn\i`kXag~n~coc_`w{[3463lg{mRmg3.pfwpjXelgTeczjroco[dsW>8:7hca^ak7*tb{|fTahcPiovfvkgkWhsS5<>;doseZeo;&xnxbPmdo\mkrbzgkgSl{w_83g?`kwiVic8"Clotlw[adn|zUhcx`{es3f?`kwiVic8"Clotlw[adn|zUhcx`{es32a>cjxhUhd9!BcnwmpZbea}yTob{atdp164=beykToe: MbmvjqYcj`~xSnaznugq[sgk:;1na}oPci6,Ifirf}Uondz|_bmvjqcuWkg=?m4elrb[fn3'DidyczPdckwwZeh}g~n~Rv`r=2=54YNF_U;>;5jmqc\gm2(Eje~byQkbhvp[firf}oySua}<0<2570cjxhUhd9!Bmtz\`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^:=<:7;doseZeo<&GfyuQkbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ759=20i`~n_bj7+HkrpVniey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT0120==beykToe: Mlw{[adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__=9?;8:gntdYd`=%FaxvPdckwwZeh}g~n~V37hca^ak0*Kj}qUondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU3551>499fiugXka>$A`{w_e`jpvYdg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^37[JSS91;?46kbp`]`l1)Je|rThog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV2=42?3lg{mRmg4.Onq}Ycj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY>SPwhff[hsW8>TCXZ=306;?`kwiVic8"Cbuy]gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]8?=994elrb[fn3'Dg~tRjmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP733?2of|lQlh5-Nip~XlkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ<1558ahvfWjb?#@czx^famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\=;?;6kbp`]`l1)Je|rThog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV6511cjxhUhd9!Bmtz\`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^2=;Sd`y179fiugXka>$Sc>=41]jjs429890i`~n_bj7+Zh7;1=Tecx>4:gntdYd`=%Tb==77^kmr4743lg{mRmg4.]m46?3W`d}=95jmqc\gm2(Wg:859Qfnw32`>cjxhUhd9!lho]amquXij{Tndz?<1<2`>cjxhUhd9!lho]amquXij{Tndz?<0<2g>cjxhUhd9!lho]amquXij{Tndz?_13`?`kwiVic8"mgn^`jpvYfkxUiey>P10f8ahvfWjb?#nfa_ckwwZgdyVhbx<2?>0f8ahvfWjb?#nfa_ckwwZgdyVhbx<2>>0a8ahvfWjb?#nfa_ckwwZgdyVhbx$oe`Pbhvp[devWkc=R?>d:gntdYd`=%hdcQmiuq\efwXj`~90=0>d:gntdYd`=%hdcQmiuq\efwXj`~90<0>c:gntdYd`=%hdcQmiuq\efwXj`~9S=?l;doseZeo<&icbRlftr]bgtYea}8T=<;4elrb[fn3'jf`Slm~_s>3:431:42cjxhUhd9!llj]bgtYuW;;>7hca^ak0*ekcVkh}R|fsd3`?`kwiVic8"mck^c`uZtn{lUyy~`t328ahvfWjb?#io{a^avvZusi}oTJ^CPFGf262=beykToe: d`vb[fsuWz~jxhQISL]EBa7Xzz~{cy3lg{mRmg4.famquXkfexh|32?60?`kwiVic8"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP969<=1na}oPci6,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7==0;4:gntdYd`=%ondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU>25;233lg{mRmg4.famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\5;929:4elrb[fn3'mhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[<01=01=beykToe: dckwwZeh}g~n~V5?67?`kwiVic8"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP9716=>0i`~n_bj7+adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__0<91459fiugXka>$hog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV?5=83<2of|lQlh5-gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]6:53:<;doseZeo<&niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=3=01=beykToe: dckwwZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP9456=>0i`~n_bj7+adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__0?=1429fiugXka>$hog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV?6;243lg{mRmg4.famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\5958>5jmqc\gm2(lkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ34?60?`kwiVic8"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP939<:1na}oPci6,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7:3:<;doseZeo<&niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=5=06=beykToe: dckwwZeh}g~n~V87hca^ak0*bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6$hog{s^alqkrbzV::46kbp`]`l1)cj`~xSnaznugq[47?3lg{mRmg4.famquXkfexh|P2058ahvfWjb?#}olk^avvZusi}o9<6kbp`]`l1)wijaTox|PsucwaZak}lUxiy?;;doseZeo<&xouRcjm^cv|4b$~h}zl^ofiZoi|lxema2>5?3e?`kwiVic8"|jstn\i`kXag~n~coc<04=5c=beykToe: rdqvhZkbeVcexh|aam>23;7a3lg{mRmg4.pfwpjXelgTeczjroco84>99o1na}oPci6,v`urdVgnaRgatdpmei:617;n7hca^ak0*tb{|fTahcPiovfvkgk484:j6kbp`]`l1)umzgS`kb_hlwawhfd58;2<0>f:gntdYd`=%yi~{c_lgn[lhsm{dj`1<=>0d8ahvfWjb?#k|um]nahYnf}oyblb322<2a>cjxhUhd9!}erwo[hcjW`di`nl=0=5`=beykToe: rdqvhZkbeVcexh|aam>0:4ce:gntdYd`=%yi~{c_lgn[lhsm{dj`R?;1d9fiugXka>$~h}zl^ofiZoi|lxemaQ>50g8ahvfWjb?#k|um]nahYnf}oyblbP173f?`kwiVic8"|jstn\i`kXag~n~coc_052a>cjxhUhd9!}erwo[hcjW`di`nl^3;5`=beykToe: rdqvhZkbeVcexh|aam]2=4b8n0i`~n_bj7+wct}eUfi`QfnugqjdjX?8n0i`~n_bj7+wct}eUfi`QfnugqjdjX08n0i`~n_bj7+wct}eUfi`QfnugqjdjX1;;0i`~n_bj7+wct}eUfi`QfnugqjdjXi|rT6kbp`]`l1)umzgS`kb_hlwawhfdVk~tR?=239fiugXka>$~h}zl^ofiZoi|lxemaQnuy]2774cjxhUhd9!}erwo[hcjW`di`nl^cv|Z45:2of|lQlh5-qavskWdofSd`{eslbhZgrpV8;>?5jmqc\gm2(zly~`Rcjm^kmp`tiieUjyuQ=1308ahvfWjb?#k|um]nahYnf}oyblbPatz\67453lg{mRmg4.pfwpjXelgTeczjroco[dsW;99>6kbp`]`l1)umzgS`kb_hlwawhfdVk~tR<;239fiugXka>$~h}zl^ofiZoi|lxemaQnuy]1174?5jmqc\gm2(zly~`Rcjm^kmp`tiieUjyuQ<3308ahvfWjb?#k|um]nahYnf}oyblbPatz\71453lg{mRmg4.pfwpjXelgTeczjroco[dsW:?9=6kbp`]`l1)umzgS`kb_hlwawhfdVk~tR:=1:gntdYd`=%yi~{c_lgn[lhsm{dj`Rozx^715>cjxhUhd9!}erwo[hcjW`di`nl^cv|Z0592of|lQlh5-qavskWdofSd`{eslbhZgrpV=9=6kbp`]`l1)umzgS`kb_hlwawhfdVk~tR6=1:gntdYd`=%yi~{c_lgn[lhsm{dj`Rozx^;2`>cjxhUhd8!BcnwmpZbea}yTob{atdp2a>cjxhUhd8!BcnwmpZbea}yTob{atdp25`=beykToe; MbmvjqYcj`~xSnaznugq6773:47XAG\T24;433lg{mRmg5.O`kphsWzkf|Rm`uov\g|:687Uyx?>4elrb[fn2'DidyczPs`os[firf}Uhu1?1229fiugXka?$Anaznu]pehvXkfexRmv<0<\vq473lg{mRmg5.O`kphsWzkf|Rm`uov\g|:56;90i`~n_bj6+Heh}g~Tlc_bmvjqYdq585Sz=0:gntdYd`<%Fob{at^qbiuYdg|dSnw33?00?`kwiVic9"Clotlw[vgjxVidyczPcx>0:Zts:91na}oPci7,Ifirf}Uxm`~PcnwmpZe~4=49?6kbp`]`l0)JkfexR}nmq]`kphsWjs783Q}t328ahvfWjb>#@m`uov\wdkwWje~byQly=7=66=beykToe; MbmvjqYtidzTob{at^az808Xz}8;7hca^ak1*Kdg|dS~obp^alqkrXkp6=2?=4elrb[fn2'DidyczPs`os[firf}Uhu181_sv14>cjxhUhd8!BcnwmpZufeyUhcx`{_b{?3;443lg{mRmg5.O`kphsWzkf|Rm`uov\g|:06Vx>=5jmqc\gm3(Eje~byQ|alr\gjsi|Vir050=3:gntdYd`<%Fob{at^qbiuYdg|dSnw38?]qp76;doseZeo=&Ghcx`{_rcntZeh}g~T`xz30?04?`kwiVic9"Clotlw[vgjxVidyczPltv?4;YNF_U;><5jmqc\gm3(Eje~byQ|alr\gjsi|Vrd~1>1239fiugXka?$Anaznu]pehvXkfexRv`r=33:747hca^ak1*Kdg|dS~obp^alqkrXpfx7=<0Pru02?`kwiVic9"Clotlw[vgjxVidyczPxnp?5;463lg{mRmg5.O`kphsWzkf|Rm`uov\|jt;:78:7hca^ak1*Kdg|dS~obp^alqkrXpfx7?3<>;doseZeo=&Ghcx`{_rcntZeh}g~Ttb|34?02?`kwiVic9"Clotlw[vgjxVidyczPxnp?1;463lg{mRmg5.O`kphsWzkf|Rm`uov\|jt;>78:7hca^ak1*Kdg|dS~obp^alqkrXpfx7;3<>;doseZeo=&Ghcx`{_rcntZeh}g~Ttb|38?02?`kwiVic9"Clotlw[vgjxVidyczPxnp?=;2?3lg{mRmg5.Onq}Ycj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY??1558ahvfWjb>#@czx^famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\8;?46kbp`]`l0)Je|rThog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV2542?3lg{mRmg5.Onq}Ycj`~xSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9=UDYY?=15:8ahvfWjb>#@czx^famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\89:855jmqc\gm3(EdsSilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW517302of|lQlh4-Nip~XlkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ>506;?`kwiVic9"Cbuy]gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]];==964elrb[fn2'Dg~tRjmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP416<11na}oPci7,IhsWmhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[1937<>cjxhUhd8!Bmtz\`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^:5<:7;doseZeo=&GfyuQkbhvp[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP15]LQQ479=20i`~n_bj6+HkrpVniey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT3320==beykToe; Mlw{[adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__>??;8:gntdYd`<%FaxvPdckwwZeh}g~n~V37hca^ak1*Kj}qUondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU07511cjxhUhd8!Bmtz\`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^>=994elrb[fn2'Dg~tRjmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP373?2of|lQlh4-Nip~XlkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ81558ahvfWjb>#@czx^famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\1;?;6kbp`]`l0)Je|rThog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV:54dcjxhUhd8!Bmtz\v`urdVyja}?>0031?`kwiVic9"\jstnw8586;2of|lQlh4-Qavsk|5;;2<=4elrb[fn2'[oxyaz310<26>cjxhUhd8!]erwop9799;1na}oPci7,V`urd}692<<4elrb[fn2'[oxyaz33?31?`kwiVic9"\jstnw8186:2of|lQlh4-Qavsk|5?5=?5jmqc\gm3(Zly~`y29>008ahvfWjb>#_k|umv?3;753lg{mRmg5.Pfwpjs414:>6kbp`]`l0)Umzgx171f:gntdYd`<%Tb=<=8028ahvfWjb>#R`?23:255=beykToe; _o216=4682of|lQlh4-\j5450:;87hca^ak1*Yi8;>;Sd`y179fiugXka?$Sc>=41]jjs429890i`~n_bj6+Zh7;1=Tecx>4:gntdYd`<%Tb==77^kmr4743lg{mRmg5.]m46?3W`d}=95jmqc\gm3(Wg:859Qfnw32`>cjxhUhd8!lho]amquXij{Tndz?<1<2`>cjxhUhd8!lho]amquXij{Tndz?<0<2g>cjxhUhd8!lho]amquXij{Tndz?_13`?`kwiVic9"mgn^`jpvYfkxUiey>P10f8ahvfWjb>#nfa_ckwwZgdyVhbx<2?>0f8ahvfWjb>#nfa_ckwwZgdyVhbx<2>>0a8ahvfWjb>#nfa_ckwwZgdyVhbxd:gntdYd`<%hdcQmiuq\efwXj`~90=0>d:gntdYd`<%hdcQmiuq\efwXj`~90<0>c:gntdYd`<%hdcQmiuq\efwXj`~9S=?l;doseZeo=&icbRlftr]bgtYea}8T=<;4elrb[fn2'jf`Slm~_s>3:431:42cjxhUhd8!llj]bgtYuW;;>7hca^ak1*ekcVkh}R|fsd3`?`kwiVic9"mck^c`uZtn{lUyy~`t328ahvfWjb>#io{a^avvZusi}oTJ^CPFGf262=beykToe; d`vb[fsuWz~jxhQISL]EBa7Xzz~{cy#io{a^avvZusi}oTJ^CPFGf1)Lh5<2of|lQlh4-geqgXk|xTyo{e^DPIZ@Al;'Bb3lg{mRmg5.famquXkfexh|32?60?`kwiVic9"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP969<=1na}oPci7,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7==0;4:gntdYd`<%ondz|_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=9Q@UU>25;233lg{mRmg5.famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\5;929:4elrb[fn2'mhbx~Qlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;?SB[[<01=01=beykToe; dckwwZeh}g~n~V5?67?`kwiVic9"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP9716=>0i`~n_bj6+adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__0<91459fiugXka?$hog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV?5=83<2of|lQlh4-gflrtWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\51YH]]6:53:<;doseZeo=&niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=3=01=beykToe; dckwwZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP9456=>0i`~n_bj6+adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__0?=1429fiugXka?$hog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV?6;243lg{mRmg5.famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\5958>5jmqc\gm3(lkcRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8>TCXZ34?60?`kwiVic9"jmiuq\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP939<:1na}oPci7,`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7:3:<;doseZeo=&niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=5=06=beykToe; dckwwZeh}g~n~V87hca^ak1*bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6#}olk^avvZusi}o9<6kbp`]`l0)wijaTox|PsucwaZak}lUxiy?;;doseZeo=&xouRcjm^cv|4b5?3e?`kwiVic9"|jstn\i`kXag~n~coc<04=5c=beykToe; rdqvhZkbeVcexh|aam>23;7a3lg{mRmg5.pfwpjXelgTeczjroco84>99o1na}oPci7,v`urdVgnaRgatdpmei:617;n7hca^ak1*tb{|fTahcPiovfvkgk484:j6kbp`]`l0)umzgS`kb_hlwawhfd58;2<0>f:gntdYd`<%yi~{c_lgn[lhsm{dj`1<=>0d8ahvfWjb>#k|um]nahYnf}oyblb322<2a>cjxhUhd8!}erwo[hcjW`di`nl=0=5`=beykToe; rdqvhZkbeVcexh|aam>0:4ce:gntdYd`<%yi~{c_lgn[lhsm{dj`R?;1d9fiugXka?$~h}zl^ofiZoi|lxemaQ>50g8ahvfWjb>#k|um]nahYnf}oyblbP173f?`kwiVic9"|jstn\i`kXag~n~coc_052a>cjxhUhd8!}erwo[hcjW`di`nl^3;5`=beykToe; rdqvhZkbeVcexh|aam]2=4b8n0i`~n_bj6+wct}eUfi`QfnugqjdjX?8n0i`~n_bj6+wct}eUfi`QfnugqjdjX08n0i`~n_bj6+wct}eUfi`QfnugqjdjX1;;0i`~n_bj6+wct}eUfi`QfnugqjdjXi|rT#k|um]nahYnf}oyblbPatz\55453lg{mRmg5.pfwpjXelgTeczjroco[dsW8;9>6kbp`]`l0)umzgS`kb_hlwawhfdVk~tR?=239fiugXka?$~h}zl^ofiZoi|lxemaQnuy]2774cjxhUhd8!}erwo[hcjW`di`nl^cv|Z45:2of|lQlh4-qavskWdofSd`{eslbhZgrpV8;>?5jmqc\gm3(zly~`Rcjm^kmp`tiieUjyuQ=1308ahvfWjb>#k|um]nahYnf}oyblbPatz\67453lg{mRmg5.pfwpjXelgTeczjroco[dsW;99>6kbp`]`l0)umzgS`kb_hlwawhfdVk~tR<;239fiugXka?$~h}zl^ofiZoi|lxemaQnuy]1174?5jmqc\gm3(zly~`Rcjm^kmp`tiieUjyuQ<3308ahvfWjb>#k|um]nahYnf}oyblbPatz\71453lg{mRmg5.pfwpjXelgTeczjroco[dsW:?9=6kbp`]`l0)umzgS`kb_hlwawhfdVk~tR:=1:gntdYd`<%yi~{c_lgn[lhsm{dj`Rozx^715>cjxhUhd8!}erwo[hcjW`di`nl^cv|Z0592of|lQlh4-qavskWdofSd`{eslbhZgrpV=9=6kbp`]`l0)umzgS`kb_hlwawhfdVk~tR6=1:gntdYd`<%yi~{c_lgn[lhsm{dj`Rozx^;2f>cjxhUhd8!}su]aewYnf;TECXP0048ahvfWjb>#~obp^alqkr;87;<7hca^ak1*ufeyUhcx`{<02=52=beykToe; s`os[firf}6:=3?9;doseZeo=&yja}Qlotlw8486>2of|lQlh4-pehvXkfex1<1179fiugXka?$lc_bmvjq:468<0i`~n_bj6+vgjxVidycz34?35?`kwiVic9"}nmq]`kphs4<4::6kbp`]`l0)tidzTob{at=4=53=beykToe; s`os[firf}6<2<84elrb[fn2'zkf|Rm`uov?<;713lg{mRmg5.qbiuYdg|d040>5:gntdYd`<%xm`~PcnwmpZ66=2of|lQlh4-pehvXkfexR?>6:gntdYd`<%xm`~PcnwmpZ779?1na}oPci7,wdkwWje~byQ>1078ahvfWjb>#~obp^alqkrX:8?0i`~n_bj6+vgjxVidyczP3078ahvfWjb>#~obp^alqkrX<8?0i`~n_bj6+vgjxVidyczP5078ahvfWjb>#~obp^alqkrX>8?0i`~n_bj6+vgjxVidyczP7078ahvfWjb>#~obp^alqkrX08?0i`~n_bj6+vgjxVidyczP929fjD>abflxjhb|Prds,Ifirf}Uhcx`{_bnlgnYdq5;;2R|{269dakcuimeySk~/LalqkrXkfexRmcobi\g|:6978j7jkaescgkwYumx%Fob{at^alqkrXkeehgRmv<03=[wr5?2mnbh|ndnp\v`w(Eje~byQlotlw[fjhkbUhu1?=>3c8c`hbzhnd~R|jq.O`kphsWje~byQllnah[f;9;4T~y<8;fgmawgcg{Uyi|!BcnwmpZeh}g~Toaalk^az8459:h1lick}aemq[wcv'DidyczPcnwmpZekgjaTot2>3?]qp71:5heogqeaiuW{oz#@m`uov\gjsi|VigcnePcx>21;4f3noeiokos]qat)JkfexRm`uov\giidcVir0<;1_sv13>abflxjhb|Prds,Ifirf}Uhcx`{_bnlgnYdq5;=2?o4gdlfvdbhzVxn}"Clotlw[firf}Uh`bmd_b{?538Xz}8=7jkaescgkwYumx%Fob{at^alqkrXkeehgRmv<0<1=>abflxjhb|Prds,Ifirf}Uhcx`{_bnlgnYdq5;5Sz=6:efj`tflfxT~h MbmvjqYdg|dSnb`cj]`}949:01lick}aemq[wcv'DidyczPcnwmpZekgjaTot2=>^pw63=`mgoymia}_sgr+Heh}g~Tob{at^aokfmXkp682?74gdlfvdbhzVxn}"Clotlw[firf}Uh`bmd_b{?7;Yu|;<0kh`jr`flvZtby&Ghcx`{_bmvjqYddfi`Snw34?0:?bcim{kocQ}ep-Ngjsi|VidyczPcmm`oZe~4=4T~y<9;fgmawgcg{Uyi|!BcnwmpZeh}g~Toaalk^az808512mnbh|ndnp\v`w(Eje~byQlotlw[fjhkbUhu1;1_sv12>abflxjhb|Prds,Ifirf}Uhcx`{_bnlgnYdq5<5>45heogqeaiuW{oz#@m`uov\gjsi|VigcnePcx>5:Zts:?1lick}aemq[wcv'DidyczPcnwmpZekgjaTot28>3;8c`hbzhnd~R|jq.O`kphsWje~byQllnah[f;?7Uyx?84gdlfvdbhzVxn}"Clotlw[firf}Uh`bmd_b{?<;4>3noeiokos]qat)JkfexRm`uov\giidcVir050Pru05?bcim{kocQ}ep-Ngjsi|VidyczPcmm`oZe~404956ijndpb`jtXzl{$Anaznu]`kphsWjfdofQly=;=[wr5?2mnbh|ndnp\v`w(Eje~byQlotlw[fjhkbUgyy2?>3f8c`hbzhnd~R|jq.O`kphsWje~byQllnah[iss494TECXP0368c`hbzhnd~R|jq.O`kphsWje~byQllnah[sgk:11lick}aemq[wcv'DidyczPcnwmpZekgjaTzlbPSV213>abflxjhb|Prds,Ifirf}Uhcx`{_bnlgnYg{6;2?64gdlfvdbhzVxn}"Clotlw[firf}Uh`bmd_ymq8469:11lick}aemq[wcv'DidyczPcnwmpZekgjaTtb|310<1<>abflxjhb|Prds,Ifirf}Uhcx`{_bnlgnYg{6:>3<7;fgmawgcg{Uyi|!BcnwmpZeh}g~Toaalk^zlv9746;20kh`jr`flvZtby&Ghcx`{_bmvjqYddfi`Sua}<06=6==`mgoymia}_sgr+Heh}g~Tob{at^aokfmXpfx7=80=8:efj`tflfxT~h MbmvjqYdg|dSnb`cj]{kw:6>7837jkaescgkwYumx%Fob{at^alqkrXkeehgRv`r=34:7dabflxjhb|Prds,Ifirf}Uhcx`{_bnlgnYg{6:2?94gdlfvdbhzVxn}"Clotlw[firf}Uh`bmd_ymq8785?2mnbh|ndnp\v`w(Eje~byQlotlw[fjhkbUsc2<>358c`hbzhnd~R|jq.O`kphsWje~byQllnah[}iu4=49;6ijndpb`jtXzl{$Anaznu]`kphsWjfdofQwos>6:71abflxjhb|Prds,Ifirf}Uhcx`{_bnlgnYg{622?94gdlfvdbhzVxn}"Clotlw[firf}U{ya}js^az8585?2mnbh|ndnp\v`w(Eje~byQlotlw[usk{lyTot2>>3c8c`hbzhnd~R|jq.O`kphsWje~byQumqfwZe~484T~y<8;fgmawgcg{Uyi|!BcnwmpZeh}g~T|xb|er]`}949:h1lick}aemq[wcv'DidyczPcnwmpZvrdzoxSnw32?]qp710=a:efj`tflfxT~h MbmvjqYdg|dS}{csdq\g|:46Vx>:5heogqeaiuW{oz#@m`uov\gjsi|Vz~`~k|_b{?0;4f3noeiokos]qat)JkfexRm`uov\tpjtmzUhu1:1_sv13>abflxjhb|Prds,Ifirf}Uhcx`{_qwow`uXkp6>2?o4gdlfvdbhzVxn}"Clotlw[firf}U{ya}js^az808Xz}8<7jkaescgkwYumx%Fob{at^alqkrXx|fxi~Qly=4=6d=`mgoymia}_sgr+Heh}g~Tob{at^rvhvctWjs7:3Q}t358c`hbzhnd~R|jq.O`kphsWje~byQumqfwZe~4>49m6ijndpb`jtXzl{$Anaznu]`kphsWygh}Pcx>4:Zts:>1lick}aemq[wcv'DidyczPcnwmpZvrdzoxSnw38?0b?bcim{kocQ}ep-Ngjsi|VidyczPptnpavYdq525Sz=8:efj`tflfxT~h MbmvjqYdg|dS}{csdq\hpr;878n7jkaescgkwYumx%Fob{at^alqkrXx|fxi~Qcuu>3:ZOI^V:946ijndpb`jtXzl{$Anaznu]`kphsWygh}Pxnp?4;4?3noeiokos]qat)JkfexRm`uov\tpjtmzUsc2>>3:8c`hbzhnd~R|jq.O`kphsWje~byQumqfwZ~hz585>55heogqeaiuW{oz#@m`uov\gjsi|Vz~`~k|_ymq868502mnbh|ndnp\v`w(Eje~byQlotlw[usk{lyTtb|34?0;?bcim{kocQ}ep-Ngjsi|VidyczPptnpavYg{6>2?64gdlfvdbhzVxn}"Clotlw[firf}U{ya}js^zlv909:11lick}aemq[wcv'DidyczPcnwmpZvrdzoxSua}<6<1<>abflxjhb|Prds,Ifirf}Uhcx`{_qwow`uXpfx743<7;fgmawgcg{Uyi|!BcnwmpZeh}g~T|xb|er]{kw:>6;h0kh`jr`flvZtby&Ghcx`{_bmvjqYw}eynRv`r=;=[wr4<2mnbh|ndnp\v`w(X^XT4RaPcnwmpZekgjaP=:SPepwbhZ3Xg5;<2<=7;fgmawgcg{Uyi|!_WS];[jYdg|dSnb`cjY23XYby|kgS8Q`<05=5ZUP8:20kh`jr`flvZtby&Z\^R6Po^alqkrXkeehgV?8]^grqdjX=Ve7=:0>_RU26c=`mgoymia}_sgr+UQUW1UdSnaznu]sqiub{R3VShzam]7[j553noeiokos]qat)W_[U3SbQlotlw[usk{lyP5PQjqtco[1Yh4048:6ijndpb`jtXzl{$\Z\P8^m\gjsi|Vz~`~k|[8_\atsfdV>Tc171_RU376=`mgoymia}_sgr+UQUW1UdSnaznu]sqiub{R3VShzam]7[jYnf;<7jkaescgkwYumx%Yi~{ct=2=5==`mgoymia}_sgr+Wct}e~7<3?>8:efj`tflfxT~h Rdqvhq:687;37jkaescgkwYumx%Yi~{ct=32:4>20;7?3noeiokos]qat)Umzgx1?:>0:8c`hbzhnd~R|jq.Pfwpjs48<5=55heogqeaiuW{oz#_k|umv?5286?2mnbh|ndnp\v`w(Zly~`y2>>0:8c`hbzhnd~R|jq.Pfwpjs484:=:5heogqeaiuW{oz#_k|umv?6;7?3noeiokos]qat)Umzgx1<11058c`hbzhnd~R|jq.Pfwpjs4:4:46ijndpb`jtXzl{$^h}zlu>0:4703noeiokos]qat)Umzgx1:1199dakcuimeySk~/Sgpqir;<7;:;6ijndpb`jtXzl{$^h}zlu>6:4>169dakcuimeySk~/Sgpqir;>7;37jkaescgkwYumx%Yi~{ct=4=5418:efj`tflfxT~h Rdqvhq:068;<7jkaescgkwYumx%Yi~{ct=:=5==`mgoymia}_sgr+Wct}e~743?>7:efj`tflfxT~h Rdqvhq:>6820kh`jr`flvZtby&Xnxb{<8<251=`mgoymia}_sgr+Zh78=3:96ijndpb`jtXzl{$Sc>?4832<>abflxjhb|Prds,[k67=>Ubb{?6;fgmawgcg{Uyi|!Pn1263Zoi~8;j7jkaescgkwYumx%Tb=>:7^kmr476j2mnbh|ndnp\v`w(Wg:;9:Qfnw3254?_hlu[VQ79j1lick}aemq[wcv'Vd;<;?Piot\WR76m2mnbh|ndnp\v`w(Wg:;:7:4eabflxjhb|Prds,gjsi|Vigcne37?3`?bcim{kocQ}ep-`kphsWjfdof27>0a8c`hbzhnd~R|jq.alqkrXkeehg1711c9dakcuimeySk~/bmvjqYddfi`S=<=;fgmawgcg{Uyi|!lotlw[fjhkbU;S}{pnv2f>abflxjhb|Prds,gjsi|VigcneP10a8c`hbzhnd~R|jq.alqkrXkeehgR??229dakcuimeySk~/bmvjqYddfi`S<>Prrvskq7d3noeiokos]qat)dg|dSnb`cj]2575Q}surlp4ec:efj`tflfxT~h cnwmpZekgjaT=;<<;fgmawgcg{Uyi|!lotlw[fjhkbU::R||tqmw5f=`mgoymia}_sgr+firf}Uh`bmd_0517>abflxjhb|Prds,gjsi|VigcneP16]qwqvh|;80kh`jr`flvZtby&idyczPcmm`oZ7Xzz~{cy?m;fgmawgcg{Uyi|!lotlw[fjhkbU9>?5heogqeaiuW{oz#naznu]`hjelW;Uyy~`t0`8c`hbzhnd~R|jq.alqkrXkeehgR==2:efj`tflfxT~h cnwmpZekgjaT?R||tqmw5g=`mgoymia}_sgr+firf}Uh`bmd_501?bcim{kocQ}ep-`kphsWjfdofQ;_sqwtjr6j2mnbh|ndnp\v`w(kfexRmcobi\1746ijndpb`jtXzl{$ob{at^aokfmX?Vxxx}a{1c9dakcuimeySk~/bmvjqYddfi`S5<=;fgmawgcg{Uyi|!lotlw[fjhkbU3S}{pnv2f>abflxjhb|Prds,gjsi|VigcneP9308c`hbzhnd~R|jq.alqkrXkeehgR7Prrvskq7c3noeiokos]qat)dg|dS}{csdq?4;7c3noeiokos]qat)dg|dS}{csdq?5;7c3noeiokos]qat)dg|dS}{csdq?6;7c3noeiokos]qat)dg|dS}{csdq?7;7c3noeiokos]qat)dg|dS}{csdq?0;7c3noeiokos]qat)dg|dS}{csdq?1;7c3noeiokos]qat)dg|dS}{csdq?2;7c3noeiokos]qat)dg|dS}{csdq?3;7c3noeiokos]qat)dg|dS}{csdq?<;7c3noeiokos]qat)dg|dS}{csdq?=;7d3noeiokos]qat)dg|dS}{csdq\473<5heogqeaiuW{oz#naznu]sqiub{V;Th}a{1b9dakcuimeySk~/bmvjqYw}eynR<=1:efj`tflfxT~h cnwmpZvrdzoxS?Qkpnv2g>abflxjhb|Prds,gjsi|Vz~`~k|_202?bcim{kocQ}ep-`kphsWygh}P3^fskq7d3noeiokos]qat)dg|dS}{csdq\077c:efj`tflfxT~h cnwmpZvrdzoxS:<>;fgmawgcg{Uyi|!lotlw[usk{lyT;Rjou3`?bcim{kocQ}ep-`kphsWygh}P8338c`hbzhnd~R|jq.alqkrXx|fxi~Q7_erlp4eabflxjhb|Prds,`drfW{ozS~QHNE]25ZiXE\RT84Q`2c9dakcuimeySk~/ecweZtbyVyTKCJP10]l[HS_W=3Tc<_n]NQ]Y31Ve9S^Y?2c9dakcuimeySk~/ecweZtbyVyTKCJP10]l[HS_W=3Tc>_n]NQ]Y31Ve?><5heogqeaiuW{oz#io{a^pfuZuXoenS~k{179dakcuimeySk~/qrp[w:768<0kh`jr`flvZtby&z{R|31?36?bcim{kocQ}ep-stvYuW9;>7jkaescgkwYumx%{|~Q}_03;?bcim{kocQ}ep-stvYuazcekFGpo21J7<51zQb3?7dj3;2.:o54>c39~Wd3=9jh1=:m5121`1c6=;8kh=6ji8;295?7|[h=1=nl516a9565d=o:1?:02`?!70l3ko96li8;2974<2m:0>jluG1cg8 4dc2o20V5<57z0e>66=;808>7=<:329244ed3`?o47>5$0:3>0b03g;4;h7g2?6=,82;68j8;o34b?7<3`?o97>5$0:3>0b03g;5$0:3>0bb3g;5$0:3>0c23g;4;h7f0?6=,82;68k:;o34b?7<3`?n?7>5$0:3>0c23g;5$0:3>0cd3g;5$0:3>0`43g;4;h7e6?6=,82;68h<;o34b?7<3`?m=7>5$0:3>0`43g;7>5;h02b?6=3`89=7>5;h017?6=3`8997>5;h013?6=3f8;47>5$0:3>7603g;4;n032?6=,82;6?>8;o34b?7<3f8:=7>5$0:3>7603g;8;o34b?5<3f8;j7>5$0:3>7603g;8;o34b?3<3f8;h7>5$0:3>7603g;8;o34b?1<3f8;n7>5$0:3>7603g;8;o34b??<3f8;57>5$0:3>7603g;8;o34b?d<3f?ho7>5$0:3>0ee3g;4;n7`e?6=,82;68mm;o34b?7<3f?h57>5$0:3>0ee3g;5$0:3>0ee3g;5$0:3>0ee3g;5;n7e=?6=3f?mn7>5;n7e`?6=3f?mj7>5;n435?6=3f3n87>5;c3`3?6=93:1be82fc=O9j>0D5}#9kn1jl5G1b68L4db3fl26=44}cc`a?6=;3:1be8g7>N6k=1C=ok4$024>5=n0:0;66gnf;29?j7?l3:17pl=4183>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`104<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd5<10;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th9844?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl=4`83>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`10g<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd55;n3;`?6=3th98i4?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl=4d83>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`10c<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd5<;0;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th98>4?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl=4583>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`100<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd55;n3;`?6=3th98:4?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl>1783>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900ec29K5f2<@8hn7d6m:188m46f2900c<97:188yg7593:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f45c29086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn<<<:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wi=>k50;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::a573=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`27c<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n34:4?:583>5}#9kn1=<:4H0a7?M7em2c3n7>5;h`:>5<5<53;294~"6jm0:o>5G1b68L4db3`2i6=44i02b>5<be8251=O9j>0D5<21<75rb062>5<4290;w)?md;3`7>N6k=1C=ok4i9`94?=n99k1<75`16:94?=zj88i6=4;:183!7el3;:86F>c59K5gc>o6i90;66a>7983>>{e9=81<7=50;2x 4dc28i87E?l4:J2f`=n0k0;66g>0`83>>i6?10;66sm13f94?2=83:p(>oe13:17d?n0;29?j7003:17pl>4283>6<729q/=oj51b18L4e33A;ii6g7b;29?l77i3:17b?88;29?xd6:o0;694?:1y'5gb=98>0Do?j3:17dl6:188m4g72900c<97:188yg73<3:1?7>50z&2fa<6k:1C=n:4H0`f?l>e2900e<>n:188k41?2900qo?<1;290?6=8r.:ni4>159K5f2<@8hn7d6m:188mg?=831b=l>50;9l52>=831vn<:::180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~f470290?6=4?{%3a`?76<2B:o95G1cg8m=d=831bn44?::k2e5<722e:;54?::a560=8391<7>t$0`g>4e43A;h86F>bd9jN6jl1b4o4?::ka=?6=3`;j<7>5;n345}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`25g<72=0;6=u+1cf9542<@8i?7E?me:k;f?6=3`h26=44i0c3>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj8926=4<:183!7el3;h?6F>c59K5gc21<75rb03e>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm12c94?5=83:p(>o68h0;66a>7983>>{e9:h1<7=50;2x 4dc28i87E?l4:J2f`=n0k0;66g>0`83>>i6?10;66sm11694?4=83:p("68?0o>6*>088a1>oaj3:17b?88;29?xd5:k0;6?h50;2x 4dc2k80D\?:3;p:=4r$05b>dea3o3j6?5a9d82?k?a2=1/m<4k2:&b6?453-k86<98;%c7>72<,k<1=ol4$c:955b<,kk1==j4$cf9521<,ko1=om4$e295`=i9>o1<6*>ac8g6>"6k90o>6a60;29?l7?:3:17d?n8;29L4g>32c:nl4?:I3b=>=h0o0;66g>b883>M7f121d5n4?::kb=?6=@8k276a7d;29?j>b2900e3:1D32c:4k4?::m2e4<72A;j565f19794?=ni90;66g6b;29?lge290C=l74;n3b3?6=@8k276g>a583>M7f121b=5:50;9je`<72A;j565ff783>M7f121b=o950;J2e<=>o6i<0;6E?n9:9jef<72A;j565`a783>M7f121bj:4?:I3b=>=n9k<1<7F>a898k5$0:3>4d33g;4;n3a7?6=,82;67>5$0:3>4d33g;5$0:3>4d33g;5$0:3>4d33g;5}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`:45<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n345}#9kn1=<:4H0a7?M7em2c3n7>5;h`:>5<5<53;294~"6jm0:o>5G1b68L4db3`2i6=44i02b>5<be8251=O9j>0D5<21<75rb82b>5<4290;w)?md;3`7>N6k=1C=ok4i9`94?=n99k1<75`16:94?=zj1l26=4;:183!7el3;:86F>c59K5gc>o6i90;66a>7983>>{e1931<7=50;2x 4dc28i87E?l4:J2f`=n0k0;66g>0`83>>i6?10;66sm8g594?2=83:p(>oe13:17d?n0;29?j7003:17pl60983>6<729q/=oj51b18L4e33A;ii6g7b;29?l77i3:17b?88;29?xd?n<0;694?:1y'5gb=98>0Do?j3:17dl6:188m4g72900c<97:188yg?7?3:1?7>50z&2fa<6k:1C=n:4H0`f?l>e2900e<>n:188k41?2900qo6i3;290?6=8r.:ni4>159K5f2<@8hn7d6m:188mg?=831b=l>50;9l52>=831vn4>9:180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~f=`6290?6=4?{%3a`?76<2B:o95G1cg8m=d=831bn44?::k2e5<722e:;54?::a=53=8391<7>t$0`g>4e43A;h86F>bd9jN6jl1b4o4?::ka=?6=3`;j<7>5;n345}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`;aa<72=0;6=u+1cf9542<@8i?7E?me:k;f?6=3`h26=44i0c3>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj1lm6=4<:183!7el3;h?6F>c59K5gc21<75rb9g;>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm96094?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m25<>o60l0;66a>8e83>>{e1>:1<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a=ad=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm9ec94?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m25<>o60l0;66a>8e83>>{e1l81<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a=`7=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm9d294?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m25<>o60l0;66a>8e83>>{e1mo1<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a=ab=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm9ea94?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m25<>o60l0;66a>8e83>>{e1m21<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a=04=83>1<7>t$0`g>a0<@8i?7E?me:&242<73`286=44i`d94?=n91o1<75`19f94?=zj0k<6=4;:183!7el3n?7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9l5=b=831vn4l<:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8`f>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~fbd9'551=82c3?7>5;h:b>5<6=4;:183!7el3n?7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9l5=b=831vn4m9:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8a;>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn4mn:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8c:>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~fbd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn4on:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8cg>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~fbd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn4l?:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8`2>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~fbd9'551=82c3?7>5;h:b>5<6=4;:183!7el3n?7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9l5=b=831vn4l9:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8`7>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~fbd9'551=82c3?7>5;h:b>5<f2900elh50;9j5=c=831d=5j50;9~f6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn47l:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj03j6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn478:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj03=6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn47<:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj0396=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn4o::186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj0k?6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn4o>:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj0k;6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn46i:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj0h36=4;:183!7el3n?7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9l5=b=831vn4l6:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8`b>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~fbd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn4lk:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8`e>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~fbd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn4m=:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb8a0>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~fbd9'551=82c3?7>5;h:b>5<o6=4;:183!7el3n37E?l4:J2f`=#99=1=o5f8283>>ofn3:17d?n0;29?j7?l3:17pl64b83>1<729q/=oj5d99K5f2<@8hn7)??7;3a?l>42900elh50;9j5d6=831d=5j50;9~f<2e290?6=4?{%3a`?b?3A;h86F>bd9'551=9k1b4>4?::kbb?6=3`;j<7>5;n3;`?6=3th2?k4?:583>5}#9kn1h;5G1b68L4db3-;;;7>4i9194?=nio0;66g>8d83>>i60m0;66sm92g94?2=83:p(0683?l>42900elh50;9j5=c=831d=5j50;9~f<20290?6=4?{%3a`?b13A;h86F>bd9'551=82c3?7>5;hce>5<5<54;294~"6jm0o:6F>c59K5gc<,8:<6=5f8283>>ofn3:17d?7e;29?j7?l3:17pl64283>1<729q/=oj5d79K5f2<@8hn7)??7;28m=5=831bmk4?::k2<`<722e:4i4?::a=14=83>1<7>t$0`g>a0<@8i?7E?me:&242<73`286=44i`d94?=n91o1<75`19f94?=zj12<6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f=>1290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn588:187>5<7s-;ih7j9;I3`0>N6jl1/==950:k;7?6=3`km6=44i0:f>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>d03:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6md;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>cj3:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6ke;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>cn3:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6l0;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>en3:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<7>54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6l3;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>d=3:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6l7;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>dj3:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6lc;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g3>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900e<6j:188k4>c2900qo6m3;291?6=8r.:ni4k7:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<5<7>55;294~"6jm0o;6F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188m4>b2900c<6k:188yg>e93:197>50z&2fa4?::k;e?6=3`km6=44i0:f>5<be8g3>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900e<6j:188k4>c2900qo6ne;291?6=8r.:ni4k7:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<5<55;294~"6jm0o;6F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188m4>b2900c<6k:188yg>fk3:197>50z&2fa4?::k;e?6=3`km6=44i0:f>5<be8g3>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900e<6j:188k4>c2900qo6na;291?6=8r.:ni4k7:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<5<55;294~"6jm0o;6F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188m4>b2900c<6k:188yg>f03:197>50z&2fa4?::k;e?6=3`km6=44i0:f>5<be8g3>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900e<6j:188k4>c2900qo6n6;291?6=8r.:ni4k7:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<5<55;294~"6jm0o;6F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188m4>b2900c<6k:188yg>ei3:197>50z&2fa4?::k;e?6=3`km6=44i0:f>5<be8g3>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900e<6j:188k4>c2900qo6m8;291?6=8r.:ni4k7:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<5<55;294~"6jm0o;6F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188m4>b2900c<6k:188yg>e>3:197>50z&2fa4?::k;e?6=3`km6=44i0:f>5<be8g3>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900e<6j:188k4>c2900qo6m0;291?6=8r.:ni4k7:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<5<55;294~"6jm0o;6F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188m4>b2900c<6k:188yg>f<3:197>50z&2fa4?::k;e?6=3`km6=44i0:f>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>dm3:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6k0;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>c:3:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6k5;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g0>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900c<6k:188yg>c?3:187>50z&2fa4?::k;e?6=3`km6=44o0:g>5<54;294~"6jm0o86F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188k4>c2900qo6k9;290?6=8r.:ni4k4:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<be8g<>N6k=1C=ok4$024>4d>o6i90;66a>8e83>>{e0?;1<7:50;2x 4dc2m20D"68>0:n6g73;29?lga2900ec2900qo690;290?6=8r.:ni4k8:J2g1=O9ko0(<>8:0`8m=5=831bmk4?::k2e5<722e:4i4?::a<02=83>1<7>t$0`g>a0<@8i?7E?me:&242<73`286=44i`d94?=n91o1<75`19f94?=zj1?86=4;:183!7el3n=7E?l4:J2f`=#99=1<6g73;29?lga2900e<6j:188k4>c2900qo6:c;290?6=8r.:ni4k6:J2g1=O9ko0(<>8:19j<6<722cjj7>5;h3;a?6=3f;3h7>5;|`;1g<72=0;6=u+1cf9`3=O9j>0D>o60l0;66a>8e83>>{e0<21<7:50;2x 4dc2m<0D"68>0;7d6<:188md`=831b=5k50;9l5=b=831vn5;8:187>5<7s-;ih7j9;I3`0>N6jl1/==950:k;7?6=3`km6=44i0:f>5<be8g3>N6k=1C=ok4$024>5=n0:0;66g7a;29?lga2900e<6j:188k4>c2900qo9lf;291?6=8r.:ni4k7:J2g1=O9ko0(<>8:19j<6<722c3m7>5;hce>5<5<55;294~"6jm0o;6F>c59K5gc<,8:<6=5f8283>>o?i3:17doi:188m4>b2900c<6k:188yg1e83:187>50z&2fa2B:o95G1cg8 460291b4>4?::kbb?6=3`;3i7>5;n3;`?6=3th3<84?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl71083>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;5f<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?8?0;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th3>>4?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl72583>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;63<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?:>0;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th3>84?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl72983>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;42<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?800;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th35}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl70983>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;4g<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?8j0;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th35}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl70d83>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;4c<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?990;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th3=>4?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl71583>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;57<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?9<0;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th5}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xd0nj0;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`4bg<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17pl8f`83>0<729q/=oj5d69K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3`;3i7>5;n3;`?6=3th5}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xd0n>0;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`4b3<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17pl8f483>0<729q/=oj5d69K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3`;3i7>5;n3;`?6=3th5}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xd0n:0;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`4b7<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17pl8f083>0<729q/=oj5d69K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3`;3i7>5;n3;`?6=3th5}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xd0mo0;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`;41<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17pl70283>0<729q/=oj5d69K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3`;3i7>5;n3;`?6=3th35}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xd?880;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`;45<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17pl8fg83>0<729q/=oj5d69K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3`;3i7>5;n3;`?6=3th5}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xd0n00;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`4a`<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17pl8ee83>0<729q/=oj5d69K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3`;3i7>5;n3;`?6=3th3=;4?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl71683>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;5=<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?900;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th3=l4?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl71c83>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;5a<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?9l0;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th3=k4?:583>5}#9kn1h95G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?j7?l3:17pl72183>1<729q/=oj5d59K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3f;3h7>5;|`;64<72=0;6=u+1cf9`1=O9j>0D>ofn3:17b?7d;29?xd?:;0;694?:1y'5gb=l=1C=n:4H0`f?!77?3:0e5=50;9j5;n3;`?6=3th5}#9kn1h55G1b68L4db3-;;;7?m;h:0>5<5<3290;w)?md;f;?M7d<2B:nh5+11595g=n0:0;66gnf;29?l7f83:17b?7d;29?xd0i00;694?:1y'5gb=l11C=n:4H0`f?!77?3;i7d6<:188md`=831b=l>50;9l5=b=831vn:7k:187>5<7s-;ih7j9;I3`0>N6jl1/==950:k;7?6=3`km6=44i0:f>5<be8g2>N6k=1C=ok4$024>5=n0:0;66gnf;29?l7?m3:17b?7d;29?xd0i<0;694?:1y'5gb=l?1C=n:4H0`f?!77?3:0e5=50;9jec<722c:4h4?::m25<5<3290;w)?md;f5?M7d<2B:nh5+11594>o?;3:17doi:188m4>b2900c<6k:188yg1f83:187>50z&2fa2B:o95G1cg8 460291b4>4?::kbb?6=3`;3i7>5;n3;`?6=3th<=44?:483>5}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xd0910;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`452<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17pl9f883>1<729q/=oj5d79K5f2<@8hn7)??7;28m=5=831bmk4?::k2<`<722e:4i4?::a31c=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e?0D"68>0;7d6<:188m=g=831bmk4?::m25<>i60m0;66sm75d94?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a33e=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e??n1<7:50;2x 4dc2m>0D"68>0;7d6<:188m=g=831bmk4?::m25<>i60m0;66sm76294?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a33c=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e?>;1<7:50;2x 4dc2m>0D"68>0;7d6<:188m=g=831bmk4?::m250;694?6|,8ho6i:4H0a7?M7em2.:<:4?;h:0>5<>i60m0;66sm74094?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a305=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e?<;1<7:50;2x 4dc2m>0D"68>0;7d6<:188m=g=831bmk4?::m25<>i60m0;66sm74794?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a300=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e?<=1<7:50;2x 4dc2m>0D"68>0;7d6<:188m=g=831bmk4?::m25<>i60m0;66sm74;94?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a30e=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e?0D"68>0;7d6<:188m=g=831bmk4?::m25<>i60m0;66sm74g94?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a310=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm75794?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m25<>o60l0;66a>8e83>>{e?=91<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a317=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm75294?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m2h50;794?6|,8ho6i94H0a7?M7em2.:<:4?;h:0>5<>o60l0;66a>8e83>>{e?:o1<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a36b=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm72a94?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m2l50;794?6|,8ho6i94H0a7?M7em2.:<:4?;h:0>5<>o60l0;66a>8e83>>{e?:k1<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a36?=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm72:94?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m25<>o60l0;66a>8e83>>{e?=i1<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a31d=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm75c94?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m25<>o60l0;66a>8e83>>{e?=21<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a311=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm75094?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m2950;794?6|,8ho6i94H0a7?M7em2.:<:4?;h:0>5<>o60l0;66a>8e83>>{e?:<1<7;50;2x 4dc2m=0D"68>0;7d6<:188m=g=831bmk4?::k2<`<722e:4i4?::a30`=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e??:1<7:50;2x 4dc2m>0D"68>0;7d6<:188m=g=831bmk4?::m25<>i60m0;66sm77094?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a335=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e??>1<7:50;2x 4dc2m>0D"68>0;7d6<:188m=g=831bmk4?::m25<>i60m0;66sm77594?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a33>=83>1<7>t$0`g>a2<@8i?7E?me:&242<73`286=44i9c94?=nio0;66a>8e83>>{e??31<7:50;2x 4dc2m>0D"68>0;7d6<:188m=g=831bmk4?::m25<>i60m0;66sm77`94?2=83:p(0683?l>42900e5o50;9jec<722e:4i4?::a2c2=83>1<7>t$0`g>a><@8i?7E?me:&242<6j2c3?7>5;hce>5<5<54;294~"6jm0o46F>c59K5gc<,8:<6a183>>i60m0;66sm6g094?2=83:p(0682f>o?;3:17doi:188m4g72900c<6k:188yg0b>3:187>50z&2fa2B:o95G1cg8 460291b4>4?::kbb?6=3`;3i7>5;n3;`?6=3th=i84?:583>5}#9kn1h;5G1b68L4db3-;;;7>4i9194?=nio0;66g>8d83>>i60m0;66sm6dg94?2=83:p(0683?l>42900elh50;9j5=c=831d=5j50;9~f3cc290?6=4?{%3a`?b13A;h86F>bd9'551=82c3?7>5;hce>5<5<54;294~"6jm0o:6F>c59K5gc<,8:<6=5f8283>>ofn3:17d?7e;29?j7?l3:17pl9e883>1<729q/=oj5d79K5f2<@8hn7)??7;28m=5=831bmk4?::k2<`<722e:4i4?::a234=83?1<7>t$0`g>a1<@8i?7E?me:&242<73`286=44i9c94?=nio0;66g>8d83>>i60m0;66sm67394?3=83:p(0683?l>42900e5o50;9jec<722c:4h4?::m250;794?6|,8ho6i94H0a7?M7em2.:<:4?;h:0>5<>o60l0;66a>8e83>>{e>=81<7:50;2x 4dc2m<0D"68>0;7d6<:188md`=831b=5k50;9l5=b=831vn;78:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7c0>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3gb290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;l::187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7`5>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3d?290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;l8:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7`b>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3?>290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;7l:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7;b>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3?c290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;7i:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7c3>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3g6290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;o::187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7c5>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3g3290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;6i:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj?2n6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f3>c290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn;6n:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj?226=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f3>?290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn;69:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj?2>6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f3>3290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn;6=:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj?2:6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f3?1290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn;7;:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj?386=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f3?5290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn;7?:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zj?2i6=4::183!7el3n<7E?l4:J2f`=#99=1<6g73;29?l>f2900elh50;9j5=c=831d=5j50;9~f3>7290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn;o7:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7c:>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3gf290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;ol:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7cg>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3ga290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;l>:187>5<7s-;ih7j;;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=h91n1<75rb7`1>5<3290;w)?md;f7?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831d=5j50;9~f3d4290?6=4?{%3a`?b33A;h86F>bd9'551=82c3?7>5;h:b>5<f2900elh50;9l5=b=831vn;=k:187>5<7s-;ih7j7;I3`0>N6jl1/==951c9j<6<722cjj7>5;h3b4?6=3f;3h7>5;|`57f<72=0;6=u+1cf9`==O9j>0D>ofn3:17d?n0;29?j7?l3:17pl92g83>1<729q/=oj5d79K5f2<@8hn7)??7;28m=5=831bmk4?::k2<`<722e:4i4?::a27c=83>1<7>t$0`g>a0<@8i?7E?me:&242<73`286=44i`d94?=n91o1<75`19f94?=zj?9<6=4;:183!7el3n=7E?l4:J2f`=#99=1<6g73;29?lga2900e<6j:188k4>c2900qo8<6;290?6=8r.:ni4k6:J2g1=O9ko0(<>8:19j<6<722cjj7>5;h3;a?6=3f;3h7>5;|`576<72=0;6=u+1cf9`3=O9j>0D>o60l0;66a>8e83>>{e>:81<7:50;2x 4dc2m<0D"68>0;7d6<:188md`=831b=5k50;9l5=b=831vnl?<:180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~fd7529086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn4h<:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wimN6jl1b4o4?::k24d<722e:;54?::a=c7=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`b55<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n345}#9kn1=<:4H0a7?M7em2c3n7>5;h`:>5<5<53;294~"6jm0:o>5G1b68L4db3`2i6=44i02b>5<be8251=O9j>0D5<21<75rb`2f>5<4290;w)?md;3`7>N6k=1C=ok4i9`94?=n99k1<75`16:94?=zj0oi6=4;:183!7el3;:86F>c59K5gc>o6i90;66a>7983>>{ei9n1<7=50;2x 4dc28i87E?l4:J2f`=n0k0;66g>0`83>>i6?10;66sm9d;94?2=83:p(>oe13:17d?n0;29?j7003:17pln0b83>6<729q/=oj51b18L4e33A;ii6g7b;29?l77i3:17b?88;29?xd>m>0;694?:1y'5gb=98>0Do?j3:17dl6:188m4g72900c<97:188ygg6l3:1?7>50z&2fa<6k:1C=n:4H0`f?l>e2900e<>n:188k41?2900qoo?5;290?6=8r.:ni4>159K5f2<@8hn7d6m:188mg?=831b=l>50;9l52>=831vnl?l:180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~fd64290?6=4?{%3a`?76<2B:o95G1cg8m=d=831bn44?::k2e5<722e:;54?::ae4d=8391<7>t$0`g>4e43A;h86F>bd9jN6jl1b4o4?::ka=?6=3`;j<7>5;n345}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`:bc<72=0;6=u+1cf9542<@8i?7E?me:k;f?6=3`h26=44i0c3>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zjh;36=4<:183!7el3;h?6F>c59K5gc21<75rb8da>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sma0594?5=83:p(>o68h0;66a>7983>>{e1o31<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xdf9?0;6>4?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pl6f683>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900e5;297?6=8r.:ni4>c29K5f2<@8hn7d6m:188m46f2900c<97:188yg?a=3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~fd6e29086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn4k9:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wim>o50;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::ae6?=8391<7>t$0`g>4e43A;h86F>bd9jN6jl1b4o4?::ka=?6=3`;j<7>5;n345}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`b6g<72=0;6=u+1cf9542<@8i?7E?me:k;f?6=3`h26=44i0c3>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zjh9=6=4<:183!7el3;h?6F>c59K5gc21<75rb`04>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sma2794?5=83:p(>o68h0;66a>7983>>{ei;?1<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xdf;=0;6>4?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pln2283>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900ec29K5f2<@8hn7d6m:188m46f2900c<97:188ygg593:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~fd5529086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vnl?i:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wim>?50;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::ae4c=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`bf`<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17plnbb83>0<729q/=oj5d69K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3`;3i7>5;n3;`?6=3thjnl4?:483>5}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xdfj10;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`bf3<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17plnb583>0<729q/=oj5d69K5f2<@8hn7)??7;28m=5=831b4l4?::kbb?6=3`;3i7>5;n3;`?6=3thjn?4?:483>5}#9kn1h:5G1b68L4db3-;;;7>4i9194?=n0h0;66gnf;29?l7?m3:17b?7d;29?xdfj90;684?:1y'5gb=l>1C=n:4H0`f?!77?3:0e5=50;9j5;h3;a?6=3f;3h7>5;|`be`<72<0;6=u+1cf9`2=O9j>0D>ofn3:17d?7e;29?j7?l3:17plnc`83>1<729q/=oj5d79K5f2<@8hn7)??7;28m=5=831bmk4?::k2<`<722e:4i4?::aef?=83>1<7>t$0`g>a0<@8i?7E?me:&242<73`286=44i`d94?=n91o1<75`19f94?=zj:=n6=4<:183!7el3;h?6F>c59K5gc21<75rb24`>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm39594?5=83:p(>o68h0;66a>7983>>{e;>?1<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xd40?0;6>4?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pl<7583>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900ec29K5f2<@8hn7d6m:188m46f2900c<97:188yg50;3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f6>329086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn>9=:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wi?5=50;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::a727=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`0<7<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n345}#9kn1=<:4H0a7?M7em2c3n7>5;h`:>5<5<53;294~"6jm0:o>5G1b68L4db3`2i6=44i02b>5<be8251=O9j>0D5<21<75rb2:3>5<4290;w)?md;3`7>N6k=1C=ok4i9`94?=n99k1<75`16:94?=zj:c59K5gc>o6i90;66a>7983>>{e;>l1<7=50;2x 4dc28i87E?l4:J2f`=n0k0;66g>0`83>>i6?10;66sm37f94?2=83:p(>oe13:17d?n0;29?j7003:17pl<7983>6<729q/=oj511d8L4e33A;ii6*>06877>oak3:17dhk:188k41?2900qo=8d;297?6=8r.:ni4>c29K5f2<@8hn7d6m:188m46f2900c<97:188yg51j3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f61129086=4?{%3a`?77n2B:o95G1cg8 4602=90(<6>:0d;?l`d2900ekj50;9l52>=831vn>8>:180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~f60729086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn>:i:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wi?;o50;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::a70>=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`02<<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n345}#9kn1=<:4H0a7?M7em2c3n7>5;h`:>5<5<53;294~"6jm0:o>5G1b68L4db3`2i6=44i02b>5<be8251=O9j>0D5<21<75rb244>5<4290;w)?md;3`7>N6k=1C=ok4i9`94?=n99k1<75`16:94?=zj:?>6=4;:183!7el3;:86F>c59K5gc>o6i90;66a>7983>>{e;?<1<7=50;2x 4dc28i87E?l4:J2f`=n0k0;66g>0`83>>i6?10;66sm34694?2=83:p(>oe13:17d?n0;29?j7003:17pl<6483>6<729q/=oj51b18L4e33A;ii6g7b;29?l77i3:17b?88;29?xd4=:0;694?:1y'5gb=98>0Do?j3:17dl6:188m4g72900c<97:188yg51<3:1?7>50z&2fa<6k:1C=n:4H0`f?l>e2900e<>n:188k41?2900qo=:2;290?6=8r.:ni4>159K5f2<@8hn7d6m:188mg?=831b=l>50;9l52>=831vn>8<:180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~f636290?6=4?{%3a`?76<2B:o95G1cg8m=d=831bn44?::k2e5<722e:;54?::a734=8391<7>t$0`g>4e43A;h86F>bd9j50;694?6|,8ho6N6jl1b4o4?::ka=?6=3`;j<7>5;n345}#9kn1==h4H0a7?M7em2.:<:4;3:keg?6=3`lo6=44o05;>5<j7>53;294~"6jm0:o>5G1b68L4db3`2i6=44i02b>5<be8251=O9j>0D5<21<75rb27:>5<4290;w)?md;33b>N6k=1C=ok4$024>15>i6?10;66sm35f94?5=83:p(>o68h0;66a>7983>>{e;:n1<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xd44?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pl<3b83>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900ec29K5f2<@8hn7d6m:188m46f2900c<97:188yg54j3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f62f29086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn>=n:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wi?9750;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::a76?=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`00=<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n345}#9kn1=<:4H0a7?M7em2c3n7>5;h`:>5<5<53;294~"6jm0:o>5G1b68L4db3`2i6=44i02b>5<be8251=O9j>0D5<21<75rb265>5<4290;w)?md;3`7>N6k=1C=ok4i9`94?=n99k1<75`16:94?=zj:9=6=4;:183!7el3;:86F>c59K5gc>o6i90;66a>7983>>{e;=?1<7=50;2x 4dc28i87E?l4:J2f`=n0k0;66g>0`83>>i6?10;66sm32794?2=83:p(>oe13:17d?n0;29?j7003:17pl<3g83>6<729q/=oj511d8L4e33A;ii6*>06877>oak3:17dhk:188k41?2900qo=;4;297?6=8r.:ni4>c29K5f2<@8hn7d6m:188m46f2900c<97:188yg54<3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f65b29086=4?{%3a`?77n2B:o95G1cg8 4602=90ekm50;9jba<722e:;54?::a77g=8391<7>t$0`g>4e43A;h86F>bd9jN6jl1b4o4?::ka=?6=3`;j<7>5;n344?:283>5}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`067<72=0;6=u+1cf9542<@8i?7E?me:k;f?6=3`h26=44i0c3>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj:9:6=4<:183!7el3;h?6F>c59K5gc21<75rb203>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm32294?5=83:p(>o68h0;66a>7983>>{e;8l1<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xd4:o0;6>4?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pl<1d83>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900ec29K5f2<@8hn7d6m:188m46f2900c<97:188yg56l3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f64c29086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn>?l:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wi??m50;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::a74d=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`06g<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n345}#9kn1=<:4H0a7?M7em2c3n7>5;h`:>5<5<53;294~"6jm0:5<c59K5gc21<75rb23;>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm33194?5=83:p(6<729q/=oj51b18L4e33A;ii6g7b;29?l77i3:17b?88;29?xd5m:0;694?:1y'5gb=98>0Do?j3:17dl6:188m4g72900c<97:188yg4al3:1?7>50z&2fa<6k:1C=n:4H0`f?l>e2900e<>n:188k41?2900qo159K5f2<@8hn7d6m:188mg?=831b=l>50;9l52>=831vn?hl:180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~f7ce290?6=4?{%3a`?76<2B:o95G1cg8m=d=831bn44?::k2e5<722e:;54?::a6cd=8391<7>t$0`g>4e43A;h86F>bd9jho50;694?6|,8ho6N6jl1b4o4?::ka=?6=3`;j<7>5;n345}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`1a<<72=0;6=u+1cf9542<@8i?7E?me:k;f?6=3`h26=44i0c3>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj;l36=4<:183!7el3;h?6F>c59K5gc21<75rb3g4>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm2g594?5=83:p(>o68h0;66a>7983>>{e:l<1<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xd5n?0;6>4?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pl=e483>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900ec29K5f2<@8hn7d6m:188m46f2900c<97:188yg4b<3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f7cb29086=4?{%3a`?77n2B:o95G1cg8 4602=90ekm50;9jba<722e:;54?::a6c5=8391<7>t$0`g>4e43A;h86F>bd9jh<50;694?6|,8ho6N6jl1b4o4?::ka=?6=3`;j<7>5;n345}#9kn1==h4H0a7?M7em2.:<:4;3:keg?6=3`lo6=44o05;>5<53;294~"6jm0:o>5G1b68L4db3`2i6=44i02b>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj;nm6=4<:183!7el3;h?6F>c59K5gc21<75rb3f3>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm2eg94?5=83:p(>o68h0;66a>7983>>{e:jl1<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xd5lm0;6>4?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pl=cd83>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900ec29K5f2<@8hn7d6m:188m46f2900c<97:188yg4dl3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f7be29086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn?ml:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wi>io50;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::a6fd=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`1`<<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n345}#9kn1=<:4H0a7?M7em2c3n7>5;h`:>5<5<53;294~"6jm0:5<c59K5gc21<75rb3a:>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm2e094?5=83:p(6<729q/=oj51b18L4e33A;ii6g7b;29?l77i3:17b?88;29?xd48>0;694?:1y'5gb=98>0Do?j3:17dl6:188m4g72900c<97:188yg56>3:1?7>50z&2fa<6k:1C=n:4H0`f?l>e2900e<>n:188k41?2900qo=?6;290?6=8r.:ni4>159K5f2<@8hn7d6m:188mg?=831b=l>50;9l52>=831vn>?::180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~f662290?6=4?{%3a`?76<2B:o95G1cg8m=d=831bn44?::k2e5<722e:;54?::a742=8391<7>t$0`g>4e43A;h86F>bd9jN6jl1b4o4?::ka=?6=3`;j<7>5;n344?:283>5}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`046<72=0;6=u+1cf9542<@8i?7E?me:k;f?6=3`h26=44i0c3>5<be82g6=O9j>0D5<5<7>54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj:;:6=4<:183!7el3;h?6F>c59K5gc21<75rb222>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm30294?5=83:p(>o68h0;66a>7983>>{e;9:1<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xd48o0;6>4?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pl=fg83>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900e0g9K5f2<@8hn7)??7;60?l`d2900ekj50;9l52>=831vn>>j:180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~f7`b290?6=4?{%3a`?76<2B:o95G1cg8m=d=831bn44?::k2e5<722e:;54?::a75>=8391<7>t$0`g>46a3A;h86F>bd9'551=<:1bjn4?::ke`?6=3f;<47>5;|`1g=<72:0;6=u+1cf95f5<@8i?7E?me:k;f?6=3`;;m7>5;n345}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`1f=<72=0;6=u+1cf9542<@8i?7E?me:k;f?6=3`h26=44i0c3>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj;i>6=4<:183!7el3;h?6F>c59K5gc21<75rb3`5>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm2b694?5=83:p(>o68h0;66a>7983>>{e:k?1<7:50;2x 4dc28;?7E?l4:J2f`=n0k0;66gm9;29?l7f83:17b?88;29?xd5k:0;6>4?:1y'5gb=9j90Do?j3:17d??a;29?j7003:17pl=b583>1<729q/=oj51068L4e33A;ii6g7b;29?ld>2900ec29K5f2<@8hn7d6m:188m46f2900c<97:188yg4e;3:187>50z&2fa<69=1C=n:4H0`f?l>e2900eo750;9j5d6=831d=:650;9~f7e629086=4?{%3a`?7d;2B:o95G1cg8m=d=831b==o50;9l52>=831vn?l=:187>5<7s-;ih7?>4:J2g1=O9ko0e5l50;9jf<<722c:m=4?::m23=<722wi>n>50;194?6|,8ho6N6jl1b4o4?::k24d<722e:;54?::a6g7=83>1<7>t$0`g>4733A;h86F>bd9j5;h3b4?6=3f;<47>5;|`1fd<72:0;6=u+1cf955`<@8i?7E?me:&242<3;2cmo7>5;hdg>5<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj;h26=4<:183!7el3;;j6F>c59K5gc<,8:<69=4iga94?=nnm0;66a>7983>>{e;0l1<7=50;2x 4dc28i87E?l4:J2f`=n0k0;66g>0`83>>i6?10;66sm38294?2=83:p(>oe13:17d?n0;29?j7003:17pl<9d83>6<729q/=oj51b18L4e33A;ii6g7b;29?l77i3:17b?88;29?xd40o0;694?:1y'5gb=98>0Do?j3:17dl6:188m4g72900c<97:188yg5>l3:1?7>50z&2fa<6k:1C=n:4H0`f?l>e2900e<>n:188k41?2900qo=7e;290?6=8r.:ni4>159K5f2<@8hn7d6m:188mg?=831b=l>50;9l52>=831vn>7l:180>5<7s-;ih7?l3:J2g1=O9ko0e5l50;9j55g=831d=:650;9~f6>c290?6=4?{%3a`?76<2B:o95G1cg8m=d=831bn44?::k2e5<722e:;54?::a7t$0`g>4e43A;h86F>bd9jN6jl1b4o4?::ka=?6=3`;j<7>5;n345}#9kn1=n=4H0a7?M7em2c3n7>5;h33e?6=3f;<47>5;|`05<be82g6=O9j>0D5<5<54;294~"6jm0:=95G1b68L4db3`2i6=44ic;94?=n9h:1<75`16:94?=zj:336=4<:183!7el3;h?6F>c59K5gc21<75rb2::>5<3290;w)?md;320>N6k=1C=ok4i9`94?=nj00;66g>a183>>i6?10;66sm38094?5=83:p(6<729q/=oj51b18L4e33A;ii6g7b;29?l77i3:17b?88;29?xd4010;694?:1y'5gb=98>0Do?j3:17dl6:188m4g72900c<97:188yg5>93:1?7>50z&2fa<68o1C=n:4H0`f?!77?3>87dhl:188mcb=831d=:650;9~f05a290>6=4?{%3a`?b03A;h86F>bd9'551=82c3?7>5;h:b>5<5<2290;w)?md;f4?M7d<2B:nh5+11594>o?;3:17d6n:188md`=831b=5k50;9l5=b=831vn8=k:186>5<7s-;ih7j8;I3`0>N6jl1/==950:k;7?6=3`2j6=44i`d94?=n91o1<75`19f94?=zjc2900qo;nf;290?6=8r.:ni4k6:J2g1=O9ko0(<>8:19j<6<722cjj7>5;h3;a?6=3f;3h7>5;|`6f1<72=0;6=u+1cf9`3=O9j>0D>o60l0;66a>8e83>>{e=hk1<7:50;2x 4dc2m<0D"68>0;7d6<:188md`=831b=5k50;9l5=b=831vn9hi:187>5<7s-;ih7j9;I3`0>N6jl1/==950:k;7?6=3`km6=44i0:f>5<be8g2>N6k=1C=ok4$024>5=n0:0;66gnf;29?l7?m3:17b?7d;29?xd28h0;694?:1y'5gb=l?1C=n:4H0`f?!77?3:0e5=50;9jec<722c:4h4?::m25<5<3290;w)?md;f5?M7d<2B:nh5+11594>o?;3:17doi:188m4>b2900c<6k:188yg3f=3:187>50z&2fa2B:o95G1cg8 460291b4>4?::kbb?6=3`;3i7>5;n3;`?6=3th>m94?:583>5}#9kn1h;5G1b68L4db3-;;;7>4i9194?=nio0;66g>8d83>>i60m0;66sm5`194?2=83:p(0683?l>42900elh50;9j5=c=831d=5j50;9~f0g5290?6=4?{%3a`?b13A;h86F>bd9'551=82c3?7>5;hce>5<5<54;294~"6jm0o:6F>c59K5gc<,8:<6=5f8283>>ofn3:17d?7e;29?j7?l3:17pl:a183>1<729q/=oj5d79K5f2<@8hn7)??7;28m=5=831bmk4?::k2<`<722e:4i4?::a1<`=83>1<7>t$0`g>a0<@8i?7E?me:&242<73`286=44i`d94?=n91o1<75`19f94?=zj<3=6=4;:183!7el3n=7E?l4:J2f`=#99=1<6g73;29?lga2900e<6j:188k4>c2900qo;65;290?6=8r.:ni4k6:J2g1=O9ko0(<>8:19j<6<722cjj7>5;h3;a?6=3f;3h7>5;|`6=1<72=0;6=u+1cf9`3=O9j>0D>o60l0;66a>8e83>>{e=091<7:50;2x 4dc2m<0D"68>0;7d6<:188md`=831b=5k50;9l5=b=831vn87=:187>5<7s-;ih7j9;I3`0>N6jl1/==950:k;7?6=3`km6=44i0:f>5<be8g2>N6k=1C=ok4$024>5=n0:0;66gnf;29?l7?m3:17b?7d;29?xd2190;694?:1y'5gb=l?1C=n:4H0`f?!77?3:0e5=50;9jec<722c:4h4?::m25<5<3290;w)?md;f5?M7d<2B:nh5+11594>o?;3:17doi:188m4>b2900c<6k:188yg30=3:187>50z&2fa2B:o95G1cg8 460291b4>4?::kbb?6=3`;3i7>5;n3;`?6=3th>;94?:583>5}#9kn1h;5G1b68L4db3-;;;7>4i9194?=nio0;66g>8d83>>i60m0;66sm56194?2=83:p(0683?l>42900elh50;9j5=c=831d=5j50;9~f015290?6=4?{%3a`?b13A;h86F>bd9'551=82c3?7>5;hce>5<5<54;294~"6jm0o:6F>c59K5gc<,8:<6=5f8283>>ofn3:17d?7e;29?j7?l3:17pl:7183>1<729q/=oj5d79K5f2<@8hn7)??7;28m=5=831bmk4?::k2<`<722e:4i4?::a13`=83>1<7>t$0`g>a0<@8i?7E?me:&242<73`286=44i`d94?=n91o1<75`19f94?=zj<<=6=4;:183!7el3n=7E?l4:J2f`=#99=1<6g73;29?lga2900e<6j:188k4>c2900qo;95;290?6=8r.:ni4k6:J2g1=O9ko0(<>8:19j<6<722cjj7>5;h3;a?6=3f;3h7>5;|`621<72=0;6=u+1cf9`3=O9j>0D>o60l0;66a>8e83>>{e=?91<7:50;2x 4dc2m<0D"68>0;7d6<:188md`=831b=5k50;9l5=b=831vn88=:187>5<7s-;ih7j9;I3`0>N6jl1/==950:k;7?6=3`km6=44i0:f>5<be8g2>N6k=1C=ok4$024>5=n0:0;66gnf;29?l7?m3:17b?7d;29?xd2>90;694?:1y'5gb=l?1C=n:4H0`f?!77?3:0e5=50;9jec<722c:4h4?::m25<5<3290;w)?md;f5?M7d<2B:nh5+11594>o?;3:17doi:188m4>b2900c<6k:188yg3?=3:187>50z&2fa2B:o95G1cg8 460291b4>4?::kbb?6=3`;3i7>5;n3;`?6=3th>494?:583>5}#9kn1h;5G1b68L4db3-;;;7>4i9194?=nio0;66g>8d83>>i60m0;66sm59194?2=83:p(0683?l>42900elh50;9j5=c=831d=5j50;9~f0>5290?6=4?{%3a`?b13A;h86F>bd9'551=82c3?7>5;hce>5<5<54;294~"6jm0o:6F>c59K5gc<,8:<6=5f8283>>ofn3:17d?7e;29?j7?l3:17pl:8183>1<729q/=oj5d79K5f2<@8hn7)??7;28m=5=831bmk4?::k2<`<722e:4i4?::a12`=83>1<7>t$0`g>a0<@8i?7E?me:&242<73`286=44i`d94?=n91o1<75`19f94?=zj08:6=49:183!7el3;:>6F>c59K5gc<,8:<6:o4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo7=2;292?6=8r.:ni4>139K5f2<@8hn7)??7;5b?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`:66<72?0;6=u+1cf9544<@8i?7E?me:&242<0i2cmo7>5;hdg>5<>o6890;66a>7983>>{e18o1<7850;2x 4dc28;97E?l4:J2f`=#99=1;h5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn4?i:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:6g8mce=831bji4?::kea?6=3`lm6=44i023>5<3:1be8257=O9j>0D9j0;6;4?:1y'5gb=9880D"68>0N6jl1/==957`9jbf<722cmh7>5;hdf>5<21<75rb83a>5<1290;w)?md;326>N6k=1C=ok4$024>2g>oam3:17dhi:188m4672900c<97:188yg?603:1:7>50z&2fa<69;1C=n:4H0`f?!77?3=n7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:48e:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm90c94?0=83:p(o0ekm50;9jba<722cmi7>5;hde>5<5<56;294~"6jm0:=?5G1b68L4db3-;;;79j;hd`>5<>oan3:17d??0;29?j7003:17pl61683>3<729q/=oj51008L4e33A;ii6*>0684a>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a=47=83<1<7>t$0`g>4753A;h86F>bd9'551=?>1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75`16:94?=zj0;96=49:183!7el3;:>6F>c59K5gc<,8:<6:94iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo7>3;292?6=8r.:ni4>139K5f2<@8hn7)??7;54?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`:4f<72?0;6=u+1cf9544<@8i?7E?me:&242<0i2cmo7>5;hdg>5<>o6890;66a>7983>>{e19o1<7850;2x 4dc28;97E?l4:J2f`=#99=1;l5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn4??:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:6c8mce=831bji4?::kea?6=3`lm6=44i023>5<be8255=O9j>0D21<75rb877>5<2290;w)?md;325>N6k=1C=ok4$024>g1<,82:65<>oan3:17b?88;29?xd>:<0;6>4?:1y'5gb=99l0D"68>0h=6gic;29?l`c2900c<97:188yg>b>3:1?7>50z&2fa<68o1C=n:4H0`f?!77?3>87)?71;3f6>oak3:17dhk:188k41?2900qo6j4;290?6=8r.:ni4>119K5f2<@8hn7)??7;`2?!7?93;oh6gic;29?l`c2900ekk50;9l52>=831vn4=m:180>5<7s-;ih7??f:J2g1=O9ko0(<>8:458mce=831bji4?::m23=<722wi5>750;694?6|,8ho6N6jl1/==95279'5=7=9mn0ekm50;9jba<722cmi7>5;n345}#9kn1==h4H0a7?M7em2.:<:4=5:&2<4<6lj1bjn4?::ke`?6=3f;<47>5;|`;03<72?0;6=u+1cf9544<@8i?7E?me:&242<0i2cmo7>5;hdg>5<>o6890;66a>7983>>{e0==1<7850;2x 4dc28;97E?l4:J2f`=#99=1;l5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn5:7:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:6c8mce=831bji4?::kea?6=3`lm6=44i023>5<3:1be8257=O9j>0D"68>0N6jl1/==957d9jbf<722cmh7>5;hdf>5<21<75rb962>5<1290;w)?md;326>N6k=1C=ok4$024>2g>oam3:17dhi:188m4672900c<97:188yg>3:3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3=j7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:48a:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm82f94?0=83:p(o0ekm50;9jba<722cmi7>5;hde>5<5<56;294~"6jm0:=?5G1b68L4db3-;;;79j;hd`>5<>oan3:17d??0;29?j7003:17pl73`83>3<729q/=oj51008L4e33A;ii6*>0684a>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a<6d=83<1<7>t$0`g>4753A;h86F>bd9'551=?l1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75`16:94?=zj19h6=49:183!7el3;:>6F>c59K5gc<,8:<6:k4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo6<6;292?6=8r.:ni4>139K5f2<@8hn7)??7;54?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`;72<72?0;6=u+1cf9544<@8i?7E?me:&242<0?2cmo7>5;hdg>5<>o6890;66a>7983>>{e0:21<7850;2x 4dc28;97E?l4:J2f`=#99=1;:5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn5=>:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:6c8mce=831bji4?::kea?6=3`lm6=44i023>5<3:1be8257=O9j>0D"68>0>50;694?6|,8ho6N6jl1/==954c9'5=7=9l;0ekm50;9jba<722cmi7>5;n345}#9kn1=21<75rb96b>5<4290;w)?md;33b>N6k=1C=ok4$024>f7>i6?10;66sm83d94?5=83:p(7983>>{e0;n1<7:50;2x 4dc28;;7E?l4:J2f`=#99=1n<5+19395a3>oam3:17b?88;29?xd?=90;6>4?:1y'5gb=99l0D"68>0>;6*>8082a==nnj0;66gid;29?j7003:17pl74d83>1<729q/=oj51028L4e33A;ii6*>06812>"6080:i55ffb83>>oal3:17dhj:188k41?2900qo6;c;297?6=8r.:ni4>0g9K5f2<@8hn7)??7;06?!7?93;on6gic;29?l`c2900c<97:188yg1?n3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3=j7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:48a:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm78394?0=83:p(d290=6=4?{%3a`?76:2B:o95G1cg8 4602>o0ekm50;9jba<722cmi7>5;hde>5<5<56;294~"6jm0:=?5G1b68L4db3-;;;79j;hd`>5<>oan3:17d??0;29?j7003:17pl88d83>3<729q/=oj51008L4e33A;ii6*>0684a>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a3=g=83<1<7>t$0`g>4753A;h86F>bd9'551=?h1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75`16:94?=zj>2i6=49:183!7el3;:>6F>c59K5gc<,8:<6:o4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo979;292?6=8r.:ni4>139K5f2<@8hn7)??7;5b?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`4<3<72?0;6=u+1cf9544<@8i?7E?me:&242<0m2cmo7>5;hdg>5<>o6890;66a>7983>>{e?1=1<7850;2x 4dc28;97E?l4:J2f`=#99=1;h5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn:67:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:6g8mce=831bji4?::kea?6=3`lm6=44i023>5<3:1be8257=O9j>0D"68>0N6jl1/==957d9jbf<722cmh7>5;hdf>5<21<75rb65e>5<1290;w)?md;326>N6k=1C=ok4$024>21>oam3:17dhi:188m4672900c<97:188yg1?83:1:7>50z&2fa<69;1C=n:4H0`f?!77?3=<7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:487:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm76c94?0=83:p(k0ekm50;9jba<722cmi7>5;hde>5<5<56;294~"6jm0:=?5G1b68L4db3-;;;79n;hd`>5<>oan3:17d??0;29?j7003:17pl87883>1<729q/=oj51028L4e33A;ii6*>0687f>"6080:i=5ffb83>>oal3:17dhj:188k41?2900qo9m2;291?6=8r.:ni4>109K5f2<@8hn7)??7;`4?!7?93;om6gic;29?l`c2900ekk50;9jbc<722e:;54?::a3<5=8391<7>t$0`g>46a3A;h86F>bd9'551=k81bjn4?::ke`?6=3f;<47>5;|`43=<72:0;6=u+1cf955`<@8i?7E?me:&242<3;2.:4<4>e19jbf<722cmh7>5;n345}#9kn1=<>4H0a7?M7em2.:<:4m1:&2<4<6l<1bjn4?::ke`?6=3`ln6=44o05;>5<53;294~"6jm0:5;hdg>5<be8255=O9j>0D21<75rb6;6>5<4290;w)?md;33b>N6k=1C=ok4$024>73<,82:65<6F>c59K5gc<,8:<6:o4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo8k9;292?6=8r.:ni4>139K5f2<@8hn7)??7;5b?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`5`d<72?0;6=u+1cf9544<@8i?7E?me:&242<0i2cmo7>5;hdg>5<>o6890;66a>7983>>{e>m?1<7850;2x 4dc28;97E?l4:J2f`=#99=1;h5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn;j9:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:6g8mce=831bji4?::kea?6=3`lm6=44i023>5<3:1be8257=O9j>0D"68>0N6jl1/==957`9jbf<722cmh7>5;hdf>5<21<75rb7f1>5<1290;w)?md;326>N6k=1C=ok4$024>2g>oam3:17dhi:188m4672900c<97:188yg0dn3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3=n7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:48e:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm6e394?0=83:p(o0ekm50;9jba<722cmi7>5;hde>5<5<56;294~"6jm0:=?5G1b68L4db3-;;;79j;hd`>5<>oan3:17d??0;29?j7003:17pl9cd83>3<729q/=oj51008L4e33A;ii6*>0684a>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a2f>=83<1<7>t$0`g>4753A;h86F>bd9'551=?>1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75`16:94?=zj?i26=49:183!7el3;:>6F>c59K5gc<,8:<6:94iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo8la;292?6=8r.:ni4>139K5f2<@8hn7)??7;54?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`5g6<72?0;6=u+1cf9544<@8i?7E?me:&242<0i2cmo7>5;hdg>5<>o6890;66a>7983>>{e>j?1<7850;2x 4dc28;97E?l4:J2f`=#99=1;l5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn;m8:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:6c8mce=831bji4?::kea?6=3`lm6=44i023>5<be8255=O9j>0D21<75rb7da>5<2290;w)?md;325>N6k=1C=ok4$024>g1<,82:65<>oan3:17b?88;29?xd1lj0;6>4?:1y'5gb=99l0D"68>0h=6gic;29?l`c2900c<97:188yg0d93:1?7>50z&2fa<68o1C=n:4H0`f?!77?3>87)?71;3gb>oak3:17dhk:188k41?2900qo8mf;290?6=8r.:ni4>119K5f2<@8hn7)??7;`2?!7?93;o86gic;29?l`c2900ekk50;9l52>=831vn;k=:180>5<7s-;ih7??f:J2g1=O9ko0(<>8:458 4>628o=7dhl:188mcb=831d=:650;9~f3c7290?6=4?{%3a`?7682B:o95G1cg8 4602;<0(<6>:0g5?l`d2900ekj50;9jb`<722e:;54?::a2ac=8391<7>t$0`g>46a3A;h86F>bd9'551=:<1/=5?51e;8mce=831bji4?::m23=<722wi:??50;494?6|,8ho6N6jl1/==957`9jbf<722cmh7>5;hdf>5<21<75rb701>5<1290;w)?md;326>N6k=1C=ok4$024>2g>oam3:17dhi:188m4672900c<97:188yg05;3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3=j7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:48e:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm60d94?0=83:p(o0ekm50;9jba<722cmi7>5;hde>5<5<56;294~"6jm0:=?5G1b68L4db3-;;;79n;hd`>5<>oan3:17d??0;29?j7003:17pl91e83>3<729q/=oj51008L4e33A;ii6*>0684e>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a24d=83<1<7>t$0`g>4753A;h86F>bd9'551=?h1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75`16:94?=zj?;36=49:183!7el3;:>6F>c59K5gc<,8:<6:k4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo8>9;292?6=8r.:ni4>139K5f2<@8hn7)??7;5f?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`55d<72?0;6=u+1cf9544<@8i?7E?me:&242<0m2cmo7>5;hdg>5<>o6890;66a>7983>>{e>8?1<7850;2x 4dc28;97E?l4:J2f`=#99=1;h5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn;?9:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:6g8mce=831bji4?::kea?6=3`lm6=44i023>5<3:1be8257=O9j>0D"68>0<;6gic;29?l`c2900ekk50;9jbc<722c:<=4?::m23=<722wi:<<50;494?6|,8ho6N6jl1/==95769jbf<722cmh7>5;hdf>5<21<75rb730>5<1290;w)?md;326>N6k=1C=ok4$024>21>oam3:17dhi:188m4672900c<97:188yg07k3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3=j7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:48a:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm60294?0=83:p(:0ff?l`d2900ekj50;9jb`<722e:;54?::a212=83?1<7>t$0`g>4763A;h86F>bd9'551=j>1/=5?51e58mce=831bji4?::kea?6=3`lm6=44o05;>5<53;294~"6jm0:;hd`>5<c59K5gc<,8:<69=4$0:2>4bb3`lh6=44igf94?=h9>21<75rb72;>5<3290;w)?md;324>N6k=1C=ok4$024>g7<,82:65<>i6?10;66sm63`94?5=83:p(7983>>{e>;31<7:50;2x 4dc28;;7E?l4:J2f`=#99=1>;5+19395`3>oam3:17b?88;29?xd1:>0;6>4?:1y'5gb=99l0D"68>0996*>8082`2=nnj0;66gid;29?j7003:17pln6583>3<729q/=oj51008L4e33A;ii6*>0684?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`b25<72:0;6=u+1cf955`<@8i?7E?me:&242<4<2.:4<4>f69jbf<722cmh7>5;n345}#9kn1=<=4H0a7?M7em2.:<:4;e:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e;hk1<7:50;2x 4dc28;;7E?l4:J2f`=#99=19>5+19395c>>oam3:17b?88;29?xd3m;0;694?:1y'5gb=98:0D"68>0>?6*>8082`4=nnj0;66gid;29?l`b2900c<97:188yg3d:3:1;7>50z&2fa<69:1C=n:4H0`f?!77?3?97dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`7`0<72>0;6=u+1cf9545<@8i?7E?me:&242<0;2cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm3`594?0=83:p(oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a0c6=83=1<7>t$0`g>4743A;h86F>bd9'551=<=1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb5d5>5<1290;w)?md;326>N6k=1C=ok4$024>6>>oam3:17dhi:188m4672900c<97:188yg2b03:197>50z&2fa<6981C=n:4H0`f?!77?3;?7)?71;3ea>oak3:17dhk:188mcc=831bjk4?::m23=<722wi?oo50;594?6|,8ho6N6jl1/==95c:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e;k31<7850;2x 4dc28;97E?l4:J2f`=#99=1?n5+19395`c>oam3:17dhi:188m4672900c<97:188yg2cj3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3o>7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:4:f:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm4df94?1=83:p(=831vn>l>:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:648mce=831bji4?::kea?6=3`lm6=44i023>5<3:1be8257=O9j>0D"68>0:;6*>8082bf=nnj0;66gid;29?l`b2900ekh50;9l52>=831vn9ml:186>5<7s-;ih7?>1:J2g1=O9ko0(<>8:0;8 4>628n97dhl:188mcb=831bjh4?::keb?6=3f;<47>5;|`7g`<72<0;6=u+1cf9547<@8i?7E?me:&242<202.:4<4>fc9jbf<722cmh7>5;hdf>5<6F>c59K5gc<,8:<6;<4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo:j5;292?6=8r.:ni4>139K5f2<@8hn7)??7;3e?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`0e`<72?0;6=u+1cf9544<@8i?7E?me:&2425;hdg>5<>o6890;66a>7983>>{e;h91<7950;2x 4dc28;87E?l4:J2f`=#99=1ol5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f0d>290>6=4?{%3a`?7692B:o95G1cg8 4602:k0(<6>:0dg?l`d2900ekj50;9jb`<722cmj7>5;n345}#9kn1=21<75rb5f0>5<3290;w)?md;324>N6k=1C=ok4$024>f3<,82:6;hd`>5<>i6?10;66sm51794?2=83:p(4iga94?=nnm0;66gie;29?j7003:17pl:a883>0<729q/=oj51038L4e33A;ii6*>06815>"6080:ji5ffb83>>oal3:17dhj:188mc`=831d=:650;9~f6eb290>6=4?{%3a`?7692B:o95G1cg8 4602;30(<6>:0d:?l`d2900ekj50;9jb`<722cmj7>5;n345}#9kn1=21<75rb530>5<3290;w)?md;324>N6k=1C=ok4$024>30<,82:65<>i6?10;66sm40394?2=83:p(1<729q/=oj51028L4e33A;ii6*>06852>"6080:j85ffb83>>oal3:17dhj:188k41?2900qo109K5f2<@8hn7)??7;3:?!7?93;mo6gic;29?l`c2900ekk50;9jbc<722e:;54?::a0fb=83?1<7>t$0`g>4763A;h86F>bd9'551=901/=5?51g`8mce=831bji4?::kea?6=3`lm6=44o05;>5<57;294~"6jm0:=>5G1b68L4db3-;;;7;n;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd6000;6:4?:1y'5gb=9890D"68>0>m6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a5=g=83=1<7>t$0`g>4743A;h86F>bd9'551==h1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb810>5<0290;w)?md;327>N6k=1C=ok4$024>4=nnj0;66gid;29?l`b2900ekh50;9j556=831b==?50;9l52>=831vn4=;:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:4;8mce=831bji4?::kea?6=3`lm6=44i023>5<be8254=O9j>0D3<729q/=oj51008L4e33A;ii6*>0686=>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::ae5?=83=1<7>t$0`g>4743A;h86F>bd9'551=001bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb`4;>5<2290;w)?md;325>N6k=1C=ok4$024>0>>oam3:17dhi:188k41?2900qoo92;291?6=8r.:ni4>109K5f2<@8hn7)??7;f8mce=831bji4?::kea?6=3`lm6=44o05;>5<54;294~"6jm0:==5G1b68L4db3-;;;7?l;%3;5?7dm2cmo7>5;hdg>5<6F>c59K5gc<,8:<6874iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qoo97;293?6=8r.:ni4>129K5f2<@8hn7)??7;ag?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1==h4H0a7?M7em2.:<:4l1:keg?6=3`lo6=44o05;>5<57;294~"6jm0:=>5G1b68L4db3-;;;7?4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yggd:3:1;7>50z&2fa<69:1C=n:4H0`f?!77?3o27dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`bg1<72?0;6=u+1cf9544<@8i?7E?me:&2425;hdg>5<>o6890;66a>7983>>{ei=;1<7850;2x 4dc28;97E?l4:J2f`=#99=1hh5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vnl:?:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:49jbf<722cmh7>5;hdf>5<6F>c59K5gc<,8:<6?o4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo=id;293?6=8r.:ni4>129K5f2<@8hn7)??7;g4?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=7983>>{e;ol1<7;50;2x 4dc28;:7E?l4:J2f`=#99=1:n5ffb83>>oal3:17dhj:188mc`=831d=:650;9~f167290<6=4?{%3a`?76;2B:o95G1cg8 4602o?0ekm50;9jba<722cmi7>5;hde>5<5<3:1be8257=O9j>0D"68>0oo6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a7`2=83<1<7>t$0`g>4753A;h86F>bd9'551=lk1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75`16:94?=zj:o>6=4::183!7el3;:=6F>c59K5gc<,8:<6ij4iga94?=nnm0;66gie;29?l`a2900c<97:188yg5b>3:1;7>50z&2fa<69:1C=n:4H0`f?!77?3o37dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`0ef<72:0;6=u+1cf955`<@8i?7E?me:&242<2?2.:4<4>e59jbf<722cmh7>5;n345}#9kn1=<=4H0a7?M7em2.:<:484:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f6g5290<6=4?{%3a`?76;2B:o95G1cg8 46025;hde>5<5<be8256=O9j>0D3`lh6=44igf94?=nnl0;66gif;29?l7783:17d??1;29?j7003:17pl3<729q/=oj51008L4e33A;ii6*>068`b>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a1g0=83=1<7>t$0`g>4743A;h86F>bd9'551=k;1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb4`4>5<0290;w)?md;327>N6k=1C=ok4$024>7=nnj0;66gid;29?l`b2900ekh50;9j556=831b==?50;9l52>=831vn8l7:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:da8mce=831bji4?::kea?6=3`lm6=44i023>5<5<53;294~"6jm0:5;hdg>5<be8256=O9j>0D0<729q/=oj51038L4e33A;ii6*>06860>"6080:jk5ffb83>>oal3:17dhj:188mc`=831d=:650;9~f06>290<6=4?{%3a`?76;2B:o95G1cg8 46021:0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D3`lh6=44igf94?=nnl0;66gif;29?l7783:17d??1;29?j7003:17pl2<729q/=oj51018L4e33A;ii6*>068f3>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi?n?50;594?6|,8ho6N6jl1/==95f19jbf<722cmh7>5;hdf>5<6F>c59K5gc<,8:<6il4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo=l3;293?6=8r.:ni4>129K5f2<@8hn7)??7;g5?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:4jd:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e<8h1<7950;2x 4dc28;87E?l4:J2f`=#99=1i:5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f17d290=6=4?{%3a`?76:2B:o95G1cg8 4602>?0ekm50;9jba<722cmi7>5;hde>5<5<:h7>57;294~"6jm0:=>5G1b68L4db3-;;;7h=;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd39l0;684?:1y'5gb=98;0D"68>0hi6gic;29?l`c2900ekk50;9jbc<722e:;54?::a076=83=1<7>t$0`g>4743A;h86F>bd9'551=mm1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb52f>5<1290;w)?md;326>N6k=1C=ok4$024>ad>oam3:17dhi:188m4672900c<97:188yg2683:1;7>50z&2fa<69:1C=n:4H0`f?!77?3o=7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`757<72>0;6=u+1cf9545<@8i?7E?me:&242<5?2cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm40694?1=83:p(=831vn9?::186>5<7s-;ih7?>1:J2g1=O9ko0(<>8:bg8mce=831bji4?::kea?6=3`lm6=44o05;>5<::7>56;294~"6jm0:=?5G1b68L4db3-;;;7k>;hd`>5<>oan3:17d??0;29?j7003:17pl3<729q/=oj51008L4e33A;ii6*>068`0>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a7``=83=1<7>t$0`g>4743A;h86F>bd9'551=k11bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb4a3>5<0290;w)?md;327>N6k=1C=ok4$024>0c>oam3:17dhi:188m4672900e<>>:188k41?2900qo;mf;290?6=8r.:ni4>119K5f2<@8hn7)??7;0f?!7?93;mm6gic;29?l`c2900ekk50;9l52>=831vn>k7:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:078mce=831bji4?::kea?6=3`lm6=44i023>5<5<54;294~"6jm0:==5G1b68L4db3-;;;7=6;%3;5?7c:2cmo7>5;hdg>5<6F>c59K5gc<,8:<6;j4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo=jb;293?6=8r.:ni4>129K5f2<@8hn7)??7;5`?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:4i2:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e;mh1<7950;2x 4dc28;87E?l4:J2f`=#99=1;i5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f6bd290<6=4?{%3a`?76;2B:o95G1cg8 4602:n0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D>oam3:17dhi:188m4672900e<>>:188k41?2900qo=kf;293?6=8r.:ni4>129K5f2<@8hn7)??7;d2?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:49;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd4km0;6:4?:1y'5gb=9890D"68>08:6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a7a7=83=1<7>t$0`g>4743A;h86F>bd9'551=?m1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb5;;>5<0290;w)?md;327>N6k=1C=ok4$024>6c>oam3:17dhi:188m4672900e<>>:188k41?2900qo:6c;293?6=8r.:ni4>129K5f2<@8hn7)??7;1f?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:40183>>o6880;66a>7983>>{e>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f1g>290<6=4?{%3a`?76;2B:o95G1cg8 4602:o0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D?3`lh6=44igf94?=nnl0;66gif;29?l7783:17d??1;29?j7003:17pl;ab83>2<729q/=oj51018L4e33A;ii6*>0680a>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi8:650;594?6|,8ho6N6jl1/==953d9jbf<722cmh7>5;hdf>5<c59K5gc<,8:<6>k4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg2?83:1;7>50z&2fa<69:1C=n:4H0`f?!77?39n7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`7<1<72>0;6=u+1cf9545<@8i?7E?me:&242<4m2cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm49494?1=83:p(=831vn968:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:2g8mce=831bji4?::kea?6=3`lm6=44i023>5<5<357>57;294~"6jm0:=>5G1b68L4db3-;;;768;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd30h0;6:4?:1y'5gb=9890D"68>08i6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a0=e=83=1<7>t$0`g>4743A;h86F>bd9'551=?11bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb5:g>5<0290;w)?md;327>N6k=1C=ok4$024>6c>oam3:17dhi:188m4672900e<>>:188k41?2900qo:7f;293?6=8r.:ni4>129K5f2<@8hn7)??7;:4?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:40183>>o6880;66a>7983>>{e<=:1<7950;2x 4dc28;87E?l4:J2f`=#99=1?h5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f123290<6=4?{%3a`?76;2B:o95G1cg8 4602:o0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D2<729q/=oj51018L4e33A;ii6*>0680a>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi89k50;594?6|,8ho6N6jl1/==95869jbf<722cmh7>5;hdf>5<m6=48:183!7el3;:?6F>c59K5gc<,8:<6>k4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg2293:1;7>50z&2fa<69:1C=n:4H0`f?!77?3=37dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`717<72>0;6=u+1cf9545<@8i?7E?me:&242<4m2cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm44694?1=83:p(=831vn9;::184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:2g8mce=831bji4?::kea?6=3`lm6=44i023>5<5<>;7>57;294~"6jm0:=>5G1b68L4db3-;;;768;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd3=10;6:4?:1y'5gb=9890D"68>08i6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a07>=83=1<7>t$0`g>4743A;h86F>bd9'551=;l1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb50`>5<0290;w)?md;327>N6k=1C=ok4$024>6c>oam3:17dhi:188m4672900e<>>:188k41?2900qo:<0;293?6=8r.:ni4>129K5f2<@8hn7)??7;1f?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:488:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e<:91<7950;2x 4dc28;87E?l4:J2f`=#99=1?h5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f152290<6=4?{%3a`?76;2B:o95G1cg8 46021=0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D2<729q/=oj51018L4e33A;ii6*>068;3>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi8>750;594?6|,8ho6N6jl1/==953d9jbf<722cmh7>5;hdf>5<c59K5gc<,8:<6594iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg24k3:1;7>50z&2fa<69:1C=n:4H0`f?!77?39n7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`71f<72>0;6=u+1cf9545<@8i?7E?me:&242<4m2cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm47294?1=83:p(=831vn98;:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:2g8mce=831bji4?::kea?6=3`lm6=44i023>5<5<=47>57;294~"6jm0:=>5G1b68L4db3-;;;7=j;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd3>h0;6:4?:1y'5gb=9890D"68>0<46gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a03d=83=1<7>t$0`g>4743A;h86F>bd9'551=;l1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb54g>5<0290;w)?md;327>N6k=1C=ok4$024>2>>oam3:17dhi:188m4672900e<>>:188k41?2900qo:9e;293?6=8r.:ni4>129K5f2<@8hn7)??7;1f?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:477:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e<>;1<7950;2x 4dc28;87E?l4:J2f`=#99=1?h5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f114290<6=4?{%3a`?76;2B:o95G1cg8 46021=0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D2<729q/=oj51018L4e33A;ii6*>0680a>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi8n850;594?6|,8ho6N6jl1/==95cb9jbf<722cmh7>5;hdf>5<c59K5gc<,8:<6o:4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg2d03:187>50z&2fa<6991C=n:4H0`f?!77?3i87)?71;3e1>oak3:17dhk:188mcc=831d=:650;9~f1e5290<6=4?{%3a`?76;2B:o95G1cg8 4602ji0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D1<729q/=oj51028L4e33A;ii6*>068`7>"6080:j95ffb83>>oal3:17dhj:188k41?2900qo:me;293?6=8r.:ni4>129K5f2<@8hn7)??7;a`?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:4m4:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e5+19395c2>oam3:17b?88;29?xd3jh0;6:4?:1y'5gb=9890D"68>0ho6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a0gd=83=1<7>t$0`g>4743A;h86F>bd9'551=j=1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb5``>5<3290;w)?md;324>N6k=1C=ok4$024>f5<,82:65<>i6?10;66sm4c494?1=83:p(=831vn9l8:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:c68mce=831bji4?::kea?6=3`lm6=44i023>5<5<i47>54;294~"6jm0:==5G1b68L4db3-;;;7m<;%3;5?7a;2cmo7>5;hdg>5<c59K5gc<,8:<6nm4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg2e;3:1;7>50z&2fa<69:1C=n:4H0`f?!77?3h?7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`7f1<72=0;6=u+1cf9546<@8i?7E?me:&242f39jbf<722cmh7>5;hdf>5<be8256=O9j>0D2<729q/=oj51018L4e33A;ii6*>068a0>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi8o>50;694?6|,8ho6N6jl1/==95c29'5=7=9o80ekm50;9jba<722cmi7>5;n345}#9kn1=<<4H0a7?M7em2.:<:4:9:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm51f94?1=83:p(=831vn8>j:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:d9'5=7=9m:0ekm50;9jba<722cmi7>5;hde>5<5<53;294~"6jm0:5<c59K5gc<,8:<6hl4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg3693:1;7>50z&2fa<69:1C=n:4H0`f?!77?3nj7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`74=<72>0;6=u+1cf9545<@8i?7E?me:&2425;hdg>5<>o6890;66g>0083>>i6?10;66sm41;94?1=83:p(=831vn9>n:186>5<7s-;ih7?>1:J2g1=O9ko0(<>8:7a8mce=831bji4?::kea?6=3`lm6=44o05;>5<;n7>57;294~"6jm0:=>5G1b68L4db3-;;;75<>oan3:17d??0;29?l7793:17b?88;29?xd38j0;684?:1y'5gb=98;0D"68>0oj6gic;29?l`c2900ekk50;9jbc<722e:;54?::a054=83=1<7>t$0`g>4743A;h86F>bd9'551=m>1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb520>5<0290;w)?md;327>N6k=1C=ok4$024>7d>oam3:17dhi:188m4672900e<>>:188k41?2900qo:?4;291?6=8r.:ni4>109K5f2<@8hn7)??7;4`?l`d2900ekj50;9jb`<722cmj7>5;n345}#9kn1=21<75rb525>5<0290;w)?md;327>N6k=1C=ok4$024>c3>oam3:17dhi:188m4672900e<>>:188k41?2900qo=i4;292?6=8r.:ni4>139K5f2<@8hn7)??7;56?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`0b0<72>0;6=u+1cf9545<@8i?7E?me:&2425;hdg>5<>o6890;66g>0083>>i6?10;66sm3g594?1=83:p(=831vn>h7:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:e`8mce=831bji4?::kea?6=3`lm6=44i023>5<be8256=O9j>0D7<729q/=oj5809K5f2<@8hn7b?88;29?l`e2900qo<=d;296?6=8r.:ni471:J2g1=O9ko0c<97:188mcd=831vn8jm:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8jn:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8j6:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8k=:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8k>:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8k?:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8k6:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8k7:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8k8:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8h?:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8ki:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8kj:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8h8:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8h9:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn8h::181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vnlj<:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn?5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn?<=:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn?<;:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn?<9:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn?<7:181>5<7s-;ih7??2:J2g1=O9ko0ekl50;9l52>=831vn??;:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??<:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??k:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??l:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??m:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??n:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??6:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??7:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??8:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??9:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??::181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn??=:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8j;:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8j<:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8j=:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8j>:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8j?:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8mi:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8mj:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8mk:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vnlj>:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8hn:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8hl:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn8hj:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn;>?:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn;>=:181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn4k::181>5<7s-;ih7?89:J2g1=O9ko0ekl50;9l52>=831vn49<:187>5<7s-;ih7j9;I3`0>N6jl1/==950:k;7?6=3`km6=44i0:f>5<be8g2>N6k=1C=ok4$024>5=n0:0;66gnf;29?l7?m3:17b?7d;29?xd?010;694?:1y'5gb=l?1C=n:4H0`f?!77?3:0e5=50;9jec<722c:4h4?::m25<5<3290;w)?md;f5?M7d<2B:nh5+11594>o?;3:17doi:188m4>b2900c<6k:188yg1c;3:187>50z&2fa2B:o95G1cg8 460291b4>4?::kbb?6=3`;3i7>5;n3;`?6=3th<=l4?:583>5}#9kn1h;5G1b68L4db3-;;;7>4i9194?=nio0;66g>8d83>>i60m0;66sm70a94?2=83:p(0683?l>42900elh50;9j5=c=831d=5j50;9~f304290?6=4?{%3a`?b13A;h86F>bd9'551=82c3?7>5;hce>5<5<54;294~"6jm0o:6F>c59K5gc<,8:<6=5f8283>>ofn3:17d?7e;29?j7?l3:17plnab83>6<729q/=oj5d29K5f2<@8hn7)??7;28m=5=831bmk4?::m250;694?6|,8ho6i84H0a7?M7em2.:<:4?;h:0>5<5<1290;w)?md;326>N6k=1C=ok4$024>=2>oam3:17dhi:188m4672900c<97:188yg75:3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo?=4;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg75>3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo?=8;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg75i3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo?=c;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg75m3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo?<0;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg74:3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo?>8;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg76i3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo?>c;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg76m3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo?=0;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg>bi3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo6ic;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg>ai3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo6i8;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg>a>3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo6i4;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg>a:3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo6i0;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg>bm3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo6jc;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg?a<3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo7i2;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg?a83:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo7je;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg?bk3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo7ja;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg?b03:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qoo?6;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188ygg7<3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qoo?2;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188ygg783:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo7ie;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg?ak3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo7ia;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg?a03:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qo7i6;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188ygg5m3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qoo=c;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188ygg5i3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qoo=8;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188ygg5>3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qoo=4;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188ygg5:3:1>7>50z&2fa<68l1C=n:4H0`f?!77?38>7dhl:188k41?2900qoo=0;296?6=8r.:ni4>0d9K5f2<@8hn7)??7;06?l`d2900c<97:188yg5e=3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3?m7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:4<8:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm4g:94?0=83:p(5;hde>5<5<be8256=O9j>0D6<729q/=oj511d8L4e33A;ii6*>06877>oak3:17dhk:188k41?2900qo:i9;292?6=8r.:ni4>139K5f2<@8hn7)??7;1;?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`7bd<72?0;6=u+1cf9544<@8i?7E?me:&242<402cmo7>5;hdg>5<>o6890;66a>7983>>{e;k81<7850;2x 4dc28;97E?l4:J2f`=#99=1;;5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn9kj:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:2d8mce=831bji4?::kea?6=3`lm6=44i023>5<5<nn7>56;294~"6jm0:=?5G1b68L4db3-;;;79i;hd`>5<>oan3:17d??0;29?j7003:17pl3<729q/=oj51008L4e33A;ii6*>068f7>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a0`0=83<1<7>t$0`g>4753A;h86F>bd9'551=9o1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75`16:94?=zj=o86=49:183!7el3;:>6F>c59K5gc<,8:<6;<4iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo:kc;292?6=8r.:ni4>139K5f2<@8hn7)??7;g6?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`7`<<72?0;6=u+1cf9544<@8i?7E?me:&2425;hdg>5<>o6890;66a>7983>>{e5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f45d29096=4?{%3a`?77m2B:o95G1cg8 4602;?0ekm50;9l52>=831vn4>=:181>5<7s-;ih7??e:J2g1=O9ko0(<>8:378mce=831d=:650;9~fd7329096=4?{%3a`?77m2B:o95G1cg8 4602;?0ekm50;9l52>=831vnl=m:181>5<7s-;ih7??e:J2g1=O9ko0(<>8:378mce=831d=:650;9~f<3729086=4?{%3a`?b43A;h86F>bd9'551=82c3?7>5;hce>5<be8g7>N6k=1C=ok4$024>5=n0:0;66gnf;29?j7?l3:17pl76483>6<729q/=oj5d29K5f2<@8hn7)??7;28m=5=831bmk4?::m25<kn6=4<:183!7el3n87E?l4:J2f`=#99=1<6g73;29?lga2900c<6k:188yg1fk3:1?7>50z&2fa4?::kbb?6=3f;3h7>5;|`5b2<72:0;6=u+1cf9`6=O9j>0D>i60m0;66sm6g794?5=83:p(0683?l>42900elh50;9l5=b=831vn;:?:180>5<7s-;ih7j<;I3`0>N6jl1/==950:k;7?6=3`km6=44o0:g>5<53;294~"6jm0o?6F>c59K5gc<,8:<6=5f8283>>ofn3:17b?7d;29?xdfkk0;6>4?:1y'5gb=l:1C=n:4H0`f?!77?3:0e5=50;9jec<722e:4i4?::a1gc=8391<7>t$0`g>a5<@8i?7E?me:&242<73`286=44i`d94?=h91n1<75rb4`b>5<4290;w)?md;f0?M7d<2B:nh5f8283>>ofn3:17b?7d;29?xdfk?0;684?:1y'5gb=98;0D"68>0o=6gic;29?l`c2900ekk50;9jbc<722e:;54?::aef5=83?1<7>t$0`g>4763A;h86F>bd9'551=l<1bjn4?::ke`?6=3`ln6=44igd94?=h9>21<75rb`a6>5<0290;w)?md;327>N6k=1C=ok4$024>c2>oam3:17dhi:188m4672900e<>>:188k41?2900qo;l3;297?6=8r.:ni4>0g9K5f2<@8hn7)??7;60?!7?93;m:6gic;29?l`c2900c<97:188yg32=3:1?7>50z&2fa4?::kbb?6=3f;3h7>5;|`617<72:0;6=u+1cf9`6=O9j>0D>i60m0;66sm55a94?5=83:p(0683?l>42900elh50;9l5=b=831vn8:6:180>5<7s-;ih7j<;I3`0>N6jl1/==950:k;7?6=3`km6=44o0:g>5<53;294~"6jm0o?6F>c59K5gc<,8:<6=5f8283>>ofn3:17b?7d;29?xdf>h0;6:4?:1y'5gb=9890D"68>0nj6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::aed`=83>1<7>t$0`g>4773A;h86F>bd9'551=0<1bjn4?::ke`?6=3`ln6=44o05;>5<54;294~"6jm0:==5G1b68L4db3-;;;76:;%3;5?7bl2cmo7>5;hdg>5<c59K5gc<,8:<65;4$0:2>4cc3`lh6=44igf94?=nnl0;66a>7983>>{eik?1<7:50;2x 4dc28;;7E?l4:J2f`=#99=1485+19395`e>oam3:17b?88;29?xdfj>0;694?:1y'5gb=98:0D"68>0396*>8082af=nnj0;66gid;29?l`b2900c<97:188ygge13:187>50z&2fa<6991C=n:4H0`f?!77?32>7)?71;3ff>oak3:17dhk:188mcc=831d=:650;9~fdde290?6=4?{%3a`?7682B:o95G1cg8 46021?0(<6>:0ga?l`d2900ekj50;9jb`<722e:;54?::aegb=83>1<7>t$0`g>4773A;h86F>bd9'551=0<1/=5?51dc8mce=831bji4?::kea?6=3f;<47>5;|`bfc<72=0;6=u+1cf9546<@8i?7E?me:&242e`9jbf<722cmh7>5;hdf>5<be8255=O9j>0D21<75rb5;5>5<3290;w)?md;324>N6k=1C=ok4$024>2d>oam3:17b?88;29?xd31>0;6:4?:1y'5gb=9890D"68>0<=6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a01<7>t$0`g>4773A;h86F>bd9'551=?k1bjn4?::ke`?6=3`ln6=44o05;>5<2n7>57;294~"6jm0:=>5G1b68L4db3-;;;79>;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd31l0;694?:1y'5gb=98:0D"68>08082a<=nnj0;66gid;29?l`b2900c<97:188yg2>n3:1;7>50z&2fa<69:1C=n:4H0`f?!77?3=:7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`733<72=0;6=u+1cf9546<@8i?7E?me:&242<0j2cmo7>5;hdg>5<c59K5gc<,8:<6:?4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg20i3:187>50z&2fa<6991C=n:4H0`f?!77?3=i7dhl:188mcb=831bjh4?::m23=<722wi8:l50;594?6|,8ho6N6jl1/==95709jbf<722cmh7>5;hdf>5<c59K5gc<,8:<6:l4iga94?=nnm0;66gie;29?j7003:17pl;7g83>2<729q/=oj51018L4e33A;ii6*>06845>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi85<50;694?6|,8ho6N6jl1/==957c9jbf<722cmh7>5;hdf>5<be8256=O9j>0D1<729q/=oj51028L4e33A;ii6*>0684f>oak3:17dhk:188mcc=831d=:650;9~f15a290<6=4?{%3a`?76;2B:o95G1cg8 4602>;0ekm50;9jba<722cmi7>5;hde>5<5<be8255=O9j>0D7983>>{e<=91<7950;2x 4dc28;87E?l4:J2f`=#99=1;<5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f121290?6=4?{%3a`?7682B:o95G1cg8 4602>h0ekm50;9jba<722cmi7>5;n345}#9kn1=<=4H0a7?M7em2.:<:481:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e<=k1<7:50;2x 4dc28;;7E?l4:J2f`=#99=1;o5ffb83>>oal3:17dhj:188k41?2900qo:;b;293?6=8r.:ni4>129K5f2<@8hn7)??7;52?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n34;4?:583>5}#9kn1=<>4H0a7?M7em2.:<:48b:keg?6=3`lo6=44igg94?=h9>21<75rb504>5<0290;w)?md;327>N6k=1C=ok4$024>27>oam3:17dhi:188m4672900e<>>:188k41?2900qo:=a;290?6=8r.:ni4>119K5f2<@8hn7)??7;5a?l`d2900ekj50;9jb`<722e:;54?::a07d=83=1<7>t$0`g>4743A;h86F>bd9'551=?81bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb50f>5<3290;w)?md;324>N6k=1C=ok4$024>2d>oam3:17b?88;29?xd3:o0;6:4?:1y'5gb=9890D"68>0<=6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a00g=83>1<7>t$0`g>4773A;h86F>bd9'551=?k1bjn4?::ke`?6=3`ln6=44o05;>5<>n7>57;294~"6jm0:=>5G1b68L4db3-;;;79>;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd3=l0;694?:1y'5gb=98:0D"68>0=831vn9;i:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:638mce=831bji4?::kea?6=3`lm6=44i023>5<5<=>7>54;294~"6jm0:==5G1b68L4db3-;;;79m;hd`>5<>i6?10;66sm47194?1=83:p(=831vn989:187>5<7s-;ih7?>0:J2g1=O9ko0(<>8:6`8mce=831bji4?::kea?6=3f;<47>5;|`722<72>0;6=u+1cf9545<@8i?7E?me:&242<092cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm43094?2=83:p(50z&2fa<69:1C=n:4H0`f?!77?3=:7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`7bf<72>0;6=u+1cf9545<@8i?7E?me:&242<43`lh6=44igf94?=nnl0;66gif;29?l7783:17d??1;29?j7003:17pl:5283>2<729q/=oj51018L4e33A;ii6*>0687a>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wim;750;794?6|,8ho6;I3`0>N6jl1/==95559'5=7=9jo0ekm50;9jba<722cmi7>5;hde>5<be8256=O9j>0D3<729q/=oj51008L4e33A;ii6*>068ga>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::ae3d=83=1<7>t$0`g>4743A;h86F>bd9'551=02cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm54394?5=83:p(7983>>{e==l1<7=50;2x 4dc2m90D"68>0;7d6<:188md`=831d=5j50;9~f4>d290<6=4?{%3a`?76;2B:o95G1cg8 4602<<0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D6<729q/=oj511d8L4e33A;ii6*>06864>"6080:h;5ffb83>>oal3:17b?88;29?xd2jk0;6:4?:1y'5gb=9890D"68>09o6gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a106=83=1<7>t$0`g>4743A;h86F>bd9'551=21<75rb86e>5<3290;w)?md;324>N6k=1C=ok4$024>70<,82:6;hd`>5<>i6?10;66sm87694?2=83:p(4iga94?=nnm0;66gie;29?j7003:17pl8ae83>1<729q/=oj51028L4e33A;ii6*>06812>"6080:j=5ffb83>>oal3:17dhj:188k41?2900qo8i6;290?6=8r.:ni4>119K5f2<@8hn7)??7;05?!7?93;nj6gic;29?l`c2900ekk50;9l52>=831vn;=i:187>5<7s-;ih7?>0:J2g1=O9ko0(<>8:348 4>628om7dhl:188mcb=831bjh4?::m23=<722wimnm50;794?6|,8ho6;I3`0>N6jl1/==95439'5=7=9o=0ekm50;9jba<722cmi7>5;hde>5<3:1be8257=O9j>0D5<>oan3:17d??0;29?j7003:17pl:4d83>2<729q/=oj51018L4e33A;ii6*>0687?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n348o4?:783>5}#9kn1=<<4H0a7?M7em2.:<:46;%3;5?7b;2cmo7>5;hdg>5<>o6890;66a>7983>>{e==21<7;50;2x 4dc28;:7E?l4:J2f`=#99=1=<5+19395`5>oam3:17dhi:188k41?2900qo=66;293?6=8r.:ni4>129K5f2<@8hn7)??7;6`?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:4;e:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e19n1<7850;2x 4dc28;97E?l4:J2f`=#99=1o;5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn4>i:185>5<7s-;ih7?>2:J2g1=O9ko0(<>8:b48mce=831bji4?::kea?6=3`lm6=44i023>5<3:1be8257=O9j>0D"68>0h:6gic;29?l`c2900ekk50;9jbc<722c:<=4?::m23=<722wi4>:50;494?6|,8ho6N6jl1/==95c79jbf<722cmh7>5;hdf>5<21<75rb91:>5<1290;w)?md;326>N6k=1C=ok4$024>f0>oam3:17dhi:188m4672900c<97:188yg10j3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3i=7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:4l6:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm79094?0=83:p(5;hde>5<5<56;294~"6jm0:=?5G1b68L4db3-;;;7m9;hd`>5<>oan3:17d??0;29?j7003:17pl9cc83>3<729q/=oj51008L4e33A;ii6*>068`2>oak3:17dhk:188mcc=831bjk4?::k245<722e:;54?::a25b=83<1<7>t$0`g>4753A;h86F>bd9'551=k?1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75`16:94?=zj?:m6=49:183!7el3;:>6F>c59K5gc<,8:<6n84iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qo8>4;292?6=8r.:ni4>139K5f2<@8hn7)??7;a5?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`611<72=0;6=u+1cf9546<@8i?7E?me:&242<6i2.:4<4>f09jbf<722cmh7>5;hdf>5<be8254=O9j>0D7983>>{e;o;1<7850;2x 4dc28;97E?l4:J2f`=#99=1o:5ffb83>>oal3:17dhj:188mc`=831b==>50;9l52>=831vn>mi:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:2`8mce=831bji4?::kea?6=3`lm6=44i023>5<5<55;294~"6jm0:=<5G1b68L4db3-;;;78;;hd`>5<>oan3:17b?88;29?xd4?00;694?:1y'5gb=98:0D"68>0=?6gic;29?l`c2900ekk50;9l52>=831vn>;l:186>5<7s-;ih7?>1:J2g1=O9ko0(<>8:768mce=831bji4?::kea?6=3`lm6=44o05;>5<n7>54;294~"6jm0:==5G1b68L4db3-;;;78<;hd`>5<>i6?10;66sm35394?3=83:p(=831vn>:?:187>5<7s-;ih7?>0:J2g1=O9ko0(<>8:718mce=831bji4?::kea?6=3f;<47>5;|`063<72<0;6=u+1cf9547<@8i?7E?me:&242<302cmo7>5;hdg>5<>i6?10;66sm33794?2=83:p(50z&2fa<69;1C=n:4H0`f?!77?3>27dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=7983>>{e:ll1<7:50;2x 4dc28;;7E?l4:J2f`=#99=1:>5ffb83>>oal3:17dhj:188k41?2900qo139K5f2<@8hn7)??7;4;?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`1`0<72<0;6=u+1cf9547<@8i?7E?me:&242<302cmo7>5;hdg>5<>i6?10;66sm2e694?2=83:p(50z&2fa<69;1C=n:4H0`f?!77?3>27dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=7983>>{e;9k1<7:50;2x 4dc28;;7E?l4:J2f`=#99=1:>5ffb83>>oal3:17dhj:188k41?2900qo139K5f2<@8hn7)??7;4;?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`1ff<72<0;6=u+1cf9547<@8i?7E?me:&242<302cmo7>5;hdg>5<>i6?10;66sm2c`94?2=83:p(<3:197>50z&2fa<6981C=n:4H0`f?!77?35;|`0=6<72=0;6=u+1cf9546<@8i?7E?me:&242<1;2cmo7>5;hdg>5<c59K5gc<,8:<69?4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg2603:1;7>50z&2fa<69:1C=n:4H0`f?!77?3;37dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`0`3<72?0;6=u+1cf9544<@8i?7E?me:&2420183>>i6?10;66sm3e094?0=83:p(?:188k41?2900qo=k0;292?6=8r.:ni4>139K5f2<@8hn7)??7;33?!7?93;hh6gic;29?l`c2900ekk50;9jbc<722c:<=4?::m23=<722wi?h>50;594?6|,8ho6N6jl1/==95e39jbf<722cmh7>5;hdf>5<c59K5gc<,8:<68:4$0:2>4`b3`lh6=44igf94?=nnl0;66gif;29?j7003:17pl2<729q/=oj51018L4e33A;ii6*>06853>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi?k850;794?6|,8ho6;I3`0>N6jl1/==95659'5=7=9m90ekm50;9jba<722cmi7>5;hde>5<be8256=O9j>0D2<729q/=oj51018L4e33A;ii6*>068a4>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi?:l50;494?6|,8ho6N6jl1/==95649jbf<722cmh7>5;hdf>5<21<75rb27g>5<1290;w)?md;326>N6k=1C=ok4$024>33>oam3:17dhi:188m4672900c<97:188yg4c?3:1;7>50z&2fa<69:1C=n:4H0`f?!77?3<27dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`1f`<72>0;6=u+1cf9545<@8i?7E?me:&242<112cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm38794?0=83:p(:0de?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3f;<47>5;|`0`1<72?0;6=u+1cf9544<@8i?7E?me:&242<502.:4<4>ce9jbf<722cmh7>5;hdf>5<21<75rb855>5<1290;w)?md;326>N6k=1C=ok4$024>0b>oam3:17dhi:188m4672900c<97:188yg>?j3:1:7>50z&2fa<69;1C=n:4H0`f?!77?3?o7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;n345}#9kn1=<<4H0a7?M7em2.:<:4:d:keg?6=3`lo6=44igg94?=nno0;66g>0183>>i6?10;66sm70f94?0=83:p(5;hde>5<5<57;294~"6jm0:=>5G1b68L4db3-;;;7;:;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd?000;6:4?:1y'5gb=9890D"68>0>96gic;29?l`c2900ekk50;9jbc<722c:<=4?::k244<722e:;54?::a3a4=83=1<7>t$0`g>4743A;h86F>bd9'551==<1bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb63a>5<0290;w)?md;327>N6k=1C=ok4$024>03>oam3:17dhi:188m4672900e<>>:188k41?2900qo894;293?6=8r.:ni4>129K5f2<@8hn7)??7;76?l`d2900ekj50;9jb`<722cmj7>5;h334?6=3`;;=7>5;n345}#9kn1=<=4H0a7?M7em2.:<:4:a:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e0?<1<7950;2x 4dc28;87E?l4:J2f`=#99=1?85ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f2ga290<6=4?{%3a`?76;2B:o95G1cg8 4602:?0ekm50;9jba<722cmi7>5;hde>5<5<be8256=O9j>0D2<729q/=oj51018L4e33A;ii6*>06801>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi>k<50;594?6|,8ho6N6jl1/==956`9jbf<722cmh7>5;hdf>5<c59K5gc<,8:<6;o4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg5a;3:1;7>50z&2fa<69:1C=n:4H0`f?!77?39=7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`0g1<72>0;6=u+1cf9545<@8i?7E?me:&242<3=2cmo7>5;hdg>5<>o6890;66g>0083>>i6?10;66sm36a94?1=83:p(=831vn>;j:184>5<7s-;ih7?>3:J2g1=O9ko0(<>8:5c8mce=831bji4?::kea?6=3`lm6=44i023>5<5<57;294~"6jm0:=>5G1b68L4db3-;;;78m;hd`>5<>oan3:17d??0;29?l7793:17b?88;29?xd4<;0;6;4?:1y'5gb=9880D"68>0?;6gic;29?l`c2900ekk50;9jbc<722c:<=4?::m23=<722wi??650;594?6|,8ho6N6jl1/==956c9jbf<722cmh7>5;hdf>5<6F>c59K5gc<,8:<6994iga94?=nnm0;66gie;29?l`a2900e<>?:188k41?2900qoo7e;291?6=8r.:ni4>109K5f2<@8hn7)??7;30?l`d2900ekj50;9jb`<722cmj7>5;n345}#9kn1h>5G1b68L4db3-;;;7>4i9194?=nio0;66a>8e83>>{ei1i1<7;50;2x 4dc28;:7E?l4:J2f`=#99=1=>5ffb83>>oal3:17dhj:188mc`=831d=:650;9~fd>e29086=4?{%3a`?b43A;h86F>bd9'551=82c3?7>5;hce>5<be8254=O9j>0D6<729q/=oj5d29K5f2<@8hn7)??7;28m=5=831bmk4?::m2;I3`0>N6jl1/==95129jbf<722cmh7>5;hdf>5<50z&2fa<6981C=n:4H0`f?!77?3;87dhl:188mcb=831bjh4?::keb?6=3f;<47>5;|`b<2<72:0;6=u+1cf9`6=O9j>0D>i60m0;66sma9494?3=83:p(=831vnl6::180>5<7s-;ih7j<;I3`0>N6jl1/==950:k;7?6=3`km6=44o0:g>5<55;294~"6jm0:=<5G1b68L4db3-;;;7?<;hd`>5<>oan3:17b?88;29?xdf0:0;6>4?:1y'5gb=l:1C=n:4H0`f?!77?3:0e5=50;9jec<722e:4i4?::ae=4=83?1<7>t$0`g>4763A;h86F>bd9'551=9:1bjn4?::ke`?6=3`ln6=44igd94?=h9>21<75rb`:2>5<4290;w)?md;f0?M7d<2B:nh5+11594>o?;3:17doi:188k4>c2900qoon1;291?6=8r.:ni4>109K5f2<@8hn7)??7;30?l`d2900ekj50;9jb`<722cmj7>5;n345}#9kn1h>5G1b68L4db3-;;;7>4i9194?=nio0;66a>8e83>>{ei0l1<7;50;2x 4dc28;:7E?l4:J2f`=#99=1=>5ffb83>>oal3:17dhj:188mc`=831d=:650;9~fd?b29086=4?{%3a`?b43A;h86F>bd9'551=82c3?7>5;hce>5<be8254=O9j>0D6<729q/=oj5d29K5f2<@8hn7)??7;28m=5=831bmk4?::m2;I3`0>N6jl1/==95129jbf<722cmh7>5;hdf>5<13:197>50z&2fa<6981C=n:4H0`f?!77?3;87dhl:188mcb=831bjh4?::keb?6=3f;<47>5;|`b==<72:0;6=u+1cf9`6=O9j>0D>i60m0;66sma8594?3=83:p(=831vnl79:180>5<7s-;ih7j<;I3`0>N6jl1/==950:k;7?6=3`km6=44o0:g>5<55;294~"6jm0:=<5G1b68L4db3-;;;7?<;hd`>5<>oan3:17b?88;29?xdf1=0;6>4?:1y'5gb=l:1C=n:4H0`f?!77?3:0e5=50;9jec<722e:4i4?::ae<7=83?1<7>t$0`g>4763A;h86F>bd9'551=9:1bjn4?::ke`?6=3`ln6=44igd94?=h9>21<75rb`:3>5<4290;w)?md;f0?M7d<2B:nh5+11594>o?;3:17doi:188k4>c2900qoo8f;291?6=8r.:ni4>109K5f2<@8hn7)??7;30?l`d2900ekj50;9jb`<722cmj7>5;n345}#9kn1h>5G1b68L4db3-;;;7>4i9194?=nio0;66a>8e83>>{ei091<7;50;2x 4dc28;:7E?l4:J2f`=#99=1=>5ffb83>>oal3:17dhj:188mc`=831d=:650;9~fd?529086=4?{%3a`?b43A;h86F>bd9'551=82c3?7>5;hce>5<be8g7>N6k=1C=ok4$024>5=n0:0;66gnf;29?j7?l3:17pl:4683>6<729q/=oj5d29K5f2<@8hn7)??7;28m=5=831bmk4?::m25<c59K5gcc59K5gcc59K5gcc59K5gc6=4=:183!7el3;;46F>c59K5gcc59K5gcc59K5gc=<6=4=:183!7el3;;46F>c59K5gcc59K5gcc59K5gcc59K5gc>o6i90;66a>7983>>{e=hn1<7950;2x 4dc28;87E?l4:J2f`=#99=18=5ffb83>>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f0gb290=6=4?{%3a`?76:2B:o95G1cg8 4602>80ekm50;9jba<722cmi7>5;hde>5<5<54;294~"6jm0:=85G1b68L4db3`lh6=44igf94?=n9h:1<75`16:94?=zjc59K5gc<,8:<69j4iga94?=nnm0;66gie;29?l`a2900e<>?:188m4662900c<97:188yg3e;3:1;7>50z&2fa<69:1C=n:4H0`f?!77?3?:7dhl:188mcb=831bjh4?::keb?6=3`;;<7>5;h335?6=3f;<47>5;|`0`0<72=0;6=u+1cf9543<@8i?7E?me:keg?6=3`lo6=44i0c3>5<be8256=O9j>0D2<729q/=oj51018L4e33A;ii6*>068e7>oak3:17dhk:188mcc=831bjk4?::k245<722c:<<4?::m23=<722wi8n750;694?6|,8ho6N6jl1bjn4?::ke`?6=3`;j<7>5;n345}#9kn1=<=4H0a7?M7em2.:<:4m4:keg?6=3`lo6=44igg94?=nno0;66g>0183>>o6880;66a>7983>>{e>oal3:17dhj:188mc`=831b==>50;9j557=831d=:650;9~f1?5290?6=4?{%3a`?76=2B:o95G1cg8mce=831bji4?::k2e5<722e:;54?::a0<5=83=1<7>t$0`g>4743A;h86F>bd9'551=?81bjn4?::ke`?6=3`ln6=44igd94?=n99:1<75f11394?=h9>21<75rb5;7>5<1290;w)?md;326>N6k=1C=ok4$024>40>oam3:17dhi:188m4672900c<97:188yg2f93:187>50z&2fa<69<1C=n:4H0`f?l`d2900ekj50;9j5d6=831d=:650;9~f1g5290<6=4?{%3a`?76;2B:o95G1cg8 4602>:0ekm50;9jba<722cmi7>5;hde>5<5<3:1be8257=O9j>0Doak3:17dhk:188m4g72900c<97:188yg2f=3:1;7>50z&2fa<69:1C=n:4H0`f?!77?35;h335?6=3f;<47>5;|`7e3<72?0;6=u+1cf9544<@8i?7E?me:&242<6>2cmo7>5;hdg>5<>o6890;66a>7983>>{t=mh1<7d`<5<5ag9>1ag=9>20q~;k9;296~;1:l0jj63:d8823==z{7?88:p1`7=838p1;k6:`d890c628=37p}:e183>7}:>l?1mk525d2952>52z?4e1i44>799~w0c?2909w09n0;ce?83b03;<46s|5d594?4|5>3h6lh4=4g4>41?3ty>j=4?:3y><0d=io169k>516:8yv3bn3:1>v37568bb>;2mo0:;55rs4gf>5<5s42>?7oi;<7fa?7002wx9k950;0x9<212hl018h8:05;?xu2n?0;6?u29509ec=:=o<1=:64}r7e1?6=:r72?h4nf:?6b0<6?11v?<6:181[45127:<94ib:pea5=838p1lm6:`d89db428=37p}=2183>7}:>:h1mk52232952>7>52z?5b7?4>799~w7432909w09n9;ce?845<3;<46s|23494?4|51<;6lh4=305>41?3ty9>54?:3y>=1d=io16>?6516:8yv03:3:1:v394382=>1jn526379ba=:>;=1ji5251g9bc=:>=;1jk5rs7d:>5<1s4==4if:?5b=50;4x92d7282o709m2;d`?81>;3lo70965;dg?83683;;<638ag8eb>{t0?=1<78t=944>4>c342=57hl;<:7e?`c342?o7hk;<724?`b342=:7hi;|q:17<72kq658<519f89<332oi014<::gf89<502on018>6:gg8906528:;70;?f;dg?8?293lh70:64;334>;3i:0:<=524`4955652z?241<6?116>?l5a19~wde72909nv36d98;e>;>l003m636db8;e>;>lm03m636dd8;e>;>lo03m636e18;e>;>m803m636e38;e>;>m:03m636d`8;e>;>lk03m637e58e`>;>;00mh6372e8e`>;?;01>0mh639bg8e`>;1m90mh639098e`>;1:00mh63:f`8ef>;2nj0mn63:fd8ef>;1890mn639038ef>;fk90:4i52ab49ba=:>;<:;=?77927009>34d=99;01;8;:022?8?4<3;;<63:578ea>;2;2<10mh6s|21294?4|5?>?6kj4=3cf>41?3ty9<<4?:3y>2cd=nm16>lh516:8yv47:3:1>v38b38e`>;3kj0:;55rs320>5<5s42=57hk;<6``?7002wx>=:50;0x9<332on019mj:05;?xu59=0;6?u22069bg=:;o;1=:64}r027?6=:r78in4>799>645=nk1v??k:18185cn3;<463=1e8ef>{t:8i1<7cd<5:n>6<97;|q15g<72;q6?n;516:8977e2oh0q~<>a;296~;3:90:;55220c9bg=z{;;26=4={<622?700279=44ib:p64>=838p19>l:05;?84603li7p}=1683>7}:<9<1=:64=334>cd52z?745<6?116><85fc9~w7722909w0=i9;34<>;59<0mn6s|20094?4|5:o=6<97;<026?`e3ty>h94?:3y>1a2=nk168n7516:8yv3c;3:1>v3;c9823==:=m91jo5rs4f1>5<5s4>h87?88:?6`7<5799>1f`=nk1v8mj:18182e<3;<463:cd8ef>{t=jn1<741?34?hh7hm;|q:a0<72;q69o7516:895<6nmq6mnk5829>616=0:16>9?5829>61>=0:16>975829>61g=0:16>9l5829>61e=0:16>9j5829>61c=0:16>9h5829>614=0:16>9=5829>612=0:16>9;5829>610=0:16>995829>=14=0:1659=5829>=10=0:165995829>=6c=0:165>h5829>=1d=0:1659m5829>=1b=0:165n:5829>=f5=0:165n<5829>=f7=0:165n>5829>=g`=0:165oj5829>=ge=0:165ol5829>=gg=0:165o75829>=g>=0:1655h5829>=<6=0:1654l5829>=d6=0:165l?5829>=d4=0:165l=5829>=d2=0:165l;5829>=d0=0:1654?5829>=<4=0:1654=5829>=<2=0:1654;5829>=<0=0:165495829>=<>=0:165475829>===<`=0:165o95829>=g2=0:165o85829>=g3=0:165o<5829>=g7=0:165o>5829>=d`=0:165lk5829>=db=0:165lo5829>=de=0:165ll5829>=d?=0:165no5829>=f1=0:165n75829>=f>=0:165n85829>=f3=0:165l65829>=gc=0:165o=5829>=d1=0:1658<5829>=a>=0:165i75829>=ae=0:165ij5829>=ac=0:165ih5829>=`6=0:165h?5829>=`4=0:165h=5829>=ag=0:165il5829>=26=0:165:?5829>=24=0:164895829><0>=0:1648l5829><0e=0:1648=5829><02=0:164;>5829><37=0:164;<5829>=0:164o75829>=0:164l75829>=0:164om5829><31=0:1645;5829><=0=0:164595829>3d6=0:16;l?5829>3d2=0:16;l;5829>33d?=0:16;lo5829>3dd=0:164?<5829><77=0:164?>5829><4`=0:164<4b=0:164<4g=0:164<75829><4>=0:164<95829><40=0:16;hj5829>3`c=0:16;k75829>3cc=0:16;kh5829><56=0:164=?5829><54=0:164==5829><52=0:16;hh5829>3c6=0:16;k?5829>3c4=0:16;k=5829>3c2=0:16;k;5829>3c0=0:16;k95829>3c>=0:16;ko5829>3cd=0:16;km5829>3cb=0:164<;5829><44=0:164<:5829><45=0:164<>5829><5`=0:164=k5829><5b=0:164=m5829><5d=0:164=65829><5g=0:164=75829><51=0:164?65829><73=0:164?95829><70=0:164?:5829><75=0:164=85829><4e=0:164<53=0:16;o>5829>3fc=0:16;nh5829>3a6=0:16:h75829>2`g=0:16:hj5829>2`c=0:16:h;5829>2`0=0:16:k<5829>2c5=0:16:k:5829>33d=0:16;;o5829>33?=0:16;;65829>331=0:16;;85829>332=0:16;;=5829>334=0:16;;?5829>336=0:16;8h5829>360=0:16;>95829>314=0:16;995829>31>=0:16;975829>31g=0:16;9l5829>31e=0:16;9j5829>36>=0:16;>75829>36g=0:16;>l5829>36e=0:16;>j5829>36c=0:16;>h5829>316=0:16;9?5829>315=0:16;9:5829>313=0:16;985829>30c=0:16;8l5829>30b=0:16;8m5829>30?=0:16;865829>301=0:16;885829>303=0:16;8:5829>307=0:16;8=5829>304=0:16;8>5829>327=0:16;;k5829>326=0:16;;h5829>33b=0:16;;m5829>31`=0:16;;;5829>30g=0:16;9k5829>2c?=0:16;<95829>34>=0:16;<75829>264=0:16:>=5829>260=0:16:>95829>27c=0:16:?h5829>26d=0:16:>m5829>26b=0:16:o:5829>2g5=0:16:o<5829>2g7=0:16:o>5829>2d`=0:16:lj5829>2de=0:16:ll5829>2dg=0:16:l75829>2d>=0:16::h5829>2=6=0:16:5l5829>2<6=0:16:4?5829>2<4=0:16:4=5829>2<2=0:16:4;5829>2<0=0:16:5?5829>2=4=0:16:5=5829>2=2=0:16:5;5829>2=0=0:16:595829>2=>=0:16:575829>2=g=0:16:5m5829>2=b=0:16:5k5829>2=`=0:16:l95829>2d2=0:16:l85829>2d3=0:16:l<5829>2d7=0:16:l>5829>2<`=0:16:4k5829>2222g1=0:16:o75829>2g>=0:16:o85829>2g3=0:16:465829>2dc=0:16:l=5829>2<1=0:16:9<5829>236=0:16:;?5829>234=0:16mn75829>efg=0:16mlk5829>eg6=0:16mo<5829>eg2=0:16mo85829>eg>=0:16moo5829>ege=0:16mok5829>12`=0:1695>5829>1=7=0:1695<5829>1=5=0:1695:5829>1=3=0:169585829>10`=0:169;>5829>137=0:169;<5829>135=0:169;:5829>133=0:169;85829>13`=0:169:>5829>127=0:169:<5829>125=0:169::5829>123=0:169:85829>1=`=0:1694>5829>1<7=0:1694<5829>1<5=0:1694:5829>1<3=0:169485829>1<`=0:169l>5829>1d7=0:169l<5829>1d5=0:169l:5829>1d3=0:169l85829>155=0:169=o5829>150=0:168kh5829>1dg=0:169o:5829>1d`=0:169l95829>16b=0:169>k5829>16`=0:16>?h516:89<142190149::9189=>?2190156n:91892b621901:j<:918927f21901:?l:918930421901;8::9189dgd21901lm?:9189<37219014:j:9189=022190158<:91892gb21901:ol:91893`021901;h::918932721901;=j:9189dee219018lj:91890df219018;::9189035219018:l:918902>219018:9:918902a21901l6k:9189d>e21901l6i:9189d>>21901l68:9189d>221901l6<:9189d>621901lo?:9189d?b21901l7l:9189d?f21901l77:9189d?121901l7;:9189d>721901l9j:9189d?5219018:n:9189020219018:k:918yvgdm3:1=lu2abg95=b<51o?6km4=90g>ce<5>==6km4=7`e>ce<5?:36km4=5d`>cb<5>;<;7b?`d342=87hl;<5b`?`d34009>3d`=99;01;h7:022?80393;;=636cc8ef>;2jk0mh63:578eg>;2;2<10mo63:558eg>{t::<1<7=t=364>4>c34;347??0:?27f>;50;1x9721282o70?78;335>;6:90mo6s|22694?5|5;>>6<6k;<3;9:519f894>?2ol01>h50;1x972a282o70?79;334>;6;;0mo6s|22g94?5|5;>n6<6k;<3;=?77927:?=4ic:p66b=839p1?:k:0:g?87?13ln70?=e;d`?xu5;j0;6>u225a95=b<58226kh4=00`>ce53z?10g<60m16=575fb9>57g=nj1v?=n:180843i3;3h63>888e`>;6:10mo6s|22;94?2|5;>26<6k;<3;e?`a34;9:7hl;<3;g?`c3ty9?54?:5y>61>=91n01<6n:023?875<3lh70?7c;d`?xu5;>0;69u225395=b<582j6kj4=001>ce<582h6kh4}r004?6=8e9>5=g=nl16=5m5fd9>562=nk1v<69:1818gdm3km70?7a;34<>{t9=<1<7kt=0a4>41e34;::7l6;<01f?7?:273i54m9:?b5`k:511c8964528k;70=<3;33e>;4900:m=5233c955g<5:9o63l270?>6;:a?874=32i70?=1;`:?875;3h270?=5;`:?875?3h270?=9;`:?875j3h270?=d;`:?875n3h270?<1;`:?876?3h270?>9;`:?876j3h270?>d;`:?876n3h270<=b;``?845j3;3863=2c82<0=::;h1=5h4=9g;>=d<51lm65l4=9ga>g?<51oo6o74=9ge>g?<51l:6o74=9d0>g?<51l>6o74=9d4>g?<51l26o74=9da>g?<51o26o74=`3f>=d<5h9:65l4=`3e>g?<5h8:6o74=`00>g?<5h8>6o74=`04>g?<5h826o74=`0a>g?<5h8o6o74=8g5>=d<5h:i65l4=8d6>g?<50l<6o74=8d:>g?<50li6o74=8dg>g?<50lm6o74=`22>g?<5h:86o74=`26>g?<50o<6o74=8g:>g?<50oi6o74=8gg>g?<50om6o74=8d2>g?<50l86o74=2:;>=d<5:3<65l4=2:f>g?<5:2m6o74=2;3>g?<5;h;65l4=3`e>=d<5;h=6o74=3`4>g?<5;h36o74=3df>=d<5::n65l4=227>g?<5::>6o74=225>g?<5::<6o74=3a:>=d<5;n365l4=3ae>g?<5;n;6o74=3f2>g?<5;o965l4=3d0>=d<5;o26o74=3gb>g?<5;oi6o74=3g`>g?<5;o86o74=23;>=d<5:8265l4=23e>g?<5:8;6o74=202>g?<5:896o74=23:>g?<5:9?65l4=267>=d<5:9j6o74=21a>g?<5:9h6o74=21g>g?<5:>n65l4=27e>=d<5:?>6o74=275>g?<5:?<6o74=27;>g?<5:>m6o74=24a>=d<5:=o65l4=251>g?<5:=86o74=257>g?<5:=>6o74=24`>g?<5;i36<>n;<0f5?77i2wx>?j50;0x974e20h01?=g<5;>:65o4=36;>=g<5;>265o4=36b>=g<5;>i65o4=36`>=g<5;>o65o4=36f>=g<5;>m65o4=361>=g<5;>865o4=367>=g<5;>>65o4=365>=g<5;><65o4=0:`>41?3ty:5=4?:3y>616=io16=>;516:8yv7>?3:1>v3=408bb>;6;m0:;55rs0;;>5<5s48?47oi;<30a?7002wx=4750;0x972>2hl01<=i:05;?xu61h0;6?u225c9ec=:9=:1=:64}r3:f?6=:r798o4nf:?204<6?11v<7l:181843k3km70?;2;34<>{t90n1<7d`<58>86<97;|q2=`<72;q6>9k5ag9>512=9>20q~?6f;296~;544823==z{83:6=4={<076?ga34;8:7?88:p5<4=838p1?:<:`d8945028=37p}>9283>7}::=>1mk5212:952>52z?100799~w4?22909w0<;6;ce?874i3;<46s|18494?4|5;><6lh4=01a>41?3ty:?>4?:2y>540=9h:01<=::02b?874<3;<46s|10494?5|58;=6<97;<315?>e34;8h76m;|q264<72:q6=??516:8944421h01<=j:9`8yv75;3:1?v3>22823==:9;?14o5212d96=4<{<311?70027:>:47b:?2052883>6}:9;31=:64=00a>=d<58>965l4}r31f?6=;r7:>o4>799>57b=0k16=9=58c9~w44c2908w0?=d;34<>;6:o03n63>458;f>{t9;l1<7=t=00e>41?34;8=76m;<371?>e3ty:?<4?:2y>567=9>2017;297~;69>0:;55210;95<4s4;:57?88:?25gu210f952><58;m65l4=01b>=d52z?25c<6?116=>l58c9~w<43290nw07=5;34<>;3110mh63;9b8e`>;3i90mh63;a88e`>;3ij0mh63;968eg>;31k0mo63;9g8eg>;31:0mo63;a38eg>;3i<0mo63;a98244=:799>=57=0k1v5hm:1808>aj3;<4637e88;f>;>8903n6s|8g;94?5|51l26<97;<:ef?>e343;n76m;|q;b2<72:q64k9516:89=`>21h014>n:9`8yv>a=3:1?v37f4823==:0o=14o5291;96}:0ll1=:64=9d2>=d<50:=65l4}r:f`?6=;r73ii4>799><``=0k165=;58c9~w=ce2908w06jb;34<>;?mm03n636058;f>{t0l21<7=t=9g;>41?342nn76m;<;37?>e3ty3ji4?:2y><`>=9h:015hi:02b?8>am3;<46s|8d094?4|50=96lh4=9g4>41?3ty3i<4?:3y>=27=io164h8516:8yv>b83:1>v36718bb>;?m<0:;55rs9g0>5<3s43<<7?7e:?:37<60l165:?519g89=c328=37p}63`83>1}:1>:14l529639814l5292`952>52z?:`g799~w<402909w07ka;ce?8?783;<46s|92394?4|50o86lh4=82a>41?3ty2?=4?:3y>=`4=io165=o516:8yv?5n3:1>v36e08bb>;>800:;55rs80f>5<5s43n<7oi;<;38:05;?xu>:j0;6?u29eg9ec=:19<1=:64}r;1f?6=:r72hi4nf:?:40<6?11v4{t1;31<7d`<50:86<97;|q:63<72;q65i65ag9>20q~7:3;296~;>=;0jj63655823==z{0936=4>9z?:k=03m636c28;e>;>k;03m636c08;e>;>k903m636bg8;e>;>jm03m636bb8;e>;>jk03m636b`8;e>;>j003m636b98;e>;>il03m636ag8;e>;>j903m636b08;e>;>j;03m636b48;e>;>j?03m636a68;e>;>j:03m636bd8;e>;>i103m636c48;e>;>j=03m636c78;e>;>k103m636c88;e>;>k>03m636c`8;e>;>i003m636ac8;e>;>ij03m636a`8;e>;>im03m636b68;e>;>;>0:;55rs87;>5<5s433j7oi;<;3`?7002wx5;=50;0x9i:05;?xu>>10;6?u298`9ec=:18>1=:64}r;5=?6=:r72m=4nf:?:50<6?11v48n:1818?f93km707>6;34<>{t1?h1<7d`<50;<6<97;|q:2f<72;q65l=5ag9>=4>=9>20q~79d;296~;>i=0jj63618823==z{07}:10;1mk5290a952>m7>52z?:=7799~w<3e2909w0763;ce?8?6m3;<46s|94a94?4|503?6lh4=83e>41?3ty29i4?:3y>=<3=io165?>516:8yv?2m3:1>v36978bb>;>:80:;55rs87e>5<5s432;7oi;<;16?7002wx5;>50;0x9>80;6?u298;9ec=:19i1=:64}r;56?6=:r725l4nf:?:4`<6?11v48;:1818?>k3km707>0;34<>{t1??1<7d`<50;:6<97;|q:23<72;q654k5ag9>=44=9>20q~797;296~;>1o0jj63612823==z{0996=4k{<;g8d9>=ae=91o014jk:0:f?8?cm3;3i636dg82<`=:1l:1=5k4=8g2>4>b343n>7?7e:?:a6<60l165io519g89{t1j>1<74>c34>2=7hk;|q:g6<72;q65n=519f891?12on0q~7l2;296~;>k;0:4i5248c9ba=z{0i:6=4={<;`5?7?l27?5h4id:p=f6=838p14m?:0:g?82f:3;;<6s|9cd94?4|50hm6<6k;<6b1?`a3ty2ni4?:3y>=gb=91n019o7:gf8yv?ek3:1>v36bb825<5s43in7?7d:?7=6<6891v4ln:1818?ei3;3h63;968245=z{0h26=4={<;a=?7?l27?5o4>019~w;31o0:<=5rs8c4>5<5s43j;7?7d:?7=1j:0;6?u29c195=b<5=3h6kk4}r;aa?6=:r72nh4>8e9>0d6=nl1v4m::1818?d=3;3h63;a28eg>{t1j<1<74>c34>j:7hl;|q:g2<72;q65n9519f891g>2oo0q~7l8;296~;>k10:4i524`a9b`=z{0i26=4={<;`=?7?l27?5<4ic:p=fg=838p14mn:0:g?82>>3lh7p}6a883>7}:1h31=5j4=5;b>ce52z?:ed<60m1684k5fb9~w;3i;0:<<5rs8c`>5<5s43jo7?7d:?7e0<6891v4ok:1818?fl3;3h63;a98eg>{t1ho1<74>c34>jn7hk;|q:ec<72;q65lh519f891?42oo0q~7m0;296~;>j90:4i524859b`=z{0h:6=4={<;a5?7?l27?5o4ie:p=g4=838p14l=:0:g?82>n3ln7p}6b583>7}:1k>1=5j4=5c1>cc52z?:f0<60m168l;51138yv?e>3:1>v36b7825<5s43i;7?7d:?7eg50;4x9<332oo014<::ga89<37282o70:64;de?82f;3lm70:n6;de?xu>=<0;64>c3439=7hj;<;16?`b3439?7hj;<;2a?`a343:j7hi;<;14?`a343:o7hk;<;2`?`c343:n7hk;<;27hk;<;27?`c343;o7hi;<;3a?`a343:<7hi;<:f3?`c342n:7hk;<;3`?`a343;j7hi;<;20?`a343<:7hj;<;40?`a342n97hm;|q:13<728np149>:0:g?8?593lm707=2;de?8?5;3lm707>e;df?8?6n3ln707=0;df?8?6k3ln707>d;df?8?6j3ln707>8;dg?8?613lo707>a;dg?8?6=3ln707>6;df?8?6?3ln707>1;df?8?6:3ln707>3;df?8?7k3ln707?e;df?8?683ln706j7;df?8>b>3lh707?d;df?8?7n3ln707>4;df?8?0>3lo70784;df?xu>=>0;64>c3439=7hk;<;16?`c3439?7hk;<;2a?`c343:j7hk;<;14?`c343:o7hi;<;2`?`a343:n7hi;<;27hi;<;27?`a343;o7hk;<;3a?`c343:<7hk;<:f3?`d343;h7hk;<;3b?`c343:87hk;<;42?`d343<87hk;|q:30<72=q658:5fg9>=61=nj165:;519f89<1128:;7p}67683>6}:11l1=5j4=8c4>d`<50:o6km4}r;;6?6=;r725=4>8e9>=d>=io165=h5fb9~w<>02908w076b;3;`>;>j:0jj636158eg>{t1121<7=t=8c3>4>c343ii7oi;<;21?`d3ty2444?:2y>=d7=91n014m::`d89<712oi0q~77a;297~;>i;0:4i529b49ec=:18=1jn5rs8:a>5<4s43j?7?7d:?:g29;d`?xu>0m0;6>u29`795=b<50i26lh4=83b>ce53z?:e3<60m165no5ag9>=4d=nj1v497:1808?>93;3h636a88bb>;>9j0mo6s|96;94?5|50396<6k;<;be?ga343:h7hl;|q:3d<72:q654=519f896}:10=1=5j4=8ce>d`<50896km4}r;4b?6=;r72554>8e9>=g6=io165?=5fb9~w<>72908w0769;3;`>;>j80jj6360b8eg>{t11;1<7=t=8;b>4>c343i>7oi;<;3a?`d3ty24>4?:2y>=1m0:4i529c79ec=:18;1jn5rs8:6>5<4s432i7?7d:?:f33;d`?xu>?:0;68u28d69b`=:1:h1ji5292;9bf=:1>91=5j4=857>ce52z?:0a<60m1659h5fe9~w<2?2909w07;b;3;`>;>h6<6k;<;7`?ga343?j7hj;|q:7a<720q65>h519f89<4628:;707>e;334>;>9k0:<=5290:9556<50;>6<>?;<;25?778272019>=5b=99:0q~7;l0:4i5292d9ec=z{0>n6=4:{<;0f?`d343857hj;<;7a?7?l272;;4if:?:31<6891v4:::18:8?3?3;3h636228245=:1;:1==>4=83g>467343:m7??0:?:52<689165<=511289<7728:;707>4;334>{t1=>1<74>c343?;7oi;|q:04<720q659=519f89<4528:;707>f;334>;>9j0:<=5290;9556<50;=6<>?;<;26?778272

019>=5`=99:0q~7;0;296~;><;0:4i529519ec=z{1>26=4>1z?;0d<6?1168:65fe9>02e=nm1685>5fe9>0=2=nm168595fe9>0=g=nm1685j5fe9>0<6=nm168:95fb9>02d=nj168:h5fb9>0=5=nj168585112891>>28:;70:7c;334>;30o0:<=5rs90a>5<5s423;7oi;<:04?7002wx4?o50;0x9=>12hl0158d9><=1=91o01569:0:f?8>5l3;<46s|85d94?2|512>65o4=9:5>=g<512<65o4=973>41?3ty3:54?:3y><31=io164;7516:8yv>3l3:1=4u28`6914l5285g952>524y>=0h164i=58`9>58`9><1e=9>20q~69d;296~;?i=0jj63733823==z{1=36=4={<:b1?ga342887?88:p<2b=838p15l?:`d89=5>28=37p}77d83>7}:0k?1mk5282c952>52z?;f3799~w=>72909w06m7;ce?8>4k3;<46s|89394?4|51h36lh4=91g>41?3ty34?4?:3y>k516:8yv>?;3:1>v37b`8bb>;?;o0:;55rs9:7>5<5s42in7oi;<:74?7002wx4;k50;0x9=g12hl015:>:05;?xu?>o0;6?u28`59ec=:0=81=:64}r:44?6=:r73m54nf:?;06<6?11v59>:1818>f13km706;4;34<>{t0>81<7d`<51>>6<97;|q;36<72;q64ll5ag9><10=9>20q~684;296~;?ij0jj63746823==z{1=>6=4={<:b`?ga342?47?88:p<20=838p15oj:`d89=5628=37p}77683>7}:0hl1mk52821952>52z?;f4799~w=1f2909w06m2;ce?8>4>3;<46s|86`94?4|51h86lh4=914>41?3ty3;n4?:3y>6516:8yv>c13:1>v37d882<1ji5rs9f;>5<5s42o47?7d:?73d8e9>0=0=nl1v5j;:1818>c<3;3h63;888ea>{t0m81<74>c34>3o7hk;|q;`4<72;q64i?519f891>a2oo0q~6k0;296~;?l90:4i52465955652z?;gc<60m168:l51128yv>dm3:1>v37cd82l1==>4}r:``?6=:r73oi4>8e9>0=5=99:0q~6mc;296~;?jj0:4i5246:9b`=z{1ho6=4={<:a`?7?l27?;n4ie:p=838p15m7:0:g?82?83ln7p}7d283>7}:0m91=5j4=5:7>cc52z?;`d<60m168595fd9~w=be2909w06kb;3;`>;30h0mi6s|8ea94?4|51nh6<6k;<6;`?`b3ty3hi4?:3y>cm3:1>v37dd82<1jn5rs9fe>5<5s42oj7?7d:?73d8e9>0=0=nm1v5m>:1818>d93;3h63;888e`>{t0j81<74>c34>3o7hl;|q;g6<72;q64n=519f891>a2on0q~6l4;296~;?k=0:4i524659b`=z{1i>6=4={<:`1?7?l27?;o4ie:p7}:0j=1=5j4=5:0>cc52z?;g<<60m168585fb9~w=ef2909w06la;3;`>;3000mo6s|8b`94?4|51ii6<6k;<6;g?`b3ty3on4?:3y>1=3:1?v37688ea>;?ez?;<0<60m164985fd9><11=nl164965fd9><15=no1649:5fg9><13=no1649?5fe9><14=nm1649>5fe9><6b=no164>k5fg9><6`=no164>o5fe9><6d=nm164>m5fe9><60=nm164>95fe9><6>=nm164>?5fg9><65=no164>;5fg9><66=nm164?h5fe9><64=no164>:5fg9><6?=no1645l5fd9><=?=no164?k5fc9~w=0e290:hv3787821jh528579b`=:0=;1jh528509b`=:0=:1jh5282f9ba=:0:o1ji5282d9ba=:0:k1jh5282`9b`=:0:i1jh528249b`=:0:=1jh5282:9b`=:0:;1jh528219b`=:0:?1jh528229b`=:0;l1jn528209b`=:0:>1jh5282;9b`=:01h1ji5289;9b`=z{1cz?;<2<60m164985fe9><11=nm164965fe9><15=nm1649:5fe9><13=nm1649?5fg9><14=no1649>5fg9><6b=nl164>k5fd9><6`=nl164>o5fg9><6d=no164>m5fg9><60=no164>95fg9><6>=no164>?5fe9><65=nm164>;5fe9><66=nj164><5fe9><62=nm164>75fe9><=d=nj164575fe9~w=>f290?w0699;de?8>3k3lh7067a;3;`>;?0k0:<=5rs9:`>5<4s42j87?7d:?;ffel3km706<4;d`?xu?1j0;6>u28c295=b<51i36lh4=91:>ce53z?;f0<60m164i=5ag9><6g=nj1v57j:1808>e>3;3h637d`8bb>;?;k0mo6s|88d94?5|51h<6<6k;<:gf?ga3428o7hl;|q;e5<72:q64o6519f89=bd2hl015=k:ga8yv>f93:1?v37b882383lh7p}78e83>6}:0h<1=5j4=9`f>d`<51>:6km4}r:;a?6=;r73m:4>8e9>a2908w06n8;3;`>;?k90jj637428eg>{t00:1<7=t=9c:>4>c342h=7oi;<:70?`d3ty35<4?:2y>5<4s42jo7?7d:?;g1d=3km706;8;d`?xu?1<0;6>u28`g95=b<51i=6lh4=912>ce53z?;ec<60m164n95ag9><65=nj1v577:1808>e93;3h637c88bb>;?;<0mo6s|88;94?5|51h96<6k;<:`e?ga3428:7hl;|q;=d<72:q64o=519f89=ee2hl015=8:ga8yv>>j3:1?v37b582<7hk;<:7a?`d342347?7d:?;<<8e9><34=io164;:5fd9~w=352902w06:4;3;`>;?;6<>?;<:0`?778273?l4>019><60=99:015=>:023?8>4:3;;<6s|84394?4|51?86<6k;<:60?ga3ty3:>4?:4y><06=nj1649k5fd9><35=91n0156m:gd89=>>28:;7p}75`83><}:0467342?97??0:?;07<689164>h511289=5d28:;706<8;334>;?;<0:<=5282;955657>52z?;1g<60m1648m5ag9~w=312902w06:8;3;`>;?<>0:<=528569556<51>:6<>?;<:0a?778273?o4>019><61=99:015=<:023?8>4<3;;<6s|84794?4|51?<6<6k;<:6;3>m0:<=524629556<5==86<>?;|q431<72;q6;i>5ag9>32?=9>20q~983;296~;0ko0jj63879823==z{>=96=4={<5`a?ga34=<;7?88:p323=83>p1:mj:0:f?81c83;3i638cg82<`=:?><1=:64}r5::18181e83km709m2;34<>{t?0<1<7?6{<5f`?>f34=ni76n;<5e=?>f34=mi76n;<5eb?>f342;<76n;<:35?>f342;>76n;<:37?>f342;876n;<5fb?>f34=m<76n;<5e5?>f34=m>76n;<5e7?>f34=m876n;<5e1?>f34=m:76n;<5e3?>f34=m476n;<5ee?>f34=mn76n;<5eg?>f34=mh76n;<5:3?7002wx;4:50;068>5:32j706=1;:b?8>5832j706>f;:b?8>6m32j706>d;:b?8>6j32j706>a;:b?8>6132j706>8;:b?8>6?32j706>6;:b?8>7k32j706?d;:b?8>7m32j706?f;:b?8>6832j706>3;:b?8>6<32j706?5;:b?8>6932j706>c;:b?8>7>32j706=3;:b?8>6:32j706=4;:b?8>5>32j706=7;:b?8>5=32j706=8;:b?8>7?32j706?9;:b?8>7i32j706?8;:b?8>7j32j706>5;:b?81>=3;<46s|7c494?4|5>oo6lh4=65a>41?3ty3`c=io16;:j516:8yv1d>3:1>v38f88bb>;00;0:;55rs6a4>5<5s4=mi7oi;<5;7?7002wx;n650;0x92`a2hl01:6;:05;?xu0k00;6?u28129ec=:?1?1=:64}r5`e?6=:r73<<4nf:?4<3<6?11v:mm:1818>7:3km70977;34<>{t?ji1<7d`<5>236<97;|q4ga<72;q64=:5ag9>3=?=9>20q~9m7;296~;0mo0jj6388`823==z{>h36=4={<5e4?ga34=3n7?88:p3g?=838p1:h>:`d892>d28=37p}8b`83>7}:?o81mk5279f952>52z?4b6799~w2dd2909w09i4;ce?81?n3;<46s|7cf94?4|5>l>6lh4=6;3>41?3ty3c0=io16;4?516:8yv1en3:1>v38f68bb>;0?h0:;55rs6a3>5<5s4=m47oi;<54g?7002wx;n<50;0x92`f2hl01:9j:05;?xu0k:0;6?u27g`9ec=:?>l1=:64}r5`0?6=:r7{t0;81<74>c34>>m7hk;|q;64<72;q64??519f8913b2on0q~6=0;296~;?:90:4i524709ba=z{1;m6=4={<:2b?7?l27?:;4id:p<4c=838p15?j:0:g?821i3lo7p}71e83>7}:08n1=5j4=54g>cb52z?;5g<60m168:>5fd9~w=7f2909w06>a;3;`>;3?:0mi6s|80;94?4|51;26<6k;<66f?7782wx4<650;0x9=7?282o70::f;334>{t08=1<74>c34>=?7??0:p<40=838p15?9:0:g?821?3;;<6s|81794?4|51:>6<6k;<66g?`b3ty3<;4?:3y><50=91n0198?:gg8yv>693:1>v3710821jh5rs93`>5<5s42:o7?7d:?72=84>8e9>027=nl1v5<9:1818>5>3;3h63;758ea>{t0;=1<74>c34>>m7hl;|q;6=<72;q64?6519f8913b2oi0q~6?7;296~;?8>0:4i524709bf=z{1:36=4={<:36:0:g?821i3lh7p}70`83>7}:09k1=5j4=54g>ce52z?;4g<60m168:>5fe9~w=6d2909w06?c;3;`>;3?:0mh6s|81f94?4|51:o6<6k;<66f?`b3ty3<5c=91n019;i:gg8yv>7n3:1>v370g825<5s42:<7?7d:?7228e9>026=nj1v5?::1818>6=3;3h63;728eg>{t?ho1<7=t=6`1>cc<5>386km4=6cf>4>c3ty4?:0gx92eb282o7097f;df?81>83ln70961;df?81?k3lm7097d;de?81?m3lm7097a;dg?81?j3lo70979;dg?81?>3lm70977;de?81?03lm70973;dg?81?<3lo70975;dg?810n3lo70970;dg?81?93lo7098a;de?810k3lm7098e;de?81013lo70988;dg?810j3lm7098d;de?81?:3lm709k4;df?81c:3lm70987;da?xu0j=0;64>c34=3j7hi;<5:4?`a34=2=7hi;<5;g?`b34=3h7hj;<5;a?`b34=3m7hj;<5;f?`b34=357hj;<5;2?`c34=3;7hk;<5;83lo70961;dg?81?k3lo7097d;dg?81?m3lo7097a;de?81?j3lm70979;de?81?>3ln70977;df?81?03ln70973;de?81?<3lm70975;de?810n3lm70970;de?81?93lm7098a;dg?810k3lo7098e;dg?81013lh7098b;dg?810l3lo70972;dg?81c<3lh709k2;dg?xu0l:0;69u27c09bc=:?0?1jn527e195=b<5>n?6<>?;|q4`0<72:q6;hj519f89=622hl01:9m:ga8yv1b83:1?v38ed82o>6=4<{<5e=?7?l273=<4nf:?4<7c;ce?81?;3lh7p}8e683>6}:?ol1=5j4=900>d`<5>2?6km4}r5f8e9><72=io16;5;5fb9~w2c>2908w06?1;3;`>;?:<0jj638878eg>{t?lk1<7=t=921>4>c3429:7oi;<5;3?`d3ty<55=91n015<8:`d892>?2oi0q~9jc;297~;?8=0:4i5283:9ec=:?131jn5rs6f5>5<4s4=nj7?7d:?;42703km7097b;d`?xu0l10;6>u27g395=b<51:26lh4=6:`>ce53z?4b7<60m164=o5ag9>3=b=nj1v:jn:18081a;3;3h6370c8bb>;00l0mo6s|7e`94?5|5>l?6<6k;<:3g?ga34=3j7hl;|q4`f<72:q6;k;519f89=6c2hl01:7?:ga8yv1cl3:1?v38f782nn6=4<{<5e3?7?l2730;ce?810k3lh7p}8e083>6}:?ok1=5j4=931>d`<5>=n6km4}r5f6?6=;r78e9><45=io16;:h5fb9~w2c42908w09ic;3;`>;?9=0jj638818eg>{t?l>1<7=t=6dg>4>c342:97oi;<5;5?`d3ty320=nl16;475fe9>3<1=nj16;i?519f892b52oi0q~9n8;296~;0ik0:4i527`f9ba=z{>k=6=4={<5b=?7?l272m6<>?;<5;g?77827<444>019>3=0=99:01:6<:023?810n3;;<6387`8245=:?>h1==>4}r5:e?6=:r7<5n4>8e9>313lh70967;df?81fk3;3h638d58eb>;0l;0:<=5rs6c0>5<>s4=j97?7d:?4=4<68916;5k5112892>e28:;70978;334>;00<0:<=527939556<5>=n6<>?;<5;6?7782wx;l<50;0x92g3282o709n5;ce?xu01o0;64u27`395=b<5>3;6<>?;<5;`?77827<4l4>019>3=1=99:01:6;:023?81?83;;<6387b8245=:?>n1==>4}r5:a?6=:r78e9>3d7=io1v;jm:1825~;1lj0:;5524529ba=:<=>1ji5245:9ba=:<=i1ji5245d9ba=:<<81ji524479ba=:<<21ji5242d9bf=:<=91jn524559bf=:<=h1jn5245g9556<5=?:6<>?;<660?77827?9:4>019~w3dc2909w09>9;ce?80d:3;<46s|6ca94?4|5>;36lh4=7a2>41?3ty=no4?:3y>341=io16:n>516:8yv0em3:18v381682<`=:?831=5k4=63;>4>b34p1:?8:9c8927?21k01:?6:9c893c528=37p}9f`83>7}:>o31mk526g`952>518y>360=0h16;>958`9>314=0h16;9958`9>31>=0h16;9758`9>31g=0h16;9l58`9>31e=0h16;9j58`9>36>=0h16;>758`9>36g=0h16;>l58`9>36e=0h16;>j58`9>36c=0h16;>h58`9>316=0h16;9?58`9>315=0h16;9:58`9>313=0h16;9858`9>2`6=9>20q~8kd;2960}:??h14l5277c914l52771914l5274g9mo1=:64}r4eb?6=:r7n:181814?3km708l6;34<>{t?9l1<7d`<5?ii6<97;|q455<72;q6;995ag9>2fe=9>20q~9>1;296~;0<10jj639ce823==z{>;96=4={<57=?ga347}:?=h1mk526e2952>52z?40f799~w2712909w09;d;ce?80c:3;<46s|71294?4|5>936lh4=7f0>41?3ty<<<4?:3y>36?=io16:i:516:8yv17:3:1>v383`8bb>;1l<0:;55rs620>5<5s4=8n7oi;<4g2?7002wx;=:50;0x925d2hl01;j8:05;?xu08<0;6?u272f9ec=:>m21=:64}r532?6=:r78:181814n3km708ka;34<>{t?921<7d`<5?i86<97;|q44<<72;q6;9?5ag9>2f3=9>20q~9?b;296~;0<:0jj639c6823==z{>:h6=4={<570?ga3428=37p}80d83>7}:?=<1mk526bc952>52z?42g<60m168>k5fe9~w20f2909w099a;3;`>;3<;0mh6s|77;94?4|5><26<6k;<672?`c3ty<:54?:3y>33>=91n019:n:gf8yv11?3:1>v3866825<5s4==:7?7d:?714:0;6?u277195=b<5=?<6kk4}r556?6=:r7<:?4>8e9>06`=99:0q~991;296~;0>80:4i52451955652z?425<60m1689951128yv12n3:1>v385g824}r57a?6=:r7<8h4>8e9>016=nl1v::i:181813n3;3h63;458ea>{t?4>c34>?47hj;|q420<72;q6;;;519f8912d2oo0q~99c;296~;0>j0:4i5245d9b`=z{>7}:??l1=5j4=57;>cc52z?435<60m168>k5fb9~w2162909w0981;3;`>;3<;0mo6s|74294?4|5>?;6<6k;<672?`d3ty<9<4?:3y>307=91n019:n:ga8yv12:3:1>v3853825<5s4=>?7?7d:?7148e9>06`=nl1v:;8:181812?3;3h63;428ea>{t?<21<74>c34>?;7hj;|q41<<72;q6;87519f8912e2oo0q~9:b;296~;0=k0:4i5245g9bf=z{>?h6=4={<56g?7?l27?9<4ie:p30b=838p1:;k:0:g?822<3lh7p}85d83>7}:?ce53z?5bg0:4i526e:9b`=:>m31jh526ec9b`=:>m?1jk526e49bc=:>m=1jk526e19ba=:>m>1ji526e09ba=:>jl1jk526e29bc=:>m;1jk526ba9ba=:>jn1ji526bg9ba=:>j21ji526b;9ba=:>jk1ji526b19bc=:>j?1jk526b59bc=:>j81ji526b39ba=:>j>1jk526b49bc=:>jh1jk5270f9b`=:?8h1jk526b29bg=z{?lo6=4>dz?45=<60m16:i65fg9>2a?=no16:io5fg9>2a3=nl16:i85fd9>2a1=nl16:i=5fd9>2a2=nl16:i<5fd9>2f`=nm16:i>5fe9>2a7=nm16:nm5fd9>2fb=nl16:nk5fd9>2f>=nl16:n75fd9>2fg=nl16:n=5fd9>2f3=nl16:n95fd9>2f4=nl16:n?5fb9>2f2=nl16:n85fd9>2fd=nl16;34d=nl1v;hj:182g~;0900:4i526e:9ba=:>m31ji526ec9ba=:>m?1ji526e49ba=:>m=1ji526e19bc=:>m>1jk526e09bc=:>jl1jh526e29b`=:>m;1jh526ba9bc=:>jn1jk526bg9bc=:>j21jk526b;9bc=:>jk1jk526b19ba=:>j?1ji526b59ba=:>j81jn526b69ba=:>j<1ji526b`9ba=:?8n1jn5270`9ba=z{>;h6=4;{<4ef?`a34019~w27b2908w09<6;3;`>;0{t?;31<7=t=614>4>c34=?j7oi;<4`2?`d3ty<>h4?:2y>314=91n01:;n:`d893ee2oi0q~9=f;297~;0<>0:4i527779ec=:>ji1jn5rs613>5<4s4=?47?7d:?42fu275c95=b<5>ce53z?40g<60m16;;h5ag9>2a6=nj1v:=;:180813k3;3h638718bb>;1l80mo6s|72794?5|5>>o6<6k;<545?ga347hl;|q45c<72:q6;>6519f892372hl01;j<:ga8yv1583:1?v3838828:6=4<{<50e?7?l27<9?4nf:?5`03lh7p}82283>6}:?:i1=5j4=677>d`<5?n<6km4}r510?6=;r78e9>303=io16:i65fb9~w2422908w09;0=?0jj639d88eg>{t?;<1<7=t=61e>4>c34=>;7oi;<4ge?`d3ty<>:4?:2y>316=91n01:;7:`d893e42oi0q~9=8;297~;0<80:4i5274;9ec=:>j?1jn5rs60b>5<4s4=??7?7d:?41gu275795=b<5>?o6lh4=7a:>ce53z?403<60m16;8k5ag9>2fg=nj1v:?n:18680en3ln708j2;dg?80b83lh709>a;3;`>;09k0mo6s|6g394?4|5?l?6<6k;<4e2?`c3ty=ik4?:3y>2c4=91n01;h<:`d8yv0a83:1?v39f282o>1mk526g49b`=z{?o?6=46{<4f2?7?l27=h54>019>2a3=99:01;j=:023?80dn3;;<639cb8245=:>j21==>4=7a0>467343km7p}9f483>0}:>l81jn526d29b`=:>o?1=5j4=63g>c`<5>;i6<>?;|q5af<720q6:hk519f893bf28:;708k7;334>;1l=0:<=526e39556<5?in6<>?;<4`e?77827=o:4>019>2fd=99:0q~8jb;296~;1mm0:4i526dg9ec=z{?o36=46{<4fe?7?l27=h44>019>2a0=99:01;j<:023?80c83;;<639ce8245=:>j31==>4=7a6>4673447|5?8>6<97;<619o7hk;<604?`c34>8?7hk;<602?`c34>857hk;<60g?`c34>987hk;<613?`d34>9n7hl;<61b?`d34>9?7hl;<606?77827??84>019>06>=99:019=m:023?xu18?0;6?u26709ec=:>9h1=:64}r431?6=:r7=:<4nf:?54d<6?11v;>;:18180183km708?9;34<>{t>9=1<7:t=743>4>b34<=>7?7e:?524<60l16:=6516:8yv05i3:18v39618;e>;1>803m639638;e>;1:k0:;55rs760>5<5s47oi;<470?7002wx:?650;3:800n32j70870;:b?80?j32j70860;:b?80>932j70862;:b?80>;32j70864;:b?80>=32j70866;:b?80?932j70872;:b?80?;32j70874;:b?80?=32j70876;:b?80??32j70878;:b?80?132j7087a;:b?80?k32j7087d;:b?80?m32j7087f;:b?80513;<46s|63494?42s4f3476n;<4a5?>f34f34f34f34f34<2i76n;<4:b?>f34f3476n;<4b1?>f34f34f34<2476n;<4a1?>f34f34f34f34<2576n;<4:f?>f34<2o76n;<4:e?>f34<2h76n;<4b3?>f34<9;7?88:p21>=838p1;9i:`d8936c28=37p}95283>7}:>1:1mk5261d952>47>52z?5799~w33>2909w0860;ce?806=3;<46s|64c94?4|5?3:6lh4=735>41?3ty=9o4?:3y>2<4=io16:<9516:8yv02k3:1>v39928bb>;1910:;55rs77g>5<5s4<287oi;<42=?7002wx:8k50;0x93?22hl01;?n:05;?xu1=o0;6?u26849ec=:>8h1=:64}r47=?6=:r7=4<4nf:?55f<6?11v;:n:18180?:3km708>d;34<>{t>=h1<7d`<5?;n6<97;|q50f<72;q6:5:5ag9>24`=9>20q~8;d;296~;10<0jj63921823==z{?>n6=4={<4;2?ga34<9=7?88:p21`=838p1;68:`d8934528=37p}95183>7}:>121mk52631952>=7>52z?5<<799~w3352909w087a;ce?807m3;<46s|64694?4|5?2h6lh4=733>41?3ty=984?:3y>2=b=io16:3:1>v398d8bb>;19;0:;55rs774>5<5s4<3j7oi;<427?7002wx:o:50;0x93d3282o70:=2;dg?xu1j:0;6?u26c195=b<5=8=6kj4}r4a6?6=:r7=n?4>8e9>07g=nm1v;l>:18180e93;3h63;2d8e`>{t>k:1<74>c34>8>7hk;|q5ec<72;q6:lh519f891522oo0q~8nd;296~;1im0:4i5242:9b`=z{?kh6=4={<4bg?7?l27??o4ie:p2dd=838p1;om:0:g?825;3;;<6s|6`c94?4|5?kj6<6k;<613?7782wx:l750;0x93g>282o70:=b;334>{t>h21<74>c34>9j7??0:p=fe=832p1;l;:`d8920e2hl015<=:`d89=b>2hl014m;:`d89am3li7p}6cg83>=}:>k91mk5277c9ec=:0;;1mk528e:9ec=:1j91mk529e;95=b<50986km4=9g`>ce58z?5f78e9>=65=nl164hk5fb9~wf;ce?8>c>3km707l1;ce?8?cl3;3h637f18eg>;>;<0mo6s|9e094?>|5?h;6lh4=644>d`<51;n6lh4=9f6>d`<50i;6lh4=8ff>4>c3438?7hi;<:e6?`d3ty2h>4?:9y>2d`=io16;;85ag9><4b=io164i:5ag9>=g`=io165ih519f89=`32oi014=::gf8yv?c<3:14v39ae8bb>;0>=0jj6371c8bb>;?l;0jj636be8bb>;>m90:4i528g49bf=:1:>1jh5rs8f6>52hl015j?:`d89ai3lh707<4;d`?xu>l>0;65u26`c9ec=:??;1mk5280:9ec=:0jl1mk529cc9ec=:1l91=5j4=9d`>ce<509?6kj4}r;``?6=0r7=m44nf:?425=511289=cf2oi0q~7le;29<~;1i10jj6385g8bb>;?9?0jj637ce8bb>;>j10jj636dc82ce52z?5=2<60m168?:5fd9~w3??2909w0868;3;`>;3:10mi6s|6`194?4|5?k86<6k;<61g?`b3ty=mh4?:3y>2dc=91n019=?:gg8yv0e=3:1>v39b4825<5s48e9>074=nj1v;ln:18180ei3;3h63;278eg>{t>031<74>c34>9m7hl;|q5=d<72;q6:4o519f8914b2oi0q~86b;296~;11k0:4i524209bf=z{?3h6=4={<4:g?7?l27??84id:p27}:>0o1=5j4=51a>cb52z?5=c<60m168?=5fd9~w3g72909w08n0;3;`>;3:>0mi6s|6`394?4|5?k:6<6k;<61f?`b3ty=m?4?:3y>2d4=91n019v39a5825<5s40;6?u26`595=b<5=9i6km4}r474?6=;r7=894ie:?5608e9~w322290:iv396182;;1jh526309b`=:>;91jh5260g9bc=:>8l1jk526329bc=:>8i1ji5260f9ba=:>8h1ji5260:9bc=:>831jk5260c9bc=:>8?1ji526049ba=:>8=1ji526039ba=:>881ji526019ba=:>9i1jk5261g9bc=:>8:1jk5261`9ba=:>9k1ji5261f9bc=:>9l1jk526069bc=:>?<1jh526769bc=:>931jo5rs765>5<6lr7=:<4>8e9>277=no16:?<5fg9>275=no16:24`=nl16:?>5fd9>24e=nl16:24d=nl16:<65fe9>24?=nm16:243=nl16:<85fd9>241=nl16:244=nl16:<=5fd9>25e=nl16:=k5fd9>246=nl16:=l5fd9>25g=nj16:=j5fd9>25`=nl16:<:5fd9>230=nm16:;:5fd9~w320290:ov396382;;1ji526309ba=:>;91ji5260g9ba=:>8l1ji526329ba=:>8i1jk5260f9bc=:>8h1jk5260:9b`=:>831jh5260c9b`=:>8?1jk526049bc=:>8=1jk526039bc=:>881jk526019bc=:>9i1ji5261g9ba=:>8:1ji5261`9bf=:>9n1ji5261d9ba=:>8>1ji526749bf=:>?>1ji5rs746>5<3s4;18m0mo6s|66094?5|5?2;6<6k;<4:ho1mk526079bf=z{?=26=4<{<4:5?7?l27=n84nf:?5536}:>091=5j4=7`4>d`<5?;36km4}r44g?6=;r7=594>8e9>2g>=io16:<75fb9~w31c2908w0865;3;`>;1j00jj6391`8eg>{t>>o1<7=t=7;5>4>c342=7=91n01;76:`d8937d2oi0q~899;297~;10;0:4i5268c9ec=:>8n1jn5rs74b>5<4s4<3?7?7d:?5=gk3km708>f;d`?xu1>j0;6>u269795=b<5?3o6lh4=703>ce53z?5<3<60m16:4k5ag9>277=nj1v;8j:18080??3;3h6399g8bb>;1:;0mo6s|67d94?5|5?236<6k;<4b4?ga34<9?7hl;|q535<72:q6:57519f893g62hl01;>l:ga8yv0093:1?v398`82h81mk5261g9bf=z{?=86=4<{<4;g?7?l27=m94nf:?555c282o708n5;ce?80693lh7p}97483>6}:>1o1=5j4=7c5>d`<5?;96km4}r442?6=;r7=4k4>8e9>2d1=io16:<=5fb9~w304290>w08?8;df?805j3lo708=9;d`?801;3;3h639658eg>{t>:k1<74>c34<8j7hk;|q57=<72;q6:>l519f8935d2hl0q~8<9;297~;1;j0:4i5262f9ec=:>:l1jh5rs70g>5<>s4<9j7?7d:?564<68916:8;334>;19<0:<=526039556<5?:h6<>?;<43`?7782wx:?m50;0x934b282o708=f;ce?xu1;l0;68u263`9bf=:>;31jh5262g95=b<5?<=6kh4=747>4673ty=?84?:8y>261=91n01;<<:023?80583;;<6391e8245=:>8k1==>4=734>46734<:?7??0:?555<68916:<:51128yv04<3:1>v393782:=1mk5rs712>5<>s4<8?7?7d:?567<68916:9;334>;19?0:<=526009556<5?:n6<>?;<43b?7782wx:>>50;0x9355282o708<3;ce?xuf>:0;68u2a76952><5h:26kh4=`46>cc<5h<26kh4=`4a>4663tyj?n4?:5y>e6e=9>201l>6:023?8g1?3;;<63nae8eb>{t1o91<741?34k:?76m;|q:b4<72:q65k?516:89<`421h01l?=:9`8yv?bn3:1?v36eg823==:1o;14o52a0396}:1l31=:64=8ga>=d<5h:n65l4}r;f3?6=;r72i:4>799>=`?=0k16m=j58c9~wd622908w0o?5;34<>;>m>03n63n0b8;f>{ti991<7=t=`20>41?34k;976m;e3tyj<<4?:2y>e57=9>201l><:9`89d7d21h0q~7if;297~;>no0:;552a1395<4s43mh7?88:?:bc9;:a?xu>n00;6>u29g;952><50li65l4=`3;>=d53z?:b2<6?1165k758c9>e41=0k1v4h::1808?a=3;<4636f68;f>;f9?03n6s|9d494?5|50o=6<97;<;e1?>e34k:976m;|qb42<72:q65h851`289d6e28:j70o?8;34<>{ti;n1<741?34k8m76m;|qb6g<72:q6m?l516:89d4c21h01l=6:9`8yvg513:1?v3n28823==:i;h14o52a2:9447b:?b7232i7p}n2283>6}:i;91=:64=`06>=d<5h9>65l4}rc15?6=;r7j><4>799>e75=0k16m>:58c9~wd7a2908w0o>f;34<>;f:803n63n328;f>{ti8o1<7=t=`3f>41?34k:j76m;e3tyj>k4?:2y>e4c=9h:01l=>:02b?8g483;<46s|a5a94?4|5h;86<97;e44=9>201l6j:gf8yvg3i3:1>v3n10823==:i1i1ji5rs`6:>5<5s4k:<7?88:?b0;6?u2a1g952><5h2=6kj4}rc72?6=:r7j799>e=2=nm1vl:::1818g7k3;<463n838e`>{ti41?34kj=7hk;|qb1f<72;q6m13lo7p}n5583>7}:i821=:64=`;4>cb>7>52z?b52<6?116m4;5fe9~wd372909w0o>6;34<>;f1:0mh6s|a5g94?4|5h;>6<97;e5d=9>201l9i:gf8yvg713:1=>u2a1;952><5h2n6kh4=`:`>c`<5h3;6kh4=`:b>c`<5h236kh4=`:5>c`<5h2?6kh4=`:1>c`<5hk:6kh4=`;e>c`<5h3o6kh4=`;a>c`<5h326kh4=`;4>c`<5h3>6kh4=`;2>c`<5h=m6kh4=`;0>c`7>51by>e3g=9>201loj:9c89dd721k01ll=:9c89dd321k01ll9:9c89dd?21k01lln:9c89ddd21k01llj:9c89d>b2oo01l6l:gg89d?72oo01l6n:gg89d>?2oo01l69:gg89d>32oo01l6=:gg89dg62oo01l7i:gg89d?c2oo01l7m:gg89d?>2oo01l78:gg89d?22oo01l7>:gg89d1a2oo01l7<:gg8yvg2l3:1>v3n3`823==:ikl1ji5rs`7a>5<5s4k857?88:?bfa0;6?u2a25952><5hh26kj4}rc61?6=:r7j?;4>799>eg1=nm1vl;<:1818g4=3;<463nb48e`>{ti<;1<741?34ki?7hk;|qb0c<72;q6m>=516:89dd62on0q~o;d;296~;f;;0:;552a`d9ba=z{h>86=4={3lm7p}nc083>7}:ij<1jh52ab7952>54z?b05<6?116m;>5fe9>e5?=99;01lok:023?xuf=o0;6?u2a72952><5hi;6<6j;|qb2f<72?q6m>m5fe9>ef4=99:01l=j:gf89d1b282o70o?8;da?8g0n3lh7p}n7483>3}:i:i1jn52ab09bc=:1o<1jn52a2g9bc=:i1:1=5j4=`;2>ce56z?b7f<3;3h63n6c8eg>;f1<0mo6s|a6:94?0|5h9h6<>?;<;eg?`d34kh?7hj;13lh7p}n7`83>3}:i?>1==>4=`23>ce<5h3j6<6k;e32=no16m=<5fb9>e;f>;0mh63n058eg>;f1l0:4i52a1c9ba=:ij>1==>4=`;e>ce55z?b7aed7=nj1vl8k:1858g1<3ln70o96;df?8?b03lh70ol3;de?8g?93;3h63n838eg>{ti?o1<79t=`2b>cc<5h<36kj4=`41>c`<50oj6km4=`:0>4>c34kh87hj;e32=nm16m;85fg9>=`e=nj16mn=5fb9>e=3=91n01l69:ga8yvg083:1;v3n698eg>;f>;0mi636ed8eg>;f0>0:4i52a1c9bc=:ij>1jk52a9:9bf=z{h=:6=49{8e9>ef3=nj16m5o5fb9~wd152902w0o96;d`?8gd:3lh707i2;d`?8g4m3;;<63n8c824=`46>cb<5h<26km4=`:`>ce59z?b23009>=c2=nj16m>k5fd9>e=b=91n01l>6:ga89d022oi01l86:gf89d>b2oi0q~o84;293~;f>10mj63n638eg>;fk;0mh63n158eg>;f0o0:4i52a1c9bf=:i0:1jn5rs`c1>5<3s4k?=7??0:?bef<60m16mlj5fb9>e66=nk1vlo<:1878gfm3;3h63n408eg>;f:90mo63nag8eg>{tih>1<7:t=``3>4>c34k?=7hi;54ic:?b2d0}:ikk1=5j4=`0`>ce<5h46734kin7hl;|qbed<72cb<5h>;6kh4=``e>ce5fz?be`<60l16mo>519g89dd5282n70om4;3;a>;fj?0:4h52ac:95=c<5hhj6<6j;8d9>efd=91n01lml:gd89d072oi01l>6:gf89de12oi01lok:gf8yvgd03:1>v3nc`825<4s4kh57?7d:?bgd4673ty?h?4?:cy>0ad=no168hj5fg9>7g7=nl168ho5fd9>0a5=9>2019j7:gg896d52oo019kj:gd891ce2oo019jl:gd891b>2oo0q~=n2;293~;4i:0:;5523`79556<5cc<5=;36<>?;<62b?779278o94>009~w1702909w0:>2;df?82603;<46s|3e;94?0|5:lo6kh4=53g>467349no7hj;<63=?77827?j::18685al3;;=63;1c8eb>;3810mh63;038244=:;m<1=:64}r1g7?6==r78o=4id:?75g<688168<:5fe9>7a`=99:01>j;:05;?xu4l80;64u23gf9ba=:;j:1==?4=53g>cc<5=;?6<>>;<63;>7hk;<1e1?778278h?4>799>7`6=99;0q~=lf;29<~;3890mi63;39k0mh63;158eb>;3810:<<524149b`=:;o?1jh523e2952>5ez?0g`<6?116?kh5fb9>7`7=no16?h:5fg9>7f7=99:01>m<:022?826k3;;<63;1d8e`>;3990:<<524079bc=:<9k1jn524179bf=:;o>1==>4=2d;>c`5cz?0ed<6?116?l95112891`728::70=m4;de?85fj3;;<63;f38ea>;4j<0mj63;f28ea>;3n80:<<524ga9557<5:3=6<>>;<7af?7792wx?nl50;gx96ed28=370=ie;d`?85b=3ln70=j6;de?85d93ln70=l2;de?826k3ln70:>e;de?827m3lm70:>5;dg?82713lh70:?4;d`?85a<3ln70=i9;de?xu4k>0;6?u23b:952><5:im6<>?;|q757<72>q68<=516:896c42ol01>m<:gg891772oo01>h8:gd896`62ol01>j7:ga8yv2683:14v3;10823==:;l;1ji523d69ba=:;j81ji5241g9ba=:;mi1ji523g:9ba=:;m=1jn5rs5g2>5<68r7?i?4>799>0c6=no168k85fe9>0`7=99:019k::gg896gb2ol01>om:gd891`02on019h7:gf891`62ol019h6:gf891`f2on01>oi:gd891c12oo019k<:023?85>>3ln7p}:c083>7}:=j81=:64=520>c`52z?6fc<6?1168=l5fg9~w16b290iw0:?f;34<>;4nj0mo63;4k<0mi63;218ea>;39;0mo63;0c8eg>;38:0mo63;4ko0mi63{t==:1<7:k{<1:5?`d349347l6;<0a=?`d348i<7l6;<137l6;<117?`d349:47l6;<10a?`d349887l6;<16=?`d349?i7l6;<142?`d349=n7l6;<1a`?77927?i?4id:?6g74ic:?7`6o=4ie:?032o7:gg8961f2ol01>96:gg8963d2ol01>;m:gg896262ol01>:?:gg896412ol01><::gg897`628:;70;5l<0mj63=d58ea>;48j0:<=5231`9bc=:;9k1jh522cf9556<5;hh6kh4=3`a>cc<5:3?6kh4=2;0>cc<5=nn6kh4=5d7>cc<5:l=6kh4=5fe>c`<5:=i6<>?;<16`?778279h:4>019>6gc=99:01>7::023?84a:3;;<63<0e8245=:;>i1jk5234g9bc=:;=91==?4=261>467349947??1:?062<6891699k5fg9>11>=nl1v>8l:181851k3;<463{t;>?1<7=t=256>41?349=o76m;<14a?>e3ty8;94?:2y>722=9>201>9::9`896>021h0q~=83;297~;4?:0:;55236695<4s49<>7?88:?0369>:05;?850:32i70=74;:a?xu4?j0;6>u236395d6<5:286<>n;<14g?7002wx?:>50;1x961728=370=81;:a?85?;32i7p}<7c83>6}:;>:1=l>4=2:1>46f3498i:05;?850832i70=72;:a?xu4?h0;6>u237d95d6<5:2:6<>n;<14e?7002wx?;k50;1x960b28=370=9f;:a?85?932i7p}<7883>6}:;?o1=l>4=2:3>46f349<57?88:p73b=839p1>8k:05;?851m32i70=70;:a?xu4?10;6>u236:952><5:7}:;><1=:64=2g0>cc52z?00c<6?116?;?58c9~w63?2908w0=:8;34<>;4{t;<=1<7=t=274>41?349>476m;<15e?>e3ty89;4?:2y>700=9>201>;8:9`8960>21h0q~=:5;297~;4=<0:;55234495<4s49>87?88:?010;;:0c3?851>3;;m63<5d823==z{:?86=4<{<167?7002789947b:?023;4=m0:;55rs271>5<4s49>>7?88:?016;=:0c3?851<3;;m63<5b823==z{:?:6=4<{<165?7002789?47b:?021;4=k0:;55rs273>5<4s49><7?88:?01447b:p70g=839p1>;n:05;?85283;j<63<63824d=z{:>n6=4<{<17a?7002789=47b:?02728=370=;e;3b4>;4=o0:5<5s498h7?88:?0a=m50;1x965d28=370=6}:;:h1=:64=21`>=d<5:>h65l4}r10e?6=;r78?l4>799>76d=0k16?9l58c9~w65>2908w0=<9;34<>;4;h03n63<4`8;f>{t;=91<7=t=21:>4g7349?57??a:?006<6?11v>=7:18085403;<463<388;f>;4<003n6s|35094?5|5:936799~w6502908w0=<7;34<>;4;103n63<498;f>{t;=;1<7=t=214>4g7349?;7??a:?004<6?11v>=9:180854>3;<463<368;f>;4<>03n6s|35294?5|5:9=6799~w6522908w0=<5;34<>;4;?03n63<478;f>{t;:l1<7=t=21e>41?349897?n0:?000<68h1v>=;:180854<3;<463<348;f>;4<<03n6s|32g94?5|5:9n6<97;<100?7f8278894>0`9~w67>2909w0=>9;34<>;4ml0mh6s|33094?5|5:896<97;<12=?>e3499m76m;|q064<72:q6???516:8964521h01>=<:9`8yv5583:1?v3<21823==:;;;14o523209=47b:?074f;:a?854832i7p}<2983>6}:;8o1=l>4=20e>46f349947?88:p74b=839p1>?k:05;?856m32i70==f;:a?xu4:>0;6>u230f95d6<5:8n6<>n;<113?7002wx?d;:a?855m32i7p}<2783>6}:;8i1=l>4=20g>46f3499:7?88:p74d=839p1>?m:05;?856k32i70==d;:a?xu4:<0;6>u230`95d6<5:8h6<>n;<111?7002wx?b;:a?855k32i7p}<2583>6}:;;>1=:64=23b>4g73499n7??a:p74>=839p1>?7:05;?856i32i70==b;:a?xu4::0;6>u2331952><5:;36h=50;0x97c428=370=jf;dg?xu5mj0;6>u22da952><5;o865l4=3d7>=d53z?1ag<6?116>hm58c9>6cb=0k1v?kn:18084bi3;<463=ec8;f>;5nj03n6s|2d;94?5|5;o26<97;<0fe?>e348mn76m;|q1a=<72:q6>h6516:897c>21h01?hn:9`8yv4a:3:1?v3=e982e5=::o31==o4=3d1>41?3ty9i:4?:2y>6`1=9>201?k7:9`897`>21h0q~0:m=522g:955g<5;l:6<97;|q1a3<72:q6>h8516:897c021h01?h7:9`8yv4a83:1?v3=e782e5=::o=1==o4=3d3>41?3ty9i84?:2y>6`3=9>201?k9:9`897`021h0q~h:516:897c221h01?h9:9`8yv4bm3:1?v3=ed823==::l>1=l>4=3d6>46f3ty9i?4?:2y>6`4=9>201?k;:9`897`221h0q~n;|q1`4<72;q6>i?516:897c621h0q~5<4s48hj7?88:?1`50;6>u22bg95d6<5;no6<>n;<0g3?7002wx>nj50;1x97ec28=3706}::jn1=l>4=3f`>46f348o:7?88:p6fe=839p1?ml:05;?84dl32i70u22ba95d6<5;ni6<>n;<0g1?7002wx>nl50;1x97ee28=3706}::jh1=l>4=3fb>46f348o87?88:p6fg=839p1?mn:05;?84dj32i70u22e1952><5;ij6n750;1x97e>28=3706}::m81=:64=3a:>4g7348o47??a:p751=838p1>>8:05;?85bi3;;<6s|31494?5|5::=6<97;<133?>e349:;76m;|q040<72:q6?=;516:8966121h01>?9:9`8yv57<3:1?v3<05823==:;9?14o5230793;33e>;48m0:;55rs221>5<4s49;>7?88:?04647b:p75e=839p1>>=:0c3?856:3;;m63<0b823==z{:::6=4<{<135?7002781;33e>;48k0:;55rs223>5<4s49;<7?88:?044>?:0c3?85683;;m63<0`823==z{;lm6=4<{<0eb?700278<=47b:?05528=370;48o0:5<4s48mi7?88:?1bc=839p1>>7:05;?84am3;j<63<0d824d=z{;h36=4={<0au22c4952><5;h<65l4=3a5>=d53z?1f0<6?116>o858c9>6f3=0k1v?lj:18084e=3;j<63=c5824d=::ko1=:64}r0a0?6=;r79n94>799>6g3=0k16>n:58c9~w7dc2908w0;5k:0:53z?1f6<6?116>o:58c9>6f5=0k1v?ll:18084e;3;j<63=c3824d=::ki1=:64}r0a6?6=;r79n?4>799>6g5=0k16>n<58c9~w7de2908w0;5k80:53z?1f4<6?116>o<58c9>6f7=0k1v?ln:18084ei3;<463=b082e5=::j:1==o4}r0a4?6=;r79n=4>799>6g7=0k16>n>58c9~w7d>2908w0;5j90:m=522cd955g52z?0=5<6?116?ih5fg9~w6>a2908w0=7f;34<>;41903n63<9g8;f>{t;1o1<7=t=2:f>41?3493j76m;<1:a?>e3ty84i4?:2y>7=b=9>201>6j:9`896?c21h0q~=66;297~;40m0:m=5238a955g<5:3=6<97;|q0c21h01>7l:9`8yv5>=3:1?v3<8b82e5=:;0h1==o4=2;6>41?3ty84o4?:2y>7=d=9>201>6l:9`896?e21h0q~=64;297~;40k0:m=5238c955g<5:3?6<97;|q0e21h01>7n:9`8yv5>;3:1?v3<8`82e5=:;031==o4=2;0>41?3ty8444?:2y>7=?=9>201>6n:9`896?>21h0q~=62;297~;41;0:;55239;95d6<5:336<>n;|q0<=<72:q6?56516:896>>21h01>77:9`8yv5>93:1?v3<90823==:;121=l>4=2;4>46f3ty8n54?:3y>16`=io16?ol516:8yv5e?3:1>v3:3d8bb>;4jh0:;55rs2`5>5<5s4?8h7oi;<1a=?7002wx8kj50;6x905c21k018=j:9c8905a21k019hj:05;?xu2i>0;698t=4c4>4>c349im7hl;<1a=?`c348jj7hk;<6`g?`c34>hi7hj;<7a=?`a34>mi7hk;<7b=?`d349hi7hi;<1`g?`b34>:?7hl;<625?`d348ji7hj;<6``?`a349mo7hk;<1f7?`d34?i:7hl;<1af?`a34?;47hi;<1`1?77827?>=4>019>044=nm16?hk5fd9>7``=no16?h65113896c>2oo01>km:gd896be28:;70=ke;de?85d?3lm70=k1;334>;3k?0mi63;c68eb>;3k;0mi63;c28eb>;3jl0mi63;bg8eb>;3jh0mi63;bc8eb>;3j?0mi63;b68eb>;3j;0mi63;b28eb>;3il0mi63;ag8eb>;28j0:<=5241`9ba=:<991ji523g59bf=:;jl1jn523e49b`=:;m81jh523e29b`=:;l:1jh523ef9bf=:;o:1jh523e69ba=:1<;1jk528749556<5>km6kk4=7d;>cc<5?>:6km4=2d0>ce<5n54if:?64a<6881v8on:180a~;2ih0:4i523cc9ba=:;k31jh522`d9b`=:cb<5<:36kk4=2a1>cc<5:i86kh4=52f>cc<5=;;6kh4=2ge>ce<5:o36kh4=2g:>ce<5:oi6km4=2f`>ce<5:nm6km4=2ag>c`<5=i36km4=5a7>ce<5=i;6km4=5``>ce<5=h36km4=5`7>ce<5=h;6km4=42g>c`<5:l<6<>?;<1e7hl;<1g4?`c349m<7hl;<1g0?`b343>=7??0:?;23mi4>009>1dc=nj169o<5fd9>1g5=nm16?i;51`2891e>28k;70;m7;334>;2j10mo63;28j0mi6s|5`d94?27s4?jj7?7d:?0fdn44ie:?7b`m44id:?0g`n;4ie:?64=009>7f1=99;01>mk:023?85c93lm70:l6;d`?82d?3lh70:l2;d`?82d;3lh70:me;d`?82en3lh70:ma;d`?82ej3lh70:m6;d`?82e?3lh70:m2;d`?82e;3lh70:ne;d`?82fn3lh70=k6;de?85c:3lm70=k0;de?85cl3lo70=i0;de?85c<3lm707:1;dg?8>1>3lh709nf;dg?80a03lh708;1;df?85a;3;;<63:ae8eg>;2j;0mh63:b28244=:cc<5:hi6kk4=42`>c`<5<:o6<>?;|q6f1<72:op18l;:0:g?85ei3lm70=m9;334>;5io0mj63;cb8eb>;3kl0mh63:b88eg>;3nl0mo63:a88eb>;4kl0mi63;39:0mi63;108ea>;38o0mi63=ad8eb>;3km0mh63:098e`>;4ml0mj634=2g:>cb<5:oi6<>?;<1gf?779278hh4>019>7f1=99:01>mk:022?85c93;;=63;c78eb>;3k>0mi63;c38eb>;3k:0mi63;bd8eb>;3jo0mi63;b`8eb>;3jk0mi63;b78eb>;3j>0mi63;b38eb>;3j:0mi63;ad8eb>;3io0mi634=2f3>467349oh7hj;<1e4?778278h94>019>=07=nl164;85fd9>3d`=99:01;h7:023?80393;;<634=4cf>46734?i>7hl;<7a7?`d34>hm7hj;<6`f?`a34?i47hk;<1af?`d34?:=7hk;|q6e=<72;q69l95ag9>1d?=9>20q~;nb;296~;2ih0jj63:ab823==z{7}:;kn1=:64=475>c`52z?7bc799~w0632909w0;?3;ce?837=3;<46s|51594?4|5<:=6lh4=42:>41?3ty>15g=io169v3<60824d=:;k>1=:64}r1a4?6=;r78><4>a19>764=99k01>l>:05;?xu4im0;6>u231595d6<5:;<6<>n;<1ba?7002wx99?50;6185>:3lh70=79;`:?84ei3lh70a;`:?854n3lh70=<5;`:?852i3lh70=:0;`:?85003lh70=9d;`:?85el3;;<63;e38eg>;2k;0mi63;d48e`>;3m10mh63;3l:0mo63;4i=0mo63:c18eg>;3l?0mh63:48824=2da>cb<5cc<5:k36kh4=25b>cb<5:?h6kj4=262>cb<5:8=6kj4=3d2>c`<5;l;6kj4=3f5>cc<5;n>6kj4=22`>c`<5::i6kj4=3`g>cc<5;hh6kj4=2;7>cb<5=nn6<>?;<6e0?`c349m:7hk;<6gb?778278;o4ie:?01a:4ie:?60ge;`:?85413h270=:4;`:?85093h270=md;d`?85f?3lo70:i6;334>;3lk0:<=523c69ba=:4=2ca>ce<5=l96kj4=2ag>cc<5=n36kj4=2`6>cb<5=l<6<>?;<6e4id:?7b<<689168ko5112896d52oi019kj:ga896ga28:;70:j6;d`?82b;3lh70:kc;334>;3l00mh63:54824=4``>ce<5:3=6km4=53;>46634>:j7hk;<0g3?`d348ii7hl;<0e6?`d349;h7hl;<1`0?`d349:7hk;|q0e5<72;q6?l;516:8917a28:;7p}6}:;0:1=l>4=2;e>46f349j;7?88:p112=83>:w0=7c;`:?84e<3h270=?2;`:?84dl3h2703lm70:kb;d`?85e<3lh70:jd;334>;4j80:<=524dc9ba=:cb<5=l96km4=2c6>cc<5=;96<>>;<1``?`c34>;n7??0:?7`=oi:gf891c128:;70:j3;dg?82ck3lh70:k9;d`?82c>3lh70;:2;3;`>;3nj0mj63:528eg>;4i10mo63;5n80mo63=d78eg>;48j0mo63=be8eg>;3ll0mo63;198ea>;3lo0mo63;1g8eg>;4?k0mo63<5e8eg>;41<0mo63;4<;0mo63<268eg>;2jk0mi6s|55194?2ds493n7l6;<0a7?d>349;=7l6;<0`g?d>348n:7l6;<12g?d>3498;7l6;<166?d>349=j7l6;<1a`?`b34>o97??1:?0e27g7=nm168ho5fb9>0`7=nl168h;5fe9>7dc=nj16?l=5113896gd2oi019h=:023?85f:3lo70=n4;dg?826:3lm70;l0;dg?827;3;;<63;d98245=:;k?1==>4=5d4>ce<5=l36km4=5d0>46734>m=7hl;<6e=?`d34>mm7hl;<1a6?`c34>ni7hk;<6ff?`d349jj7hl;<6f2?`c34>n?7hj;<6gg?`b34>o57??0:?7`3<688168km5fd9>105=no1699h519f890de2ol018;?:ga896?12on01>o7:022?85d03lm70=8a;d`?852k3lh70=;1;d`?855>3lh70cb<5:>86kj4=20;>cb7>550y>7=g=j016>o<5b89>756=j016>nl5b89>6`3=j016?760=j016?8?5b89>73c=j016?oj5fe9>1f4=no168i;5112891`72oo019h9:gg891c?2oi019jm:gf891cc2oo01>l>:gd891cf2ol019k>:gd891c22ol01>oj:gg896ge2on01>o::ga8917528:;70;mf;d`?82c03lm70:i7;df?82a03ln70:i1;df?82a13ln70:ia;df?85e:3lm70:je;df?82bj3lm70=nf;df?82b>3lm70:j3;de?82ck3lo70:k9;de?82c>3;;<63:4b82c`<5:k36<>?;<1`:47hl;<6e0?`d34>oj7hk;<62b?`a3496c4=nl16?=j5fd9>7f2=nl16?:m51138963b28::70=;3;df?853:3lo70==8;df?855?3lo70;;e;334>{t=891<79n{<70a?7?l2785?4id:?1fdo=:022?85f<3;;<63:b78245=:=j:1==>4=5;;>46734>2o7??0:?7e5<689168l75112891gd28:;70:88;334>;3?j0:<=524929556<5=2?6<>?;<6;3?77827?4l4>019>0=b=99:0197?:023?82383;;<63;458245=:<=21==>4=56`>46734>?j7??0:?717<6891688;51128913?28:;70:=8;334>;3:j0:<=524229556<5=986<>?;<602?77827??44>019>06e=99:019;l:023?82183;;<63;658245=:4=54a>46734>=i7??0:?734<689168::51128914328:;70:67;de?82>j3lm70:6f;de?820?3lm70:8b;de?820n3lm70:73;de?824n3lm70:;3;de?823?3lm70:;b;de?825?3lm70:=b;de?825n3lm70::b;de?822n3lm70:93;de?821?3lm70:=3;de?85aj3lh70=i6;d`?83fm3ln70;m3;de?82>;3lm70:64;df?82f:3lm70:n3;df?82f=3ln70:n6;df?85ek3lo70:n8;334>;3ik0:<=524949557<5=226<>>;<6;g?77927?4k4>009>01c=99;019;>:022?822<3;;=63;568244=:<:81==?4=516>46634>847??1:?77g<688168;o51138910c28::70:80;335>;3?:0:<<524839b`=:<0<1jh5248c9b`=:<0o1jh524649b`=:<>k1jh5246g9b`=:<181jh5242g9b`=:<=81jh524549b`=:<=k1jh524349b`=:<;k1jh5243g9b`=:<5<10r7>?i4>8e9>7<7=nm16>o75fe9>75>=nm16>i<5fe9>6`b=nm16??=5fe9>76c=nm16?875fe9>720=nm169n<5113896df28:;70=m9;d`?85f;3ln70=n2;334>;4i=0:<<525c49bc=:=j:1jk5248:9bc=:<0i1jk524`29bc=:21jk5246a9bc=:<1:1jk524969bc=:<1=1jk5249c9bc=:<1n1jk524829bc=:<=:1jk524569bc=:<=21jk5245a9bc=:<=l1jk524409bc=:<>1jk524369bc=:;>=1ji524859ba=:<0h1ji5248d9ba=:<>=1ji5246`9ba=:<>l1ji524919ba=:<:l1ji524519ba=:<==1ji5245`9ba=:<;=1ji5243`9ba=:<;l1ji5244`9ba=:<cc<5cc<5=386kj4=5;7>cb<5=k96kj4=5c0>cb<5=k>6kj4=5c5>cb<5:hh6km4=5c;>c`<5=ki6kh4=5:5>c`<5=226kh4=5:`>c`<5=2m6kh4=56f>c`<5=?:6kh4=577>c`<5=?<6kh4=511>c`<5=9>6kh4=51;>c`<5=9i6kh4=54b>c`<5=c`<5==86kh4}r7a`?6=mhq69:h519g890>7282n70;71;3;a>;20;0:4h5259195=c<5<2?6<6j;<7;1?7?m27>4;4>8d9>10`=91o0188?:0:f?83193;3i63:6382<`=:=?91=5k4=447>4>b34?=97?7e:?623<60l169;h519g89017282n70;81;3;a>;2?;0:4h5256195=c<5<=?6<6j;<741?7?m27>;;4>8d9>1=`=91o0187?:0:f?83>93;3i63:9382<`=:=091=5k4=4;7>4>b34?297?7e:?6=3<60l1694h519g890g7282n70;n1;3;a>;2i;0:4h525`195=c<5m;4>8d9>155=91o018>n:0:f?837>3;3i63;fg82<`=:=hk1=5k4=4`7>4>b34?jj7?7e:?6e2<60l169>j519g8905b282n70;;1;;0:4h5262195=c<5?9=6<6j;<403?7?m27=>h4>8d9>27`=91o01;=m:0c3?804k3;j<6393e82e5=:>>l1=5k4=7:3>4>b34<3n7?7e:?5=5<60l16:4?519g893?5282n70863;3;a>;11=0:4h5268795=c<5?3=6<6j;<4;5?7?m27=4?4>8d9>2=5=91o01;6;:0:f?80?=3;3i6398782<`=:>1=1=5k4=7:;>4>b34<357?7e:?5c282n7087e;3;a>;10o0:4h5265095=c<5?<86<6j;<451?7?m27=i44>8d9>2`g=91o01;kk:0:f?80bm3;3i639e482<`=:>l<1=5k4=7d1>4g7348519g89250282n709;2;3;a>;0<>0:4h5275:95=c<5>>26<6j;<57e?7?m27<8o4>8d9>31e=91o01::k:0:f?81403;3i6383882<`=:?:k1=5k4=61a>4>b34=8o7?7e:?47a<60l16;>k519g8925a282n709;0;3;a>;0<80:4h5275195=c<5>>?6<6j;<571?7?m27<8;4>8d9>2c?=91o01:?n:0:f?816k3;3i638a182<`=:?h;1=5k4=6c7>4>b34=j97?7e:?4=f<60l16;4j519g892g>28k;709na;3b4>;0ik0:m=527df95=c<5>on6<6j;<5e=?7?m278d9>3c`=91o015>?:0:f?8>793;3i6370382<`=:0991=5k4=927>4>b34=nj7?7e:?4b5<60l16;k?519g892`5282n709i3;3;a>;0n=0:4h527g795=c<5>l=6<6j;<5e3?7?m278d9>3cg=91o01:hm:0:f?81ak3;3i638fe82<`=:?k:1=5k4=6f2>4>b34=o?7?7e:?;12<60l16486519g89=3e282n706:c;3;a>;?=:0:4h5284695=c<51<;6a19>e83;3i637b482<`=:0k<1=5k4=9`4>4>b342i47?7e:?;f<<60l164oo519g89=de282n706n6;3;a>;?i>0:4h528`:95=c<51k26<6j;<:be?7?m273mo4>8d9>fm3;3i637ag82<`=:0k;1=5k4=9`1>4>b342i?7?7e:?;f1<60l164;9519g89=>?282n7067a;3;a>;><;0:4h5295195=c<50>=6<6j;<;73?7?m272?h4>8d9>=6`=91o014:m:0c3?8?3k3;j<6364e82e5=:11l1=5k4=8;3>4>b3432n7?7e:?:e5<60l165l?519g89;>i=0:4h529`795=c<50k=6<6j;<;:5?7?m2725?4>8d9>=<5=91o0147;:0:f?8?>=3;3i6369782<`=:10=1=5k4=8;;>4>b343257?7e:?:=d<60l1654m519g89;>1o0:4h5294095=c<50=86<6j;<;41?7?m27jo44>8d9>efg=91o014mm:05;?xu3mo0;6>u234795d6<5:<<6<>n;<6e4?7002wx8k<50;1x963128k;70=98;33e>;3n;0:;55rs5d6>5<4s49>;7?n0:?02<<68h168k8516:8yv2b13:1?v3<1g82e5=:;::1==o4=5gb>41?3ty?in4?:2y>776=9h:01>=>:02b?82bl3;<46s|4ef94?5|5::?6799~w1c72908w0=?5;3b4>;49<0:n87>53z?043<6i916?<8511c891c228=37p};d583>6}::k<1=l>4=3a6>46f34>o97?88:p0a1=839p1?l8:0c3?84d>3;;m63;d9823==z{=nj6=4<{<0a0`9>0ad=9>20q~:k0;297~;40l0:m=5238f955g<5:k36<97;|q7`4<72:q6?5h51`2896?b28:j70=nb;34<>{t:0k1<741?349n?7??1:p69i:05;?85a?3;;=6s|28f94?4|5:2;6<97;<1e`?`b3ty95h4?:3y>7=7=9>2019>=:gg8yv4>n3:1>v3<83823==:<931==?4}r0b4?6=:r784>4>799>046=nm1v?o>:18185?<3;<463;1e8eb>{t:h81<741?349h?7hk;|q1e6<72;q6?58516:896b?2ol0q~0:;5523ef955652z?03`<6?116?hm5fe9~w7>b2909w0=:f;34<>;4m90:<=5rs3;2>5<5s49=>7?88:?0b04<50;0x960428=370=id;d`?xu51:0;6?u2376952><5=:96km4}r0:0?6=:r78:84>799>05>=nl1v?7::181851>3;<463;158245=z{;3=6=4={<153?70027?=i4id:p6<1=838p1>87:05;?85d83;;<6s|28:94?4|5:<26<97;<1g73g=9>201>jk:022?xu50o0;6?u2372952><5:oi6kk4}r0:4?6=:r78:<4>799>7`c=99:0q~<74;296~;4<=0:;5523d6955652z?000<6?116?k651128yv4?>3:1>v3<47823==:;ol1jh5rs3:4>5<5s49?;7?88:?7405650;0x962?28=370:?a;df?xu5000;6?u235;952><5=;;6<>?;|q152z?00f<6?116?n95fd9~w7>c2909w0=;d;34<>;4ll0mi6s|26;94?4|5:826<97;<1f5?7782wx>:l50;0x964e28=370=i4;de?xu5?j0;6?u233a952><5:lm6kh4}r04`?6=:r78>i4>799>053=no1v?9j:181855m3;<463;0`8eb>{t:>l1<741?34>:97hj;|q1<5<72;q6?>>516:8917d2ol0q~<71;296~;4;80:;5523b39bc=z{;296=4={<106?700278o:4ic:p6=5=838p1>=<:05;?85cm3lh7p}=7`83>7}:;;k1=:64=2ga>4663ty9:k4?:3y>75c=9>201>k::gf8yv4083:1>v3<0g823==:;o31==>4}r045?6=:r78==4>799>7cc=nl1v?9=:18185693;<463;058ea>{t:>91<741?34>;57hi;|q131<72;q6?<=516:8916b28:;7p}=7483>7}:;8>1=:64=53f>cc52z?050<6?116?n<51128yv40?3:1>v3<17823==:;m;1jh5rs35;>5<5s49:;7?88:?0`g;:50;0x97`428=370=j6;df?xu5>?0;6?u22g7952><5:l?6kj4}r053?6=:r79j;4>799>7cc=no1v?87:18184a?3;<463;058eb>{t:?31<741?34>;57hk;|q12d<72;q6>k7516:891722oi0q~<9b;296~;5nh0:;55240a9ba=z{;7}::on1=:64=2fa>ce52z?1b1<6?116?ho5fg9~w73f2909w0;4m=0mo6s|24`94?4|5;n26<97;<1e6ag=9>201>hk:023?xu5=m0;6?u22e`952><5=:96<>?;|q11`<72;q6>im516:8916?2oi0q~<:f;296~;5lm0:;55241g9bf=z{;<;6=4={<0ga?70027?=o4ie:p637=838p1?ji:05;?85d:3lh7p}=6383>7}::l:1=:64=2f4>c`52z?1a4<6?116?im5fg9~w7372909w0;4m80mo6s|24394?4|5;i;6<97;<1e1?`c3ty99?4?:3y>6f7=9>2019>?:gf8yv42;3:1>v3=c3823==:<9<1ji5rs377>5<5s48h?7?88:?74=<6891v?;::18184d<3;<463;158ea>{t:<<1<741?34>:n7hl;|q112<72;q6>n8516:896e72oo0q~<:8;296~;5k>0:;5523e59ba=z{;?26=4={<0`019~w7g22909w0=67;34<>;4m90mo6s|2`494?4|5:336<97;<1e7?`b3ty9m:4?:3y>7201>hl:023?xu5i10;6?u238c952><5=:86<>>;|q1e<<72;q6?4l516:8916e28::7p}=a`83>7}:;0i1=:64=537>ce52z?0=a<6?1168v3<9d823==:;j:1jn5rs3cg>5<5s492j7?88:?0gc;50;0x90?a2hl0197=:05;?xu2;?0;6?u25`29ec=:<021=:64}r703?6=:r7>m<4nf:?7=f<6?11v8=7:18183f:3km70:n0;34<>{t=:31<7d`<5=k:6<97;|q67d<72;q69l:5ag9>0d2=9>20q~;jo7?88:p17b=838p186i:`d8911?28=37p}:2d83>7}:=0:1mk5246a952>52z?6=4799~w0572909w0;62;ce?82?<3;<46s|52394?4|5<386lh4=5:4>41?3ty>??4?:3y>1<2=io1685o516:8yv34;3:1>v3:948bb>;30m0:;55rs417>5<5s4?2:7oi;<6:4?7002wx9?;50;0x901a2hl019;l:05;?xu2:?0;6?u25929ec=:4<4nf:?721<6?11v8<7:18183?:3km70:98;34<>{t=;31<7d`<5=03c=9>20q~;=b;296~;20<0jj63;70823==z{<8h6=4={<7;2?ga34><87?88:p14b=838p188i:`d8912728=37p}:1d83>7}:=>:1mk52456952>52z?634799~w0472909w0;82;ce?823k3;<46s|53394?4|5<=86lh4=56e>41?3ty>>?4?:3y>122=io1688<516:8yv35;3:1>v3:748bb>;3=<0:;55rs407>5<5s4?<:7oi;<66:<4nf:?76f<6?11v8?7:181831:3km70:<0;34<>{t=831<7d`<5=986<97;|q65d<72;q69;:5ag9>060=9>20q~;>b;296~;2><0jj63;38823==z{<;h6=4={<752?ga34>8o7?88:p1gc=8383w0=ic;df?85am3lo70=if;dg?85b>3lh70=l1;d`?85d=3lh70:>c;d`?82583lh70:>6;d`?85b03lo70=ja;dg?85bk3lm70=kb;dg?85ck3ln70=ke;dg?85d?3lo70=ld;d`?85c93lo70:?9;df?827i3lo70:?b;df?827;3ln70:?4;dg?827=3lo70=i4;d`?85a13lh70;me;3;`>;4n80mo63;4l?0mh63;4l90mo63;4lm0mj63;4l=0mo63;4l>0mi63;2k:0mo6s|51c94??|5<:j6<6k;<731?`c34?;57hk;<736?`a34?;i7??0:?6ean?4>009>1g1=nm169;28<0mi63:088eb>;28;0mh63:0d8ea>;2990mo63:b68eg>;28j0mo63:0e8eg>{t=991<7ot=420>4>c34?;97hl;<73=?77927>009>15c=nm169<>5fe9>1db=nm169o<5112890d02oo018>k:gf8yv2an3:1mv3;fg825mo7?88:?614o>4id:?613<6891699k51138902e28:;70;;8;de?832<3ln7p}:1583>3>|5<9m6<6k;<6f6?`b34?h>7hl;<6g1?`b349j;7hj;<6e4?77827?i54ie:?0f14>019>0a5=nm16?ll5113891`52ol01>o=:ga896g32oo018l9:022?83d83;;=63;998eg>;31j0mo63;a18eg>;3i00mo63;ab8eg>;3?10mo63;7b8eg>;3090mo63;858eg>;30>0mo63;8`8eg>;30m0mo63;918eg>;3<90mo63;458eg>;3<10mo63;4b8eg>;3;3=<0mo63;598eg>;3:10mo63;2b8eg>;3;90mo63;328eg>;3;?0mo63;388eg>;3;j0mo63;5b8eg>;3>90mo63;658eg>;3>10mo63;6c8eg>;3>l0mo63;708eg>;3?=0mo63;258eg>;4j<0mi63;f28eb>;3n80:<=524e49b`=:;oh1jh523849556<5:k36kj4=25b>cc<5:=26kj4=27`>cc<5:?i6kj4=262>cc<5:>;6kj4=205>cc<5:8>6kj4=3d2>cc<5;l;6kk4=3ge>cb<5;n=6kh4=3f6>cc<5;n?6kj4=22`>cc<5::i6kk4=22b>cb<5;ho6kh4=3``>cc<5;hi6kj4=2;7>cc<5:386kj4=5ff>cc<5=l?6kh4=5fe>cc<5:=i6kh4=27g>c`<5;n<6kh4=3`f>c`<5:3>6kh4=3d1>466349;h7??1:?03f<68916?8k51128962428:;70=;2;de?85503;;<63<268eb>;2il0mj63:b28245=:<081=l>4=5c2>4g734>j87?n0:?0fg<6891v878:18183>n3;3h63;ad8245=z{<336=4={<7b4?7?l27?n?4>019~w0?>2909w0;n1;3;`>;3j?0:<=5rs4;b>5<5s4?j>7?7d:?7fd<6891v87m:18183f;3;3h63;bd8245=z{<3h6=4={<7b0?7?l27?o?4>019~w0?c2909w0;n5;3;`>;3k?0:<=5rs4;f>5<5s4?j:7?7d:?7gg<6891v868:18183?n3;3h63;ad8e`>{t=121<74>c34>i>7hk;|q6<<<72;q694?519f891d12on0q~;7a;296~;21;0:4i524cc9ba=z{<2i6=4={<7:7?7?l27?nh4id:p1=e=838p187;:0:g?82d:3lo7p}:8e83>7}:=0?1=5j4=5a5>cb52z?6=3<60m168nl5fe9~w0102909w0;8f;3;`>;3io0mh6s|56:94?4|5<2;6<6k;<6a7?`c3ty>;44?:3y>1=7=91n019l8:gf8yv30i3:1>v3:83825<5s4?3?7?7d:?7fc3282o70:l3;dg?xu2?m0;6?u259795=b<5=i<6kj4}r74a?6=:r7>4;4>8e9>0fg=nm1v888:181831n3;3h63;ag8245=z{<<36=4={<744?7?l27?n>4>019~w00>2909w0;81;3;`>;3j>0:<=5rs44b>5<5s4?<>7?7d:?7fg<6891v88m:181830;3;3h63;bg8245=z{<4>019~w00c2909w0;85;3;`>;3k>0:<=5rs44f>5<5s4?<:7?7d:?7gd<6891v8;8:180832n3;3h63;ad8244=::=4>8e9>0g4=99;019l<:022?xu2=00;6>u257395=b<5=h=6<>>;<6a3?7792wx98o50;1x9005282o70:ma;335>;3jk0:<<5rs47a>5<4s4?=?7?7d:?7f`<688168oh51138yv32k3:1?v3:65824663ty>9i4?:2y>133=91n019m9:022?82d?3;;=6s|54g94?5|5<<=6<6k;<6`e?77927?oo4>009~w4>02908w0?78;34<>;60h0mo63>8b8244=z{8236=4<{<3;=?70027:4l4>009>5=e=99:0q~7<3;296~;>;:0:;5529279b`=z{09?6=4={<;00?700272?84if:p506=838p1l>n:05;?8g713ln7p}>5c83>6}:i?21=:64=`4:>cc<5h799>e31=nl1vl8::1818g1=3;<463n668244=z{h<=6=4={{tij81<741?34kh97??1:pef2=838p1lm;:05;?8gd=3;;<6s|16794?5|5h>:6<97;009~w6`f2909w0=ic;de?85aj3;<46s|3g`94?4|5:lh6<97;<634?7792wx?km50;0x96`c28=370:?0;d`?xu4nm0;6?u23gg952><5=:;6kh4}r1ea?6=:r78jk4>799>056=99:0q~=me;296~;4m?0:<=523d2952>52z?0a4<6?116?h85fe9~w6c72909w0=j3;34<>;4m<0mj6s|3d394?4|5:o?6<97;<1f1?`d3ty8i?4?:3y>7`3=9>201>k9:022?xu6<10;6?u23`a952><5:ki6kk4}r37=?6=;r7?j?4>009>0c5=99;019h;:05;?xu4i80;69u23`0952><5:k>6kh4=53;>c`<5:i?6kh4}r1b0?6=;r78m94>799>7d3=nm168<65fe9~w0d12909w0;m6;34<>;2j10:<<5rs4`4>5<5s4?i;7?88:?6f=<6891v<:n:18185ek3;<463li:18185d83;<463009~w6e62909w0=l2;34<>;4k:0mo6s|3b094?4|5:i86<97;<1`1?`c3ty8o>4?:3y>7f3=no16?n:516:8yv26i3:1>v3;1c823==:<8n1jn5rs53a>5<5s4>:o7?88:?75a<6881v9?l:181826l3;<463;218244=z{=;o6=4={<62a?70027?>=4id:p04c=838p197}:<9o1=:64=533>ce:87>52z?755<6?1168<85fe9~w1722909w0:>2;34<>;39?0mi6s|40494?4|5=;?6<97;<622?`a3ty?=44?:3y>043=9>2019?9:023?xu4mm0;6?u23dg952><5:om6<>>;|q0a`<72;q6?hh516:896`628:;7p}>4c83>7}:=j:1=:64=4`e>cb52z?0a=<6?116?hm5fb9~w6c?2909w0=j9;34<>;4mh0mo6s|3d;94?4|5:oj6<97;<1fg?7792wx?ho50;0x96ce28=370=jc;334>{t;mh1<741?349oo7??1:p7ae=838p1>jl:05;?85cn3;;=6s|3ef94?4|5:nm6kj4=2fg>41?3ty8hh4?:3y>7ac=9>201>ji:gg8yv5ci3:1>v3799>7f`=99;0q~=l9;297~;4ko0:;5523e59556<5:n36<>?;|q0gd<72;q6?i?516:896b028::7p};9483>7}:<021==?4=5;4>41?3ty?544?:3y>028::7p};a`83>7}:4663ty?;84?:3y>02>=99;01998:05;?xu3?00;6?u246a9557<5==i6<97;|q73a<72;q685>51138911a28=37p};8083>7}:<1>1==?4=5:0>41?3ty?484?:3y>0=0=9>201968:022?xu3010;6?u249;952><5=2j6<>>;|q7c28::7p};8d83>7}:<1l1=:64=5;3>4663ty??i4?:3y>016=99;019=i:05;?xu3<80;6?u24569557<5=>86<97;|q700<72;q689651138912028=37p};4883>7}:<=i1==?4=56a>41?3ty?8i4?:3y>01c=9>2019:i:022?xu3=90;6?u2443952><5=?96<>>;|q716<72;q688:516:8913228::7p};5783>7}:<<=1=:64=57;>4663ty?>84?:3y>07>=99;019<8:05;?xu3:00;6?u243a9557<5=8i6<97;|q76a<72;q68>>51138914a28=37p};3083>7}:<:81=:64=510>4663ty??94?:3y>063=9>2019=9:022?xu3;>0;6?u242:952><5=926<>>;|q77d<72;q68>l516:8915d28::7p};5883>7}:<41?3ty?9i4?:3y>036=99;019;i:05;?xu3>80;6?u24769557<5=<86<97;|q720<72;q68;651138910028=37p};6883>7}:4663ty?:n4?:3y>03b=9>20198j:022?xu3>o0;6?u2462952><5==:6<>>;|q737<72;q68:=516:8911328::7p};2083>7}:<;>1==?4=500>41?3ty?o84?:3y>0f0=9>2019m7:gg8yv2d>3:1>v3;c6823==:5<5s4>h>7?88:?7g1<5=i;6kk4}r6aa?6=:r7?nk4>799>0f6=nm1v9l6:18182ei3;<463;bb8ea>{t41?34>io7hk;|q7f0<72;q68o8516:891d?2oo0q~:m6;296~;3j>0:;5524c:9ba=z{=h:6=4={<6a6?70027?n94ie:p0g4=838p19l<:05;?82e<3lo7p};ae83>7}:ccji7>52z?7ec<6?1168o>5fe9~w06d2909w0;?c;34<>;2980:<=5rs42g>5<5s4?;h7?88:?654<6881v8>j:181837m3;<463:108ea>{t=9l1<741?34?:<7??1:p146=838p18??:05;?83693lm7p};0683>7}:<921=:64=52`>ce;47>52z?74<<6?1168=m5fe9~w16>2909w0:?a;34<>;38j0mi6s|41c94?4|5=:i6<97;<63g?`a3ty?<<4?:3y>054=9>2019>9:ga8yv27:3:1>v3;02823==:<9<1==?4}r637?6=:r7?<94>799>050=no1v9>;:181827=3;<463;078245=z{:l96=4={<1e1?`d349m?7?88:p7c2=838p1>h;:05;?85a=3;;=6s|3g794?4|5:l>6<97;<1e=?7792wx?k850;0x96`02on01>h9:05;?xu4n>0;6?u23g5952><5:l26kj4}r1e799>7c?=nl1v?5<5sW?o463:dc8ef>{t=m<1<77}Y=m?018j6:g`8yv3cn3:1>vP:dg9>1`4=nk1v8jk:181[3cl27>i<4ib:p1ae=838pR8jl;<7f4?`e3ty>i;4?:3y]1`0<5i9525d:9bg=z{;2m>0mn6s|5df94?4|Vcd7>52z\b`7=:im91jo5rs33e>5<5sW8:j63=218ef>{t:;;1<77}Y:;901?<;:g`8yv45=3:1>vP=249>670=nk1v?<8:181[45?279>54ib:p65>=838pR?>7;<020?7002wx>=850;0xZ761348:?7?88:p647=838pR??>;<02`?7002wx><>50;0xZ777348:o7?88:p65`=838pR?>i;<02f?7002wx>=k50;0xZ76b348:m7?88:p65b=838pR?>k;<02=?7002wx>=m50;0xZ76d348:47?88:p65d=838pR?>m;<023?7002wx>=o50;0xZ76f348::7?88:p65?=838pR?>6;<021?7002wx>=;50;0xZ762348:>7?88:p1fe=838pR8ml;<7g0?7002wx9no50;0xZ0ef34?o?7?88:p1f?=838pR8m6;<7g6?7002wx9n650;0xZ0e?34?o=7?88:p1f1=838pR8m8;<7g4?7002wx9n850;0xZ0e134?hj7?88:p1f3=838pR8m:;<7`a?7002wx9n:50;0xZ0e334?hh7?88:pea6=838pRlj?;34?mm7?88:p1cd=838pR8hm;<7eg?7002wx9kj50;0xZ0`c34?mi7?88:p1c`=838pR8hi;<434?7002wx:=?50;0xZ36634<;>7?88:p=`2=838pR4k;;<;f1?7002wx5::50;0x9<142hl0149;:05;?xu>??0;6?u29679ec=:1><1=:64}r:;=?6=:r73454nf:?;<<<6?11v56m:1818>?i3km7067b;34<>{t?m81<7d`<5>n96<97;|q4`1<72;q6;i=5ag9>3a2=9>20q~9>b;296~;09h0jj6381c823==z{>;o6=4={<52g?ga34=:h7?88:p232=838p1;8<:`d8930328=37p}96783>7}:>??1mk52674952>52z?bef799~wde12909w0ol0;ce?8gd>3;<46s|54194?4|59=4?:3y>107=nj1698>516:8yv75:3:1?v3>23823==:9;;1=l>4=01g>46f3ty:>94?:2y>572=9>201<<<:0c3?874m3;;m6s|13494?5|588=6<97;<311?7f827:?k4>0`9~w44?2908w0?=8;34<>;6:>0:m=52152955g53z?26d<6?116=?751`28942628:j7p}>2b83>6}:9;i1=:64=00a>4g734;?>7??a:p57c=839p1<42824d=z{89;6=4<{<304?70027:>k4>a19>512=99k0q~?<2;297~;6;;0:;55212395d6<58>>6<>n;|q25=<72:q6=<6516:8947028k;70?<6;33e>{t98k1<7=t=03b>41?34;:57?n0:?272<68h1v1c82e5=:9:21==o4}r32a?6=;r7:=h4>799>54b=9h:01<=6:02b?xu6:90;6>u2132952><58;m6;>890:5<4s42mo7?88:?;bg<6i9165=l511c8yv>ai3:1?v37f`823==:0o31=l>4=82b>46f3ty3j54?:2y>=9>2015h8:0c3?8?713;;m6s|8g494?5|51l=6<97;<:e1?7f8272<54>0`9~w=`32908w06i4;34<>;?n:0:m=52915955g7>53z?;b7<6?1164k?51`289<6128:j7p}7f183>6}:0o:1=:64=9ge>4g7343;97??a:p<`c=839p15kj:05;?8>bl3;j<63605824d=z{1oh6=4<{<:fg?700273io4>a19>=55=99k0q~7i4;297~;>n=0:;5529g195d6<5h;96<>n;|q:b7<72:q65k<516:89<`628k;70o>1;33e>{t1o:1<7=t=8d3>41?343nj7?n0:?b55<68h1v4kj:1808?bm3;<4636ee82e5=:i9l1==o4}r;fg?6=;r72in4>799>=`d=9h:01l>j:02b?xu>mh0;6>u29dc952><50o26;f8j0:5<4s4k;:7?88:?b40<6i916m4=`3`>46f3tyje54=9>201l>>:0c3?8g6j3;;m6s|a1294?5|5h:;6<97;<;eb?7f827j=l4>0`9~w<`b2908w07ie;34<>;>nm0:m=52a0;955g53z?:bf<6?1165kl51`289d7?28:j7p}6f`83>6}:1ok1=:64=8d:>4g734k:;7??a:p=c>=839p14h7:05;?8?a?3;j<63n17824d=z{0l=6=4<{<;e2?700272j84>a19>e43=99k0q~o=e;297~;f:l0:;552a3f95d6<5h926<>n;|qb6f<72:q6m?m516:89d4e28k;70o<8;33e>{ti;k1<7=t=`0b>41?34k957?n0:?b72<68h1vl<7:1808g503;<463n2682e5=:i:<1==o4}rc12?6=;r7j>;4>799>e73=9h:01l=::02b?xuf:=0;6>u2a36952><5h886;f;:0:5<4s4k9<7?88:?b5c<6i916m><511c8yv5e<3:1?v34=25f>46f3ty?j;4?:2y>0c1=9>201>9::0c3?85??3;;m6s|4g594?5|5=l36<97;<140?7f82784;4>0`9~w1`42908w0:i3;34<>;4?:0:m=52397955gm<7>53z?7b4<6?116?:<51`2896>328:j7p}<7683>6}:;>=1=:64=24a>4g7349=839p19h6:05;?853n3;j<63<61824d=z{=l26=4<{<6ee?700278954>a19>73g=99k0q~=m1;297~;4j;0:;55232a95d6<5:>h6<>n;|q7aa<72:q68hk516:8965e28k;70=;b;33e>{t41?3498m7?n0:?00d<68h1v>oj:18085fn3;<463=eb82e5=::on1==o4}r6f1?6=;r7?i;4>799>6`d=9h:01?hl:02b?xu3m;0;6>u24d1952><5;oj628k;70;3lo0:;55rs5fa>5<4s4>oo7?88:?1`4<6i916>h>511c8yv2c03:1?v3;d8823==::m:1=l>4=3fe>46f3ty?h84?:2y>0a0=9>201?mi:0c3?84cm3;;m6s|12a94?4|589h6<97;<30f?77i2wx5=<50;0x9<6528=3707?1;33e>{ti8>1<741?34k:?7??a:pe6d=838p1l=m:05;?8g4i3;;m6s|94394?4|50?;6lh4=872>41?3ty28k4?:3y>=1c=io1659h516:8yv>1>3:1>v37648bb>;?>?0:;55rs947>5<5s42=?7oi;<:50?7002wx;lh50;0x92gb2hl01:oi:05;?xu0im0;6?u27`a9ec=:?hn1=:64}r4e{t>=;1<7d`<5?>:6<97;|q57c<72;q6:>k5ag9>26`=9>20q~olc;296~;fkk0jj63ncb823==z{4b83>7}:ij91=:64=`a6>c`:7>52z?6109;4>799~w0332909w0;:2;ce?832<3;<46s|55g94?5|5<>h6lh4=46f>41?34??h7oi;|q60g<72:q69975ag9>11d=9>2018:n:`d8yv3303:1?v3:478bb>;2<10:;5525559ec=z{h4ie:?bf07}:ik;1=:64=``3>d`52z?bf6<6?116mo<5ag9~wdd22909w0om5;34<>;fj=0jj6s|ac594?4|5hh<6<97;eg?=9>201ll7:`d8yvgej3:1>v3nbc823==:ikk1mk5rs``g>5<5s4kih7?88:?bff<5=386<>>;|q20`<72;q6848516:891?028::7p}>4g83>7}:<0k1=:64=5;a>4663ty:9<4?:3y>020197i:022?xu6=;0;6?u2464952><5==<6<>>;|q216<72;q68:o516:8911e28::7p}>5583>7}:<>o1=:64=55e>4663ty:984?:3y>0=4=9>20196<:022?xu6=?0;6?u242g952><5=9m6<>>;|q212<72;q689<516:8912428::7p}>5983>7}:<=<1=:64=564>4663ty:944?:3y>01g=9>2019:m:022?xu6=h0;6?u2434952><5=8<6<>>;|q21f<72;q68?o516:8914e28::7p}>5e83>7}:<;o1=:64=50e>4663ty:9h4?:3y>00g=9>2019;m:022?xu6=o0;6?u244g952><5=?m6<>>;|q225<72;q68;<516:8910428::7p}>6083>7}:4663ty::?4?:3y>074=9>2019<<:022?xu6>:0;6?u2a7;952><5hko6<>>;|q221<72;q6m>k516:89d0e28:;7p}:5083>7}:=<;1=:64=46e>d`52z?6ff<6?1169ol51128yvg?m3:1>v3n8d823==:i1n1mk5rs`:`>5<5s4k3o7?88:?b50;0x9d?728=370o7f;ce?xuf0h0;6?u2a9c952><5h226lh4}rc;799>e=1=io1vl69:1818g?>3;<463n848bb>{ti1>1<741?34k3?7oi;|qb<7<72;q6m5<516:89d>62hl0q~on1;296~;fi80:;552a`29ec=z{h3m6=4={k3km7p}n9c83>7}:i0h1=:64=`;b>d`52z?b=<<6?116m465ag9~wd?02909w0o67;34<>;f1?0jj6s|a8794?4|5h3>6<97;e<7=9>201l6?:`d8yvg0n3:1>v3n7g823==:i>o1mk5rs`;0>5<5s4k2?7?88:?b=70;6?u255595=b<5:kj6kj4}r77`?6=:r7>8i4>8e9>7dg=nl1v<88:18183fl3;<463:ab8eg>{t9?21<741?34?jo7hk;|q22<<72;q69o<516:890d62oi0q~?9a;296~;2j:0:;5525c39ba=z{8j7:05;?85c=3lo7p}>6e83>7}:ce52z?7gg<6?1168n75fe9~w40a2909w0:63;34<>;31;0mo6s|16294?4|5=3?6<97;<6:6?`c3ty:;<4?:3y>0d4=9>2019o>:ga8yv70:3:1>v3;a2823==:5<5s4>j97?88:?7e1{I3aa>{i:9i96=4>{I3aa>{i:9i86=4>{I3aa>{i:9i?6=4>{I3aa>{i:9i>6=4>{I3aa>{i:9i=6=4>{I3aa>{i:9i<6=4>{I3aa>{i:9i36=4>{I3aa>{i:9i26=4>{I3aa>{i:9ij6=4>{I3aa>{i:9ii6=4>{I3aa>{i:9ih6=4>{I3aa>{i:9io6=4>{I3aa>{i:9in6=4>{I3aa>{i:9im6=4>{I3aa>{i:9n;6=4>{I3aa>{i:9n:6=4>{I3aa>{i:9n96=4>{I3aa>{i:9n86=4>{I3aa>{i:9n?6=4>{I3aa>{i:9n>6=4>{I3aa>{i:9n=6=4>{I3aa>{i:9n<6=4>{I3aa>{i:9n36=4>{I3aa>{i:9n26=4>{I3aa>{i:9nj6=4>{I3aa>{i:9ni6=4>{I3aa>{i:9nh6=4>{I3aa>{i:9no6=4>{I3aa>{i:9nn6=4>{I3aa>{i:9nm6=4>{I3aa>{i:9o;6=4>{I3aa>{i:9o:6=4>{I3aa>{i:9o96=4>{I3aa>{i:9o86=4>{I3aa>{i:9o?6=4>{I3aa>{i:9o>6=4>{I3aa>{i:9o=6=4>{I3aa>{i:9o<6=4>{I3aa>{i:9o36=4>{I3aa>{i:9o26=4>{I3aa>{i:9oj6=4>{I3aa>{i:9oi6=4>{I3aa>{i:9oh6=4>{I3aa>{i:9oo6=4>{I3aa>{i:9on6=4>{I3aa>{i:9om6=4>{I3aa>{i:9l;6=4>{I3aa>{i:9l:6=4>{I3aa>{i:9l96=4>{I3aa>{i:9l86=4>{I3aa>{i:9l?6=4>{I3aa>{i:9l>6=4>{I3aa>{i:9l=6=4>{I3aa>{i:9l<6=4>{I3aa>{i:9l36=4>{I3aa>{i:9l26=4>{I3aa>{i:9lj6=4>{I3aa>{i:9li6=4>{I3aa>{i:9lh6=4>{I3aa>{i:9lo6=4>{I3aa>{i:9ln6=4>{I3aa>{i:9lm6=4>{I3aa>{i:8:;6=4>{I3aa>{i:8::6=4>{I3aa>{i:8:96=4>{I3aa>{i:8:86=4>{I3aa>{i:8:?6=4>{I3aa>{i:8:>6=4>{I3aa>{i:8:=6=4>{I3aa>{i:8:<6=4>{I3aa>{i:8:36=4>{I3aa>{i:8:26=4>{I3aa>{i:8:j6=4>{I3aa>{i:8:i6=4>{I3aa>{i:8:h6=4>{I3aa>{i:8:o6=4>{I3aa>{i:8:n6=4>{I3aa>{i:8:m6=4>{I3aa>{i:8;;6=4>{I3aa>{i:8;:6=4>{I3aa>{i:8;96=4>{I3aa>{i:8;86=4>{I3aa>{i:8;?6=4>{I3aa>{i:8;>6=4>{I3aa>{i:8;=6=4>{I3aa>{i:8;<6=4>{I3aa>{i:8;36=4>{I3aa>{i:8;26=4>{I3aa>{i:8;j6=4>{I3aa>{i:8;i6=4>{I3aa>{i:8;h6=4>{I3aa>{i:8;o6=4>{I3aa>{i:8;n6=4>{I3aa>{i:8;m6=4>{I3aa>{i:88;6=4>{I3aa>{i:88:6=4>{I3aa>{i:8896=4>{I3aa>{i:8886=4>{I3aa>{i:88?6=4>{I3aa>{i:88>6=4>{I3aa>{i:88=6=4>{I3aa>{i:88<6=4>{I3aa>{i:8836=4>{I3aa>{i:8826=4>{I3aa>{i:88j6=4>{I3aa>{i:88i6=4>{I3aa>{i:88h6=4>{I3aa>{i:88o6=4>{I3aa>{i:88n6=4>{I3aa>{i:88m6=4>{I3aa>{i:89;6=4>{I3aa>{i:89:6=4>{I3aa>{i:8996=4>{I3aa>{i:8986=4>{I3aa>{i:89?6=4>{I3aa>{i:89>6=4>{I3aa>{i:89=6=4>{I3aa>{i:89<6=4>{I3aa>{i:8936=4>{I3aa>{i:8926=4>{I3aa>{i:89j6=4>{I3aa>{i:89i6=4>{I3aa>{i:89h6=4>{I3aa>{i:89o6=4>{I3aa>{i:89n6=4>{I3aa>{i:89m6=4>{I3aa>{i:8>;6=4>{I3aa>{i:8>:6=4>{I3aa>{i:8>96=4>{I3aa>{i:8>86=4>{I3aa>{i:8>?6=4>{I3aa>{i:8>>6=4>{I3aa>{i:8>=6=4>{I3aa>{i:8><6=4>{I3aa>{i:8>36=4>{I3aa>{i:8>26=4>{I3aa>{i:8>j6=4>{I3aa>{i:8>i6=4>{I3aa>{i:8>h6=4>{I3aa>{i:8>o6=4>{I3aa>{i:8>n6=4>{I3aa>{i:8>m6=4>{I3aa>{i:8?;6=4>{I3aa>{i:8?:6=4>{I3aa>{i:8?96=4>{I3aa>{i:8?86=4>{I3aa>{i:8??6=4>{I3aa>{i:8?>6=4>{I3aa>{i:8?=6=4>{I3aa>{i:8?<6=4>{I3aa>{i:8?36=4>{I3aa>{i:8?26=4>{I3aa>{i:8?j6=4>{I3aa>{i:8?i6=4>{I3aa>{i:8?h6=4>{I3aa>{i:8?o6=4>{I3aa>{i:8?n6=4>{I3aa>{i:8?m6=4>{I3aa>{i:8<;6=4>{I3aa>{i:8<:6=4>{I3aa>{i:8<96=4>{I3aa>{i:8<86=4>{I3aa>{i:8{I3aa>{i:8<>6=4>{I3aa>{i:8<=6=4>{I3aa>{i:8<<6=4>{I3aa>{i:8<36=4>{I3aa>{i:8<26=4>{I3aa>{i:8{I3aa>{i:8{I3aa>{i:8{I3aa>{i:8{I3aa>{i:8{I3aa>{i:8{I3aa>{i:8=;6=4>{I3aa>{i:8=:6=4>{I3aa>{i:8=96=4>{I3aa>{i:8=86=4>{I3aa>{i:8=?6=4>{I3aa>{i:8=>6=4>{I3aa>{i:8==6=4>{I3aa>{i:8=<6=4>{I3aa>{i:8=36=4>{I3aa>{i:8=26=4>{I3aa>{i:8=j6=4>{I3aa>{i:8=i6=4>{I3aa>{i:8=h6=4>{I3aa>{i:8=o6=4>{I3aa>{i:8=n6=4>{I3aa>{i:8=m6=4>{I3aa>{i:82;6=4>{I3aa>{i:82:6=4>{I3aa>{i:8296=4>{I3aa>{i:8286=4>{I3aa>{i:82?6=4>{I3aa>{i:82>6=4>{I3aa>{i:82=6=4>{I3aa>{i:82<6=4>{I3aa>{i:8236=4>{I3aa>{i:8226=4>{I3aa>{i:82j6=4>{I3aa>{i:82i6=4>{I3aa>{i:82h6=4>{I3aa>{i:82o6=4>{I3aa>{i:82n6=4>{I3aa>{i:82m6=4>{I3aa>{i:83;6=4>{I3aa>{i:83:6=4>{I3aa>{i:8396=4>{I3aa>{i:8386=4>{I3aa>{i:83?6=4>{I3aa>{i:83>6=4>{I3aa>{i:83=6=4>{I3aa>{i:83<6=4>{I3aa>{i:8336=4>{I3aa>{i:8326=4>{I3aa>{i:83j6=4>{I3aa>{i:83i6=4>{I3aa>{i:83h6=4>{I3aa>{i:83o6=4>{I3aa>{i:83n6=4>{I3aa>{i:83m6=4>{I3aa>{i:8k;6=4>{I3aa>{i:8k:6=4>{I3aa>{i:8k96=4>{I3aa>{i:8k86=4>{I3aa>{i:8k?6=4>{I3aa>{i:8k>6=4>{I3aa>{i:8k=6=4>{I3aa>{i:8k<6=4>{I3aa>{i:8k36=4>{I3aa>{i:8k26=4>{I3aa>{i:8kj6=4>{I3aa>{i:8ki6=4>{I3aa>{i:8kh6=4>{I3aa>{i:8ko6=4>{I3aa>{i:8kn6=4>{I3aa>{i:8km6=4>{I3aa>{i:8h;6=4>{I3aa>{i:8h:6=4>{I3aa>{i:8h96=4>{I3aa>{i:8h86=4>{I3aa>{i:8h?6=4>{I3aa>{i:8h>6=4>{I3aa>{i:8h=6=4>{I3aa>{i:8h<6=4>{I3aa>{i:8h36=4>{I3aa>{i:8h26=4>{I3aa>{i:8hj6=4>{I3aa>{i:8hi6=4>{I3aa>{i:8hh6=4>{I3aa>{i:8ho6=4>{I3aa>{i:8hn6=4>{I3aa>{i:8hm6=4>{I3aa>{i:8i;6=4>{I3aa>{i:8i:6=4>{I3aa>{i:8i96=4>{I3aa>{i:8i86=4>{I3aa>{i:8i?6=4>{I3aa>{i:8i>6=4>{I3aa>{i:8i=6=4>{I3aa>{i:8i<6=4>{I3aa>{i:8i36=4>{I3aa>{i:8i26=4>{I3aa>{i:8ij6=4>{I3aa>{i:8ii6=4>{I3aa>{i:8ih6=4>{I3aa>{i:8io6=4>{I3aa>{i:8in6=4>{I3aa>{i:8im6=4>{I3aa>{i:8n;6=4>{I3aa>{i:8n:6=4>{I3aa>{i:8n96=4>{I3aa>{i:8n86=4>{I3aa>{i:8n?6=4>{I3aa>{i:8n>6=4>{I3aa>{i:8n=6=4>{I3aa>{i:8n<6=4>{I3aa>{i:8n36=4>{I3aa>{i:8n26=4>{I3aa>{i:8nj6=4>{I3aa>{i:8ni6=4>{I3aa>{i:8nh6=4>{I3aa>{i:8no6=4>{I3aa>{i:8nn6=4>{I3aa>{i:8nm6=4>{I3aa>{i:8o;6=4>{I3aa>{i:8o:6=4>{I3aa>{i:8o96=4>{I3aa>{i:8o86=4>{I3aa>{i:8o?6=4>{I3aa>{i:8o>6=4>{I3aa>{i:8o=6=4>{I3aa>{i:8o<6=4>{I3aa>{i:8o36=4>{I3aa>{i:8o26=4>{I3aa>{i:8oj6=4>{I3aa>{i:8oi6=4>{I3aa>{i:8oh6=4>{I3aa>{i:8oo6=4>{I3aa>{i:8on6=4>{I3aa>{i:8om6=4>{I3aa>{i:8l;6=4>{I3aa>{i:8l:6=4>{I3aa>{i:8l96=4>{I3aa>{i:8l86=4>{I3aa>{i:8l?6=4>{I3aa>{i:8l>6=4>{I3aa>{i:8l=6=4>{I3aa>{i:8l<6=4>{I3aa>{i:8l36=4>{I3aa>{i:8l26=4>{I3aa>{i:8lj6=4>{I3aa>{i:8li6=4>{I3aa>{i:8lh6=4>{I3aa>{i:8lo6=4>{I3aa>{i:8ln6=4>{I3aa>{i:8lm6=4>{I3aa>{i:;:;6=4>{I3aa>{i:;::6=4>{I3aa>{i:;:96=4>{I3aa>{i:;:86=4>{I3aa>{i:;:?6=4>{I3aa>{i:;:>6=4>{I3aa>{i:;:=6=4>{I3aa>{i:;:<6=4>{I3aa>{i:;:36=4>{I3aa>{i:;:26=4>{I3aa>{i:;:j6=4>{I3aa>{i:;:i6=4>{I3aa>{i:;:h6=4>{I3aa>{i:;:o6=4>{I3aa>{i:;:n6=4>{I3aa>{i:;:m6=4>{I3aa>{i:;;;6=4>{I3aa>{i:;;:6=4>{I3aa>{i:;;96=4>{I3aa>{i:;;86=4>{I3aa>{i:;;?6=4>{I3aa>{i:;;>6=4>{I3aa>{i:;;=6=4>{I3aa>{i:;;<6=4>{I3aa>{i:;;36=4>{I3aa>{i:;;26=4>{I3aa>{i:;;j6=4>{I3aa>{i:;;i6=4>{I3aa>{i:;;h6=4>{I3aa>{i:;;o6=4>{I3aa>{i:;;n6=4>{I3aa>{i:;;m6=4>{I3aa>{i:;8;6=4>{I3aa>{i:;8:6=4>{I3aa>{i:;896=4>{I3aa>{i:;886=4>{I3aa>{i:;8?6=4>{I3aa>{i:;8>6=4>{I3aa>{i:;8=6=4>{I3aa>{i:;8<6=4>{I3aa>{i:;836=4>{I3aa>{i:;826=4>{I3aa>{i:;8j6=4>{I3aa>{i:;8i6=4>{I3aa>{i:;8h6=4>{I3aa>{i:;8o6=4>{I3aa>{i:;8n6=4>{I3aa>{i:;8m6=4>{I3aa>{i:;9;6=4>{I3aa>{i:;9:6=4>{I3aa>{i:;996=4>{I3aa>{i:;986=4>{I3aa>{i:;9?6=4>{I3aa>{i:;9>6=4>{I3aa>{i:;9=6=4>{I3aa>{i:;9<6=4>{I3aa>{i:;936=4>{I3aa>{i:;926=4>{I3aa>{i:;9j6=4>{I3aa>{i:;9i6=4>{I3aa>{i:;9h6=4>{I3aa>{i:;9o6=4>{I3aa>{i:;9n6=4>{I3aa>{i:;9m6=4>{I3aa>{i:;>;6=4>{I3aa>{i:;>:6=4>{I3aa>{i:;>96=4>{I3aa>{i:;>86=4>{I3aa>{i:;>?6=4>{I3aa>{i:;>>6=4>{I3aa>{i:;>=6=4>{I3aa>{i:;><6=4>{I3aa>{i:;>36=4>{I3aa>{i:;>26=4>{I3aa>{i:;>j6=4>{I3aa>{i:;>i6=4>{I3aa>{i:;>h6=4>{I3aa>{i:;>o6=4>{I3aa>{i:;>n6=4>{I3aa>{i:;>m6=4>{I3aa>{i:;?;6=4>{I3aa>{i:;?:6=4>{I3aa>{i:;?96=4>{I3aa>{i:;?86=4>{I3aa>{i:;??6=4>{I3aa>{i:;?>6=4>{I3aa>{i:;?=6=4>{I3aa>{i:;?<6=4>{I3aa>{i:;?36=4>{I3aa>{i:;?26=4>{I3aa>{i:;?j6=4>{I3aa>{i:;?i6=4>{I3aa>{i:;?h6=4>{I3aa>{i:;?o6=4>{I3aa>{i:;?n6=4>{I3aa>{i:;?m6=4>{I3aa>{i:;<;6=4>{I3aa>{i:;<:6=4>{I3aa>{i:;<96=4>{I3aa>{i:;<86=4>{I3aa>{i:;{I3aa>{i:;<>6=4>{I3aa>{i:;<=6=4>{I3aa>{i:;<<6=4>{I3aa>{i:;<36=4>{I3aa>{i:;<26=4>{I3aa>{i:;{I3aa>{i:;{I3aa>{i:;{I3aa>{i:;{I3aa>{i:;{I3aa>{i:;{I3aa>{i:;=;6=4>{I3aa>{i:;=:6=4>{I3aa>{i:;=96=4>{I3aa>{i:;=86=4>{I3aa>{i:;=?6=4>{I3aa>{i:;=>6=4>{I3aa>{i:;==6=4>{I3aa>{i:;=<6=4>{I3aa>{i:;=36=4>{I3aa>{i:;=26=4>{I3aa>{i:;=j6=4>{I3aa>{i:;=i6=4>{I3aa>{i:;=h6=4>{I3aa>{i:;=o6=4>{I3aa>{i:;=n6=4>{I3aa>{i:;=m6=4>{I3aa>{i:;2;6=4>{I3aa>{i:;2:6=4>{I3aa>{i:;296=4>{I3aa>{i:;286=4>{I3aa>{i:;2?6=4>{I3aa>{i:;2>6=4>{I3aa>{i:;2=6=4>{I3aa>{i:;2<6=4>{I3aa>{i:;236=4>{I3aa>{i:;226=4>{I3aa>{i:;2j6=4>{I3aa>{i:;2i6=4>{I3aa>{i:;2h6=4>{I3aa>{i:;2o6=4>{I3aa>{i:;2n6=4>{I3aa>{i:;2m6=4>{I3aa>{i:;3;6=4>{I3aa>{i:;3:6=4>{I3aa>{i:;396=4>{I3aa>{i:;386=4>{I3aa>{i:;3?6=4>{I3aa>{i:;3>6=4>{I3aa>{i:;3=6=4>{I3aa>{i:;3<6=4>{I3aa>{i:;336=4>{I3aa>{i:;326=4>{I3aa>{i:;3j6=4>{I3aa>{i:;3i6=4>{I3aa>{i:;3h6=4>{I3aa>{i:;3o6=4>{I3aa>{i:;3n6=4>{I3aa>{i:;3m6=4>{I3aa>{i:;k;6=4>{I3aa>{i:;k:6=4>{I3aa>{i:;k96=4>{I3aa>{i:;k86=4>{I3aa>{i:;k?6=4>{I3aa>{i:;k>6=4>{I3aa>{i:;k=6=4>{I3aa>{i:;k<6=4>{I3aa>{i:;k36=4>{I3aa>{i:;k26=4>{I3aa>{i:;kj6=4>{I3aa>{i:;ki6=4>{I3aa>{i:;kh6=4>{I3aa>{i:;ko6=4>{I3aa>{i:;kn6=4>{I3aa>{i:;km6=4>{I3aa>{i:;h;6=4>{I3aa>{i:;h:6=4>{I3aa>{i:;h96=4>{I3aa>{i:;h86=4>{I3aa>{i:;h?6=4>{I3aa>{i:;h>6=4>{I3aa>{i:;h=6=4>{I3aa>{i:;h<6=4>{I3aa>{i:;h36=4>{I3aa>{i:;h26=4>{I3aa>{i:;hj6=4>{I3aa>{i:;hi6=4>{I3aa>{i:;hh6=4>{I3aa>{i:;ho6=4>{I3aa>{i:;hn6=4>{I3aa>{i:;hm6=4>{I3aa>{i:;i;6=4>{I3aa>{i:;i:6=4>{I3aa>{i:;i96=4>{I3aa>{i:;i86=4>{I3aa>{i:;i?6=4>{I3aa>{i:;i>6=4>{I3aa>{i:;i=6=4>{I3aa>{i:;i<6=4>{I3aa>{i:;i36=4>{I3aa>{i:;i26=4>{I3aa>{i:;ij6=4>{I3aa>{i:;ii6=4>{I3aa>{i:;ih6=4>{I3aa>{i:;io6=4>{I3aa>{i:;in6=4>{I3aa>{i:;im6=4>{I3aa>{i:;n;6=4>{I3aa>{i:;n:6=4>{I3aa>{i:;n96=4>{I3aa>{i:;n86=4>{I3aa>{i:;n?6=4>{I3aa>{i:;n>6=4>{I3aa>{i:;n=6=4>{I3aa>{i:;n<6=4>{I3aa>{i:;n36=4>{I3aa>{i:;n26=4>{I3aa>{i:;nj6=4>{I3aa>{i:;ni6=4>{I3aa>{i:;nh6=4>{I3aa>{i:;no6=4>{I3aa>{i:;nn6=4>{I3aa>{i:;nm6=4>{I3aa>{i:;o;6=4>{I3aa>{i:;o:6=4>{I3aa>{i:;o96=4>{I3aa>{i:;o86=4>{I3aa>{i:;o?6=4>{I3aa>{i:;o>6=4>{I3aa>{i:;o=6=4>{I3aa>{i:;o<6=4>{I3aa>{i:;o36=4>{I3aa>{i:;o26=4>{I3aa>{i:;oj6=4>{I3aa>{i:;oi6=4>{I3aa>{i:;oh6=4>{I3aa>{i:;oo6=4>{I3aa>{i:;on6=4>{I3aa>{i:;om6=4>{I3aa>{i:;l;6=4>{I3aa>{i:;l:6=4>{I3aa>{i:;l96=4>{I3aa>{i:;l86=4>{I3aa>{i:;l?6=4>{I3aa>{i:;l>6=4>{I3aa>{i:;l=6=4>{I3aa>{i:;l<6=4>{I3aa>{i:;l36=4>{I3aa>{i:;l26=4>{I3aa>{i:;lj6=4>{I3aa>{i:;li6=4>{I3aa>{i:;lh6=4>{I3aa>{i:;lo6=4>{I3aa>{i:;ln6=4>{I3aa>{i:;lm6=4>{I3aa>{i:::;6=4>{I3aa>{i::::6=4>{I3aa>{i:::96=4>{I3aa>{i:::86=4>{I3aa>{i:::?6=4>{I3aa>{i:::>6=4>{I3aa>{i:::=6=4>{I3aa>{i:::<6=4>{I3aa>{i:::36=4>{I3aa>{i:::26=4>{I3aa>{i:::j6=4>{I3aa>{i:::i6=4>{I3aa>{i:::h6=4>{I3aa>{i:::o6=4>{I3aa>{i:::n6=4>{I3aa>{i:::m6=4>{I3aa>{i::;;6=4>{I3aa>{i::;:6=4>{I3aa>{i::;96=4>{I3aa>{i::;86=4>{I3aa>{i::;?6=4>{I3aa>{i::;>6=4>{I3aa>{i::;=6=4>{I3aa>{i::;<6=4>{I3aa>{i::;36=4>{I3aa>{i::;26=4>{I3aa>{i::;j6=4>{I3aa>{i::;i6=4>{I3aa>{i::;h6=4>{I3aa>{i::;o6=4>{I3aa>{i::;n6=4>{I3aa>{i::;m6=4>{I3aa>{i::8;6=4>{I3aa>{i::8:6=4>{I3aa>{i::896=4>{I3aa>{i::886=4>{I3aa>{i::8?6=4>{I3aa>{i::8>6=4>{I3aa>{i::8=6=4>{I3aa>{i::8<6=4>{I3aa>{i::836=4>{I3aa>{i::826=4>{I3aa>{i::8j6=4>{I3aa>{i::8i6=4>{I3aa>{i::8h6=4>{I3aa>{i::8o6=4>{I3aa>{i::8n6=4>{I3aa>{i::8m6=4>{I3aa>{i::9;6=4>{I3aa>{i::9:6=4>{I3aa>{i::996=4>{I3aa>{i::986=4>{I3aa>{i::9?6=4>{I3aa>{i::9>6=4>{I3aa>{i::9=6=4>{I3aa>{i::9<6=4>{I3aa>{i::936=4>{I3aa>{i::926=4>{I3aa>{i::9j6=4>{I3aa>{i::9i6=4>{I3aa>{i::9h6=4>{I3aa>{i::9o6=4>{I3aa>{i::9n6=4>{I3aa>{i::9m6=4>{I3aa>{i::>;6=4>{I3aa>{i::>:6=4>{I3aa>{i::>96=4>{I3aa>{i::>86=4>{I3aa>{i::>?6=4>{I3aa>{i::>>6=4>{I3aa>{i::>=6=4>{I3aa>{i::><6=4>{I3aa>{i::>36=4>{I3aa>{i::>26=4>{I3aa>{i::>j6=4>{I3aa>{i::>i6=4>{I3aa>{i::>h6=4>{I3aa>{i::>o6=4>{I3aa>{i::>n6=4>{I3aa>{i::>m6=4>{I3aa>{i::?;6=4>{I3aa>{i::?:6=4>{I3aa>{i::?96=4>{I3aa>{i::?86=4>{I3aa>{i::??6=4>{I3aa>{i::?>6=4>{I3aa>{i::?=6=4>{I3aa>{i::?<6=4>{I3aa>{i::?36=4>{I3aa>{i::?26=4>{I3aa>{i::?j6=4>{I3aa>{i::?i6=4>{I3aa>{i::?h6=4>{I3aa>{i::?o6=4>{I3aa>{i::?n6=4>{I3aa>{i::?m6=4>{I3aa>{i::<;6=4>{I3aa>{i::<:6=4>{I3aa>{i::<96=4>{I3aa>{i::<86=4>{I3aa>{i::{I3aa>{i::<>6=4>{I3aa>{i::<=6=4>{I3aa>{i::<<6=4>{I3aa>{i::<36=4>{I3aa>{i::<26=4>{I3aa>{i::{I3aa>{i::{I3aa>{i::{I3aa>{i::{I3aa>{i::{I3aa>{i::{I3aa>{i::=;6=4>{I3aa>{i::=:6=4>{I3aa>{i::=96=4>{I3aa>{i::=86=4>{I3aa>{i::=?6=4>{I3aa>{i::=>6=4>{I3aa>{i::==6=4>{I3aa>{i::=<6=4>{I3aa>{i::=36=4>{I3aa>{i::=26=4>{I3aa>{i::=j6=4>{I3aa>{i::=i6=4>{I3aa>{i::=h6=4>{I3aa>{i::=o6=4>{I3aa>{i::=n6=4>{I3aa>{i::=m6=4>{I3aa>{i::2;6=4>{I3aa>{i::2:6=4>{I3aa>{i::296=4>{I3aa>{i::286=4>{I3aa>{i::2?6=4>{I3aa>{i::2>6=4>{I3aa>{i::2=6=4>{I3aa>{i::2<6=4>{I3aa>{i::236=4>{I3aa>{i::226=4>{I3aa>{i::2j6=4>{I3aa>{i::2i6=4>{I3aa>{i::2h6=4>{I3aa>{i::2o6=4>{I3aa>{i::2n6=4>{I3aa>{i::2m6=4>{I3aa>{i::3;6=4>{I3aa>{i::3:6=4>{I3aa>{i::396=4>{I3aa>{i::386=4>{I3aa>{i::3?6=4>{I3aa>{i::3>6=4>{I3aa>{i::3=6=4>{I3aa>{i::3<6=4>{I3aa>{i::336=4>{I3aa>{i::326=4>{I3aa>{i::3j6=4>{I3aa>{i::3i6=4>{I3aa>{i::3h6=4>{I3aa>{i::3o6=4>{I3aa>{i::3n6=4>{I3aa>{i::3m6=4>{I3aa>{i::k;6=4>{I3aa>{i::k:6=4>{I3aa>{i::k96=4>{I3aa>{i::k86=4>{I3aa>{i::k?6=4>{I3aa>{i::k>6=4>{I3aa>{i::k=6=4>{I3aa>{i::k<6=4>{I3aa>{i::k36=4>{I3aa>{i::k26=4>{I3aa>{i::kj6=4>{I3aa>{i::ki6=4>{I3aa>{i::kh6=4>{I3aa>{i::ko6=4>{I3aa>{i::kn6=4>{I3aa>{i::km6=4>{I3aa>{i::h;6=4>{I3aa>{i::h:6=4>{I3aa>{i::h96=4>{I3aa>{i::h86=4>{I3aa>{i::h?6=4>{I3aa>{i::h>6=4>{I3aa>{i::h=6=4>{I3aa>{i::h<6=4>{I3aa>{i::h36=4>{I3aa>{i::h26=4>{I3aa>{i::hj6=4>{I3aa>{i::hi6=4>{I3aa>{i::hh6=4>{I3aa>{i::ho6=4>{I3aa>{i::hn6=4>{I3aa>{i::hm6=4>{I3aa>{i::i;6=4>{I3aa>{i::i:6=4>{I3aa>{i::i96=4>{I3aa>{i::i86=4>{I3aa>{i::i?6=4>{I3aa>{i::i>6=4>{I3aa>{i::i=6=4>{I3aa>{i::i<6=4>{I3aa>{i::i36=4>{I3aa>{i::i26=4>{I3aa>{i::ij6=4>{I3aa>{i::ii6=4>{I3aa>{i::ih6=4>{I3aa>{i::io6=4>{I3aa>{i::in6=4>{I3aa>{i::im6=4>{I3aa>{i::n;6=4>{I3aa>{i::n:6=4>{I3aa>{i::n96=4>{I3aa>{i::n86=4>{I3aa>{i::n?6=4>{I3aa>{i::n>6=4>{I3aa>{i::n=6=4>{I3aa>{i::n<6=4>{I3aa>{i::n36=4>{I3aa>{i::n26=4>{I3aa>{i::nj6=4>{I3aa>{i::ni6=4>{I3aa>{i::nh6=4>{I3aa>{i::no6=4>{I3aa>{i::nn6=4>{I3aa>{i::nm6=4>{I3aa>{i::o;6=4>{I3aa>{i::o:6=4>{I3aa>{i::o96=4>{I3aa>{i::o86=4>{I3aa>{i::o?6=4>{I3aa>{i::o>6=4>{I3aa>{i::o=6=4>{I3aa>{i::o<6=4>{I3aa>{i::o36=4>{I3aa>{i::o26=4>{I3aa>{i::oj6=4>{I3aa>{i::oi6=4>{I3aa>{i::oh6=4>{I3aa>{i::oo6=4>{I3aa>{i::on6=4>{I3aa>{i::om6=4>{I3aa>{i::l;6=4>{I3aa>{i::l:6=4>{I3aa>{i::l96=4>{I3aa>{i::l86=4>{I3aa>{i::l?6=4>{I3aa>{i::l>6=4>{I3aa>{i::l=6=4>{I3aa>{i::l<6=4>{I3aa>{i::l36=4>{I3aa>{i::l26=4>{I3aa>{i::lj6=4>{I3aa>{i::li6=4>{I3aa>{i::lh6=4>{I3aa>{i::lo6=4>{I3aa>{i::ln6=4>{I3aa>{i::lm6=4>{I3aa>{i:=:;6=4>{I3aa>{i:=::6=4>{I3aa>{i:=:96=4>{I3aa>{i:=:86=4>{I3aa>{i:=:?6=4>{I3aa>{i:=:>6=4>{I3aa>{i:=:=6=4>{I3aa>{i:=:<6=4>{I3aa>{i:=:36=4>{I3aa>{i:=:26=4>{I3aa>{i:=:j6=4>{I3aa>{i:=:i6=4>{I3aa>{i:=:h6=4>{I3aa>{i:=:o6=4>{I3aa>{i:=:n6=4>{I3aa>{i:=:m6=4>{I3aa>{i:=;;6=4>{I3aa>{i:=;:6=4>{I3aa>{i:=;96=4>{I3aa>{i:=;86=4>{I3aa>{i:=;?6=4>{I3aa>{i:=;>6=4>{I3aa>{i:=;=6=4>{I3aa>{i:=;<6=4>{I3aa>{i:=;36=4>{I3aa>{i:=;26=4>{I3aa>{i:=;j6=4>{I3aa>{i:=;i6=4>{I3aa>{i:=;h6=4>{I3aa>{i:=;o6=4>{I3aa>{i:=;n6=4>{I3aa>{i:=;m6=4>{I3aa>{i:=8;6=4>{I3aa>{i:=8:6=4>{I3aa>{i:=896=4>{I3aa>{i:=886=4>{I3aa>{i:=8?6=4>{I3aa>{i:=8>6=4>{I3aa>{i:=8=6=4>{I3aa>{i:=8<6=4>{I3aa>{i:=836=4>{I3aa>{i:=826=4>{I3aa>{i:=8j6=4>{I3aa>{i:=8i6=4>{I3aa>{i:=8h6=4>{I3aa>{i:=8o6=4>{I3aa>{i:=8n6=4>{I3aa>{i:=8m6=4>{I3aa>{i:=9;6=4>{I3aa>{i:=9:6=4>{I3aa>{i:=996=4>{I3aa>{i:=986=4>{I3aa>{i:=9?6=4>{I3aa>{i:=9>6=4>{I3aa>{i:=9=6=4>{I3aa>{i:=9<6=4>{I3aa>{i:=936=4>{I3aa>{i:=926=4>{I3aa>{i:=9j6=4>{I3aa>{i:=9i6=4>{I3aa>{i:=9h6=4>{I3aa>{i:=9o6=4>{I3aa>{i:=9n6=4>{I3aa>{i:=9m6=4>{I3aa>{i:=>;6=4>{I3aa>{i:=>:6=4>{I3aa>{i:=>96=4>{I3aa>{i:=>86=4>{I3aa>{i:=>?6=4>{I3aa>{i:=>>6=4>{I3aa>{i:=>=6=4>{I3aa>{i:=><6=4>{I3aa>{i:=>36=4>{I3aa>{i:=>26=4>{I3aa>{i:=>j6=4>{I3aa>{i:=>i6=4>{I3aa>{i:=>h6=4>{I3aa>{i:=>o6=4>{I3aa>{i:=>n6=4>{I3aa>{i:=>m6=4>{I3aa>{i:=?;6=4>{I3aa>{i:=?:6=4>{I3aa>{i:=?96=4>{I3aa>{i:=?86=4>{I3aa>{i:=??6=4>{I3aa>{i:=?>6=4>{I3aa>{i:=?=6=4>{I3aa>{i:=?<6=4>{I3aa>{i:=?36=4>{I3aa>{i:=?26=4>{I3aa>{i:=?j6=4>{I3aa>{i:=?i6=4>{I3aa>{i:=?h6=4>{I3aa>{i:=?o6=4>{I3aa>{i:=?n6=4>{I3aa>{i:=?m6=4>{I3aa>{i:=<;6=4>{I3aa>{i:=<:6=4>{I3aa>{i:=<96=4>{I3aa>{i:=<86=4>{I3aa>{i:={I3aa>{i:=<>6=4>{I3aa>{i:=<=6=4>{I3aa>{i:=<<6=4>{I3aa>{i:=<36=4>{I3aa>{i:=<26=4>{I3aa>{i:={I3aa>{i:={I3aa>{i:={I3aa>{i:={I3aa>{i:={I3aa>{i:={I3aa>{i:==;6=4>{I3aa>{i:==:6=4>{I3aa>{i:==96=4>{I3aa>{i:==86=4>{I3aa>{i:==?6=4>{I3aa>{i:==>6=4>{I3aa>{i:===6=4>{I3aa>{i:==<6=4>{I3aa>{i:==36=4>{I3aa>{i:==26=4>{I3aa>{i:==j6=4>{I3aa>{i:==i6=4>{I3aa>{i:==h6=4>{I3aa>{i:==o6=4>{I3aa>{i:==n6=4>{I3aa>{i:==m6=4>{I3aa>{i:=2;6=4>{I3aa>{i:=2:6=4>{I3aa>{i:=296=4>{I3aa>{i:=286=4>{I3aa>{i:=2?6=4>{I3aa>{i:=2>6=4>{I3aa>{i:=2=6=4>{I3aa>{i:=2<6=4>{I3aa>{i:=236=4>{I3aa>{i:=226=4>{I3aa>{i:=2j6=4>{I3aa>{i:=2i6=4>{I3aa>{i:=2h6=4>{I3aa>{i:=2o6=4>{I3aa>{i:=2n6=4>{I3aa>{i:=2m6=4>{I3aa>{i:=3;6=4>{I3aa>{i:=3:6=4>{I3aa>{i:=396=4>{I3aa>{i:=386=4>{I3aa>{i:=3?6=4>{I3aa>{i:=3>6=4>{I3aa>{i:=3=6=4>{I3aa>{i:=3<6=4>{I3aa>{i:=336=4>{I3aa>{i:=326=4>{I3aa>{i:=3j6=4>{I3aa>{i:=3i6=4>{I3aa>{i:=3h6=4>{I3aa>{i:=3o6=4>{I3aa>{i:=3n6=4>{I3aa>{i:=3m6=4>{I3aa>{i:=k;6=4>{I3aa>{i:=k:6=4>{I3aa>{i:=k96=4>{I3aa>{i:=k86=4>{I3aa>{i:=k?6=4>{I3aa>{i:=k>6=4>{I3aa>{i:=k=6=4>{I3aa>{i:=k<6=4>{I3aa>{i:=k36=4>{I3aa>{i:=k26=4>{I3aa>{i:=kj6=4>{I3aa>{i:=ki6=4>{I3aa>{i:=kh6=4>{I3aa>{i:=ko6=4>{I3aa>{i:=kn6=4>{I3aa>{i:=km6=4>{I3aa>{i:=h;6=4>{I3aa>{i:=h:6=4>{I3aa>{i:=h96=4>{I3aa>{i:=h86=4>{I3aa>{i:=h?6=4>{I3aa>{i:=h>6=4>{I3aa>{i:=h=6=4>{I3aa>{i:=h<6=4>{I3aa>{i:=h36=4>{I3aa>{i:=h26=4>{I3aa>{i:=hj6=4>{I3aa>{i:=hi6=4>{I3aa>{i:=hh6=4>{I3aa>{i:=ho6=4>{I3aa>{i:=hn6=4>{I3aa>{i:=hm6=4>{I3aa>{i:=i;6=4>{I3aa>{i:=i:6=4>{I3aa>{i:=i96=4>{I3aa>{i:=i86=4>{I3aa>{i:=i?6=4>{I3aa>{i:=i>6=4>{I3aa>{i:=i=6=4>{I3aa>{i:=i<6=4>{I3aa>{i:=i36=4>{I3aa>{i:=i26=4>{I3aa>{i:=ij6=4>{I3aa>{i:=ii6=4>{I3aa>{i:=ih6=4>{I3aa>{i:=io6=4>{I3aa>{i:=in6=4>{I3aa>{i:=im6=4>{I3aa>{i:=n;6=4>{I3aa>{i:=n:6=4>{I3aa>{i:=n96=4>{I3aa>{i:=n86=4>{I3aa>{i:=n?6=4>{I3aa>{i:=n>6=4>{I3aa>{i:=n=6=4>{I3aa>{i:=n<6=4>{I3aa>{i:=n36=4>{I3aa>{i:=n26=4>{I3aa>{i:=nj6=4>{I3aa>{i:=ni6=4>{I3aa>{i:=nh6=4>{I3aa>{i:=no6=4>{I3aa>{i:=nn6=4>{I3aa>{i:=nm6=4>{I3aa>{i:=o;6=4>{I3aa>{i:=o:6=4>{I3aa>{i:=o96=4>{I3aa>{i:=o86=4>{I3aa>{i:=o?6=4>{I3aa>{i:=o>6=4>{I3aa>{i:=o=6=4>{I3aa>{i:=o<6=4>{I3aa>{i:=o36=4>{I3aa>{i:=o26=4>{I3aa>{i:=oj6=4>{I3aa>{i:=oi6=4>{I3aa>{i:=oh6=4>{I3aa>{i:=oo6=4>{I3aa>{i:=on6=4>{I3aa>{i:=om6=4>{I3aa>{i:=l;6=4>{I3aa>{i:=l:6=4>{I3aa>{i:=l96=4>{I3aa>{i:=l86=4>{I3aa>{i:=l?6=4>{I3aa>{i:=l>6=4>{I3aa>{i:=l=6=4>{I3aa>{i:=l<6=4>{I3aa>{i:=l36=4>{I3aa>{i:=l26=4>{I3aa>{i:=lj6=4>{I3aa>{i:=li6=4>{I3aa>{i:=lh6=4>{I3aa>{i:=lo6=4>{I3aa>{i:=ln6=4>{I3aa>{i:=lm6=4>{I3aa>{i:<:;6=4>{I3aa>{i:<::6=4>{I3aa>{i:<:96=4>{I3aa>{i:<:86=4>{I3aa>{i:<:?6=4>{I3aa>{i:<:>6=4>{I3aa>{i:<:=6=4>{I3aa>{i:<:<6=4>{I3aa>{i:<:36=4>{I3aa>{i:<:26=4>{I3aa>{i:<:j6=4>{I3aa>{i:<:i6=4>{I3aa>{i:<:h6=4>{I3aa>{i:<:o6=4>{I3aa>{i:<:n6=4>{I3aa>{i:<:m6=4>{I3aa>{i:<;;6=4>{I3aa>{i:<;:6=4>{I3aa>{i:<;96=4>{I3aa>{i:<;86=4>{I3aa>{i:<;?6=4>{I3aa>{i:<;>6=4>{I3aa>{i:<;=6=4>{I3aa>{i:<;<6=4>{I3aa>{i:<;36=4>{I3aa>{i:<;26=4>{I3aa>{i:<;j6=4>{I3aa>{i:<;i6=4>{I3aa>{i:<;h6=4>{I3aa>{i:<;o6=4>{I3aa>{i:<;n6=4>{I3aa>{i:<;m6=4>{I3aa>{i:<8;6=4>{I3aa>{i:<8:6=4>{I3aa>{i:<896=4>{I3aa>{i:<886=4>{I3aa>{i:<8?6=4>{I3aa>{i:<8>6=4>{I3aa>{i:<8=6=4>{I3aa>{i:<8<6=4>{I3aa>{i:<836=4>{I3aa>{i:<826=4>{I3aa>{i:<8j6=4>{I3aa>{i:<8i6=4>{I3aa>{i:<8h6=4>{I3aa>{i:<8o6=4>{I3aa>{i:<8n6=4>{I3aa>{i:<8m6=4>{I3aa>{i:<9;6=4>{I3aa>{i:<9:6=4>{I3aa>{i:<996=4>{I3aa>{i:<986=4>{I3aa>{i:<9?6=4>{I3aa>{i:<9>6=4>{I3aa>{i:<9=6=4>{I3aa>{i:<9<6=4>{I3aa>{i:<936=4>{I3aa>{i:<926=4>{I3aa>{i:<9j6=4>{I3aa>{i:<9i6=4>{I3aa>{i:<9h6=4>{I3aa>{i:<9o6=4>{I3aa>{i:<9n6=4>{I3aa>{i:<9m6=4>{I3aa>{i:<>;6=4>{I3aa>{i:<>:6=4>{I3aa>{i:<>96=4>{I3aa>{i:<>86=4>{I3aa>{i:<>?6=4>{I3aa>{i:<>>6=4>{I3aa>{i:<>=6=4>{I3aa>{i:<><6=4>{I3aa>{i:<>36=4>{I3aa>{i:<>26=4>{I3aa>{i:<>j6=4>{I3aa>{i:<>i6=4>{I3aa>{i:<>h6=4>{I3aa>{i:<>o6=4>{I3aa>{i:<>n6=4>{I3aa>{i:<>m6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:<<;6=4>{I3aa>{i:<<:6=4>{I3aa>{i:<<96=4>{I3aa>{i:<<86=4>{I3aa>{i:<{I3aa>{i:<<>6=4>{I3aa>{i:<<=6=4>{I3aa>{i:<<<6=4>{I3aa>{i:<<36=4>{I3aa>{i:<<26=4>{I3aa>{i:<{I3aa>{i:<{I3aa>{i:<{I3aa>{i:<{I3aa>{i:<{I3aa>{i:<{I3aa>{i:<=;6=4>{I3aa>{i:<=:6=4>{I3aa>{i:<=96=4>{I3aa>{i:<=86=4>{I3aa>{i:<=?6=4>{I3aa>{i:<=>6=4>{I3aa>{i:<==6=4>{I3aa>{i:<=<6=4>{I3aa>{i:<=36=4>{I3aa>{i:<=26=4>{I3aa>{i:<=j6=4>{I3aa>{i:<=i6=4>{I3aa>{i:<=h6=4>{I3aa>{i:<=o6=4>{I3aa>{i:<=n6=4>{I3aa>{i:<=m6=4>{I3aa>{i:<2;6=4>{I3aa>{i:<2:6=4>{I3aa>{i:<296=4>{I3aa>{i:<286=4>{I3aa>{i:<2?6=4>{I3aa>{i:<2>6=4>{I3aa>{i:<2=6=4>{I3aa>{i:<2<6=4>{I3aa>{i:<236=4>{I3aa>{i:<226=4>{I3aa>{i:<2j6=4>{I3aa>{i:<2i6=4>{I3aa>{i:<2h6=4>{I3aa>{i:<2o6=4>{I3aa>{i:<2n6=4>{I3aa>{i:<2m6=4>{I3aa>{i:<3;6=4>{I3aa>{i:<3:6=4>{I3aa>{i:<396=4>{I3aa>{i:<386=4>{I3aa>{i:<3?6=4>{I3aa>{i:<3>6=4>{I3aa>{i:<3=6=4>{I3aa>{i:<3<6=4>{I3aa>{i:<336=4>{I3aa>{i:<326=4>{I3aa>{i:<3j6=4>{I3aa>{i:<3i6=4>{I3aa>{i:<3h6=4>{I3aa>{i:<3o6=4>{I3aa>{i:<3n6=4>{I3aa>{i:<3m6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:6=4>{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:{I3aa>{i:?:;6=4>{I3aa>{i:?::6=4>{I3aa>{i:?:96=4>{I3aa>{i:?:86=4>{I3aa>{i:?:?6=4>{I3aa>{i:?:>6=4>{I3aa>{i:?:=6=4>{I3aa>{i:?:<6=4>{I3aa>{i:?:36=4>{I3aa>{i:?:26=4>{I3aa>{i:?:j6=4>{I3aa>{i:?:i6=4>{I3aa>{i:?:h6=4>{I3aa>{i:?:o6=4>{I3aa>{i:?:n6=4>{I3aa>{i:?:m6=4>{I3aa>{i:?;;6=4>{I3aa>{i:?;:6=4>{I3aa>{i:?;96=4>{I3aa>{i:?;86=4>{I3aa>{i:?;?6=4>{I3aa>{i:?;>6=4>{I3aa>{i:?;=6=4>{I3aa>{i:?;<6=4>{I3aa>{i:?;36=4>{I3aa>{i:?;26=4>{I3aa>{i:?;j6=4>{I3aa>{i:?;i6=4>{I3aa>{i:?;h6=4>{I3aa>{i:?;o6=4>{I3aa>{i:?;n6=4>{I3aa>{i:?;m6=4>{I3aa>{i:?8;6=4>{I3aa>{i:?8:6=4>{I3aa>{i:?896=4>{I3aa>{i:?886=4>{I3aa>{i:?8?6=4>{I3aa>{i:?8>6=4>{I3aa>{i:?8=6=4>{I3aa>{i:?8<6=4>{I3aa>{i:?836=4>{I3aa>{i:?826=4>{I3aa>{i:?8j6=4>{I3aa>{i:?8i6=4>{I3aa>{i:?8h6=4>{I3aa>{i:?8o6=4>{I3aa>{i:?8n6=4>{I3aa>{i:?8m6=4>{I3aa>{i:?9;6=4>{I3aa>{i:?9:6=4>{I3aa>{i:?996=4>{I3aa>{i:?986=4>{I3aa>{i:?9?6=4>{I3aa>{i:?9>6=4>{I3aa>{i:?9=6=4>{I3aa>{i:?9<6=4>{I3aa>{i:?936=4>{I3aa>{i:?926=4>{I3aa>{i:?9j6=4>{I3aa>{i:?9i6=4>{I3aa>{i:?9h6=4>{I3aa>{i:?9o6=4>{I3aa>{i:?9n6=4>{I3aa>{i:?9m6=4>{I3aa>{i:?>;6=4>{I3aa>{i:?>:6=4>{I3aa>{i:?>96=4>{I3aa>{i:?>86=4>{I3aa>{i:?>?6=4>{I3aa>{i:?>>6=4>{I3aa>{i:?>=6=4>{I3aa>{i:?><6=4>{I3aa>{i:?>36=4>{I3aa>{i:?>26=4>{I3aa>{i:?>j6=4>{I3aa>{i:?>i6=4>{I3aa>{i:?>h6=4>{I3aa>{i:?>o6=4>{I3aa>{i:?>n6=4>{I3aa>{i:?>m6=4>{I3aa>{i:??;6=4>{I3aa>{i:??:6=4>{I3aa>{i:??96=4>{I3aa>{i:??86=4>{I3aa>{i:???6=4>{I3aa>{i:??>6=4>{I3aa>{i:??=6=4>{I3aa>{i:??<6=4>{I3aa>{i:??36=4>{I3aa>{i:??26=4>{I3aa>{i:??j6=4>{I3aa>{i:??i6=4>{I3aa>{i:??h6=4>{I3aa>{i:??o6=4>{I3aa>{i:??n6=4>{I3aa>{i:??m6=4>{I3aa>{i:?<;6=4>{I3aa>{i:?<:6=4>{I3aa>{i:?<96=4>{I3aa>{i:?<86=4>{I3aa>{i:?{I3aa>{i:?<>6=4>{I3aa>{i:?<=6=4>{I3aa>{i:?<<6=4>{I3aa>{i:?<36=4>{I3aa>{i:?<26=4>{I3aa>{i:?{I3aa>{i:?{I3aa>{i:?{I3aa>{i:?{I3aa>{i:?{I3aa>{i:?{I3aa>{i:?=;6=4>{I3aa>{i:?=:6=4>{I3aa>{i:?=96=4>{I3aa>{i:?=86=4>{I3aa>{i:?=?6=4>{I3aa>{i:?=>6=4>{I3aa>{i:?==6=4>{I3aa>{i:?=<6=4>{I3aa>{i:?=36=4>{I3aa>{i:?=26=4>{I3aa>{i:?=j6=4>{I3aa>{i:?=i6=4>{I3aa>{i:?=h6=4>{I3aa>{i:?=o6=4>{I3aa>{i:?=n6=4>{I3aa>{i:?=m6=4>{I3aa>{i:?2;6=4>{I3aa>{i:?2:6=4>{I3aa>{i:?296=4>{I3aa>{i:?286=4>{I3aa>{i:?2?6=4>{I3aa>{i:?2>6=4>{I3aa>{i:?2=6=4>{I3aa>{i:?2<6=4>{I3aa>{i:?236=4>{I3aa>{i:?226=4>{I3aa>{i:?2j6=4>{I3aa>{i:?2i6=4>{I3aa>{i:?2h6=4>{I3aa>{i:?2o6=4>{I3aa>{i:?2n6=4>{I3aa>{i:?2m6=4>{I3aa>{i:?3;6=4>{I3aa>{i:?3:6=4>{I3aa>{i:?396=4>{I3aa>{i:?386=4>{I3aa>{i:?3?6=4>{I3aa>{i:?3>6=4>{I3aa>{i:?3=6=4>{I3aa>{i:?3<6=4>{I3aa>{i:?336=4>{I3aa>{i:?326=4>{I3aa>{i:?3j6=4>{I3aa>{i:?3i6=4>{I3aa>{i:?3h6=4>{I3aa>{i:?3o6=4>{I3aa>{i:?3n6=4>{I3aa>{i:?3m6=4>{I3aa>{i:?k;6=4>{I3aa>{i:?k:6=4>{I3aa>{i:?k96=4>{I3aa>{i:?k86=4>{I3aa>{i:?k?6=4>{I3aa>{i:?k>6=4>{I3aa>{i:?k=6=4>{I3aa>{i:?k<6=4>{I3aa>{i:?k36=4>{I3aa>{i:?k26=4>{I3aa>{i:?kj6=4>{I3aa>{i:?ki6=4>{I3aa>{i:?kh6=4>{I3aa>{i:?ko6=4>{I3aa>{i:?kn6=4>{I3aa>{i:?km6=4>{I3aa>{i:?h;6=4>{I3aa>{i:?h:6=4>{I3aa>{i:?h96=4>{I3aa>{i:?h86=4>{I3aa>{i:?h?6=4>{I3aa>{i:?h>6=4>{I3aa>{i:?h=6=4>{I3aa>{i:?h<6=4>{I3aa>{i:?h36=4>{I3aa>{i:?h26=4>{I3aa>{i:?hj6=4>{I3aa>{zutJKOv?0123456789:;=<>40123456789:;<=>>c:23456789:;<?0018457638;87<>5IORVP?Bf|hK757>112924?OIX\^1HlznB=;94;7238:1CXZ_UU8Qavsk|531<3?;;029gjsi|Vz~`~k|<883:7g<990hcx`{_qwow`u\1TULBIQ>1^m\eabX=VE^X1750?33?47789:;<<>?0123470<9;0LBIQ=6^m\CKBX:?UdSljk_021[JSS48;1<3<9;009CKBX:?UdSJ@K_34\kZgclV;;4RAZT=32>585>2;96J@K_34\kZAILV8=SbQnde]206YH]]6:=7>127926?AILV8=SbQHNE]12ZiXimnT=97POTV?54<76;<0=?4HNE]12ZiXOGNT>;Q`_`fg[4>3WF__0;Q`_FLG[70XgVkohR?60^MVP9762949:6?=:FLG[70XgVMEHR<9_n]b`aY5:1;2=63=6:3MEHR<9_n]DJAY5>VeTmijP223\KPR;980;2?;4138DJAY5>VeTKCJP27]l[dbcW?;TCXZ31083:73<9;0LBIQ=6^m\CKBX:?UdSljk_75\KPR;980;2?84138DJAY5>VeTKCJP27]l[hsW8:2SB[[<0394;413881KCJP27]l[BHCW;BHCW;25?69:?1:>7IAD^05[jY@FMU9:RaPmtz\664XG\^7=<4?>37857<@FMU9:RaPGOF\63YhWdsS;6POTV?54<768>0=?4FNQWW>Agsi96:=7>115926?OIX\^1Hlzn1=32>586<2;96D@_UU8GeqgF48;1<3?;;009MKVR\3NjxlL31083:40<9;0DYY^ZT;Pfwpjs48;1<3?:;009KPRW]]0jhi|N<0394;`<9;0Tb=;?7=32>58a3881Sc>:09>25?69n2;96R`?500?54<76o1:>7Qa0435847=87l0=?4Pn1723976294m7<<5_o2664:693:5j6?=:^l3174;980;2k5>2;]m404148;1<3h4138\j535?5;:6=0i;009[k62;86:=7>1f:31>Zh7=:87=<4?>g926?Yi8<9=0:18<2>1;2=b>752Vd;99<31083:c=6:3Ue<8:9<0394;`<9;0Tb=;;7=32>58a3881Sc>:50>25?69n2;96R`?540?54<76o1:>7Qa04:;847=87l0=?4Pn17;=976294m7<<5_o26=5:693:5j6?=:^l31<7;980;2k5>2;]m40?548;1<3h4138\j53>;5;:6=0i;009[k621=6:=7>1f:31>Zh7=0?7=<4?>g926?Yi8<3=0752{oxyaQbel]jjqcufhfTmxv325<\v`urdVgnaRgatdpmeiYf}q6993Q}erwo[hcjW`di`nl^cv|9416VxnxbPmdo\mkrbzgkgSl{w<35=[wct}eUfi`QfnugqjdjXi|r7>50PrdqvhZkbeVcexh|aam]bq}:517Uyi~{c_lgn[lhsm{dj`Rozx=13:Ztb{|fTahcPiovfvkgkWhs0>?1_sgpqiYjmdUbbyk}n`n\ep~;;;4T~h}zl^ofiZoi|lxemaQnuy>07;YumzgS`kb_hlwawhfdVk~t1=;>^pfwpjXelgTeczjroco[ds48;1<3?<;009wdkwWje~by2>1;2=6g=6:3yja}Qlotlw_47ZWNDOS<WF__0>;?7<85IORVP?Bf|hK7=84?>0685321?699?1::7AZTQWW>Wct}e~7=84?>06853=>BH{>21?69:h1::7m`nu]145KOrR;>QRIAD^7\kZgclV;TCXZ31483:42<910BB][[:EcweD:6?3:5=95>8;KMTPR=Lh~jN1?8:1<22>7?2F__\XZ5Rdqvhq:6?3:5=95>8;alqkrXkeehg1?8:1<1g>7?2je~byQllnah_41ZWNDOS0>94FNQWW>Agsi;69?7>115910?OIX\^1Hlzn3=00>586<28?6D@_UU8Geqg34;91<3?;;369MKVR\3Njxl;32283:42<:=0BB][[:Ecwe3:5;3:5=95=4;KMTPR=Lh~j;1<<:1<22>432F__\XZ5Rdqvhq:5;3:58=5=4;famquXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]20ZIR\5886=0>b:07>wct}eUfi`Qfnugqjdj;::0;2<642;akjZdn|zUjo|Qmiu2?5?6991196nfa_ckwwZgdyVhbx<2>:1<2<>4=kadTndz|_`ar[gos:5;1<3?:;38geqgXk|xTyo{e=394;e<:3z{R|31;2=57=42@D[YY4Kauc387<76880?7GAPTV9@drf9581<3?=;28JJUSS2MkmL2=:1<26>5=AGZ^X7Jnt`@?6?6999186D@_UU8Pai:72;4:56=5IORVP?eofVhbx~Qncp>1>586=291EC^ZT;fbpdYd`8696=0>5:19MKVR\3njxlQlh3>1>586=291EC^ZT;fbpdYd`:696=0>5:19MKVR\3njxlQlh5>1>586=291EC^ZT;fbpdYd`<696=0>4:19KPRW]]0Yi~{ct=094;743:0hdcQmiuq\efw;:3:5==5<:bnh[devW{696=0>4:19gjsi|VicSow{e=094;4d3:0hcx`{_bj\f|rbS;WTKCJP27]l[dbcW;?9SB[[<383:7e<;3idyczPci]a}qc\:TULBIQ=6^m\ip~X:<>TCXZ32;2=b>5=lh~jSnf><383:c=42mkmRmg2=094;`<;3njxlQlh2>1>58a3:0omyoPci6?6?69n291hlzn_bj687<768>0?7jmiuq\gjsi|lx7>7>12c90>adn|zUhcx`{esY1YZAILV;9SbQnde]27ZIR\581<3=?;28gflrtWje~byk}[3_\CKBX9;UdSzgke^ov|Z72WF__0?4?>09751=383CE\XZ5D`vb495>294:86:?:HLSQQ750?35?16=AGZ^X7jnt`ak595>294::6:?:HLSQQ6:63>JSSX\^1^h}zlu>0=?699h1?<7AZTQWW>wct}eUxm`~33883:46<<90omyolh0>0=?69991?<7jnt`ak695>294:<6:?:ecwefn44:31<3??;529`drfka>7?44?>028055slrsefmfolUhd<2<9;2=52=383yf|}olk`ef[fn54:31<3?8;529whvwijajkhQlh2>0=?699>1?<7}bpqc`odabWjb?0>750?34?16={dz{mnengd]`l0:413:5=:5;:HLSQQ4?>0380?eofVcoSlm~<283:4g<<3xjaRm`nup\gptX{}ki1=50?3b?0b:79KPRW]]0hdRolk^tfgqiu4=0;20681?eoW{nrS{kltnp?0?699;1=6D@_UU8GeqgF4<0;2<<46;KMTPR=Lh~jN1;50?37?3_NWW80<76m1=av6tpe201+49;136D@_UU8Geqg74>0;2<<48;KMTPR=Lh~j=1950?31?=4>586:221EC^ZT;Fbpd5;?3:5=?57:HLSQQ008agsiH6<6=0k;98\j53>05;143j48;]m40?>48032i57:^l3256;?3:5h665_o2544:0294o754Pn143697=07n047Qa072082<76m136R`?616?3?69l221Sc>904>4>58c310Tb=8?6=594;56310hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<:7^MVP91=879:754lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^054ZIR\5=1<3=>;98`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z41;VE^X1950?12?=;Q`_vkgaZkrpV8=:RAZT=594;56310hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<99^MVP91=87i047jnt`C?3?699;136io{abj2[ds4>0;2<<48;fbpdeo:Vk~t1950?31?=Qnuy>4>586:221hlznci6\ep~;?3:5=?57:ecwefn2Whs0:4?>49BJA71<2KEH?84AOF1F40GIL:H9:6OAD2@00>GIL=<0MCJ;B048EKB3J;<0MCJ;B5:8FPUXAGLD=6M91:A9XPubzzVM~l`liralXAid}doex~RIr`l`mvehW[EAP]|`cdqpmkaBfnceiQ^}okg`pvZAzfdIcjPAbs_@FKXn~nTecz!vif56>E=T\yn~~RIr`l`mvehTMehy`katr^EvdhdazidS_AE\Qplg`utagmNbjgae]Rqkocd|zVM~b`{Eof\Efw[Lh~jS_k~_fgm*snc=l1H6Q[|esq_Bwgik`yhcQJ`ctofjqu[N{keod}lo^PLNYVugjoxd`hEoejj`ZWzf`noy}SFsmmp@hcWHizPIz`DQPBI+pol?80O7RZsdppXCtffjcxobRKobwnakrtTOxjbng|cn]QKOZWzfin~gagDldmkc[X{eainz|\GpljqCilVKh}QH}oovFjaYFkx$}di8>;B8_Qvcu{ULymcmfsbm_@jereldQH}aoajwfiXZF@W\alerqjjbCio`dnP]|`jdawwY@ugg~NbiQNcp^iklhbzVyja} yhe`8GDVFK@^JBNK=;BG7?FJL8?1H@F?7079@HN408<1H@FEKCOR:4=m4CMIE\ZBN^@NNi6MCKGZ\IPJSAYFR96MCKHL25>EKC@DTHDXFDD]A]Z4d3JF@ECQ_ESKL@442NHAR]_f:F@IZUWW`dxxRgatc9GGHYamUbby94DDDBQIRc3MOXGHYPAEHVWQ753MLXSK\JQTGMG\YJGMO:>6JCL^DQATSBFJSTABJJ9:FLJQYDI[O>7I]\EO:8@VUXEFNN=85KUU[\G\EKMVID^_KLTHMM0>Bf|h?0Hlzn099Geqg749427Io{a1>24;d:1<:?Agsi96:=374D`vb4975601Omyo?<01==>Bf|h:7=906;Ecwe5:6=730Hlzn0=35:<=Ci}k;0<919:Fbpd6;91427Io{a1>2=;>15;?c9Geqg74;91<374D`vb4944601Omyo?<36==>Bf|h:7>806;Ecwe5:5>730Hlzn0=04:<=Ci}k;0?619:Fbpd6;:04j7Io{a1>1>58?3Mkm=2=>89Geqg74::556Jnt`2?748>3Mkm=2<2?;8@drf8598245Kauc3862912Njxl>334<:?Agsi968:374D`vb4950601Omyo?<2:=f>Bf|h:7?44?>89Geqg74:3546Jnt`2?7;>Bf|h:7;7>18:Fbpd6;?720Hlzn0=:=<>Bf|h:753;4D`vb5==Ci}k:0=06;Ecwe4:687h0Hlzn1=32>58>3Mkm<2>1?;8@drf95;9245Kauc2845912Njxl?315<:?Agsi86:9374D`vb5971601Omyo><05==>Bf|h;7=506;Ecwe4:61720Hlzn1=3==>Bf|h;7>=06;Ecwe4:59730Hlzn1=01:g=Ci}k:0?=50?;8@drf9588245Kauc2872912Njxl?324<:?Agsi869:374D`vb5940601Omyo><3:==>Bf|h;7>40n;Ecwe4:529437Io{a0>1:<=Ci}k:0>>19:Fbpd7;;8427Io{a0>06;?89Geqg64:>556Jnt`3?708>3Mkm<2<6?;8@drf959<245Kauc286>9j2Njxl?33883:<=Ci}k:0>718:Fbpd7;;720Hlzn1=6=<>Bf|h;79364D`vb5909i2Njxl?37;2=<>Bf|h;7;364D`vb59>902Njxl?39?:8@drf:5:556Jnt`0?558>3Mkm?2>1?;8@drf:5;9245Kauc1845912Njxl<315<:?Agsi;6:9374D`vb6971601Omyo=<05==>Bf|h87=506;Ecwe7:61720Hlzn2=3==>Bf|h87>=06;Ecwe7:59730Hlzn2=01:g=Ci}k90?=50?;8@drf:588255Kauc1878?3Mkm?2<>99Geqg54=437Io{a3>6:==Ci}k90;0n;Ecwe7:029437Io{a3>4:==Ci}k90507;Ecwe7:>611Omyo<<1<:?Agsi:6:<374D`vb7976601Omyo<<00==>Bf|h97=>06;Ecwe6:6<730Hlzn3=36:<=Ci}k80<819:Fbpd5;9>427Io{a2>2<;?99Geqg448427Io{a2>14;?>89Geqg44;85n6Jnt`1?66<7601Omyo<<31=<>Bf|h97>364D`vb795902Njxl=34?:8@drf;5?546Jnt`1?2;g27;?89Geqg348?556Jnt`6?538>3Mkm92>7?;8@drf<5;3245Kauc784?902Njxl:31?;8@drf<58;245Kauc7877912Njxl:32319:Fbpd2;::437Io{a5>1:==Ci}k?0>07;Ecwe1:3611Omyo;<4<;?Agsi=6=2l5Kauc782<7611Omyo;<6<;?Agsi=63255Kauc78<8?3Mkm82?>89Geqg248:556Jnt`7?548>3Mkm82>2?;8@drf=5;8245Kauc6842912Njxl;314<:?Agsi<6::374D`vb1970601Omyo:<0:==>Bf|h?7=407;Ecwe0:6601Omyo:<32==>Bf|h?7><06;Ecwe0:5:7h0Hlzn5=00>58>3Mkm82=3?:8@drf=58546Jnt`7?7;>Bf|h?7;7>18:Fbpd3;?720Hlzn5=:=<>Bf|h?75364D`vb296912Njxl8311<:?Agsi?6:=374D`vb2975601Omyo9<01==>Bf|h<7=906;Ecwe3:6=730Hlzn6=35:<=Ci}k=0<919:Fbpd0;91427Io{a7>2=;>15;?c9Geqg14;91<374D`vb2944611Omyo9<3<;?Agsi?68255Kauc5818?3Mkm;2:>99Geqg14?4j7Io{a7>4>58?3Mkm;28>99Geqg141437Io{a7>::==Ci}k<0=06;Ecwe2:68730Hlzn7=32:<=Ci}k<0<<19:Fbpd1;9:427Io{a6>20;?89Geqg048<556Jnt`5?528>3Mkm:2>8?;8@drf?5;2255Kauc4848>3Mkm:2=0?;8@drf?58:245Kauc48749j2Njxl932283:<=Ci}k<0?=18:Fbpd1;:720Hlzn7=1=<>Bf|h=78364D`vb393902Njxl936?:8@drf?5=546Jnt`5?<;>89GeqgF48:5n6Jnt`C?54<7601OmyoN<03==>Bf|hK7=?06;EcweD:6;730HlznA=37:g=Ci}kJ0<;50?;8@drfI5;>245KaucB8409j2NjxlO31683:<=Ci}kJ0<918:FbpdG;97k0HlznA=094;>Bf|hK797>18:FbpdG;=720HlznA=4=<>Bf|hK7;364D`vbE9>9i2NjxlO39;2=<>Bf|hK753;4D`vbF==Ci}kI0=06;EcweG:687h0HlznB=32>58>3MkmO2>1?;8@drfJ5;9245KaucA845912NjxlL31519:FbpdD;9<427Io{aC>22;dBf|hH7>364D`vbF95902NjxlL34?c8@drfJ5?1<364D`vbF93902NjxlL36?:8@drfJ5=546Jnt`@?<;g@FK]EYURDI5:DBHVC53ON87KJJ3:DGV1=AL[O?7KJ]S49E@WUB;2LO_95IDRGb?CUJWJEOECIm;GQN[KDT\H^Nn6H\M^LAPWGI[j1M~b`{Eof\Efw63N90KCJ=2:EM@Z76WfUhcx`{_bnlgn]6?TUn}xoc_6]l63=@FMU:=RaPcnwmpZekgjaP=:SPepwbhZ1Xg5;<2?94GOF\54YhWje~byQllnah_41ZWl{~maQ8_n>23;75?2MEHR?>_n]`kphsWjfdofU>7\]fupgkW>Ud0<912358CKBX98UdSnaznu]`hjelS8=VShzam]4[j:6?799;6IAD^32[jYdg|dSnb`cjY23XYby|kgS:Q`<05=071?5HNE]25ZiXkfexRmcobiX52[Xmxj`R7Po348CKBX98UdSnaznu]`hjelS8=VShzam]:[j:6?78<7J@K_03\kZeh}g~ToaalkZ34YZcv}hfT5Ra316<262=@FMU:=RaPcnwmpZekgjaP=:SPepwbhZ?Xg5;<2?<8;FLG[47XgVidyczPcmm`o^70UVozylbP9^m?5284:h1LBIQ>1^m\gjsi|VigcneT16_\atsfdV3Tc1?8>^knt4dAILV;:SbQpr]q_4[Xmxj`R?Po=3=5732^m\wdkwWje~byU>1\]fupgkW;3Tc?84GOF\57YhWzkf|Rm`uovX54[Xmxj`R<6_n>25;403NDOS<269DJAY6:VeTlc_bmvjq]69TUn}xoc_3;\k9766;8<7J@K_00\kZufeyUhcx`{[03^[`wrieU95Ra310<062=@FMU:>RaPs`os[firf}Q:=PQjqtco[7?Xg5;:29<8;FLG[44XgVyja}Qlotlw_47ZWl{~maQ=9^m?5482:h1LBIQ>2^m\wdkwWje~byU>1\]fupgkW;3Tc1?>>^knt76;Q`_FLG[70XgVkohR??2^MVP969:81LBIQ=6^m\CKBX:?UdSljk_021[JSS48:5>>5HNE]12ZiXOGNT>;Q`_`fg[465WF__025;473NDOS?8Po^EM@Z41WfUjhiQ>03]LQQ:66;:0KCJP27]l[BHCW;=5HNE]12ZiXOGNT>;Q`_`fg[465WF__0>0=0:EM@Z41WfULBIQ=6^m\eabX998TCXZ34?03?BHCW;6:76;Q`_FLG[70XgVkohR??2^MVP909:91LBIQ=6^m\CKBX:?UdSljk_021[JSS4>49<6IAD^05[jY@FMU9:RaPaef\554XG\^743328CKBX:?UdSJ@K_34\kZgclV;;4RAZT=2=64=@FMU9:RaPGOF\63YhWhnoS<>7_NWW8469::1LBIQ=6^m\CKBX:?UdSljk_02;[JSS48;1<3<>;FLG[70XgVMEHR<9_n]b`aY681UDYY2>1?03?BHCW;2:76;Q`_FLG[70XgVkohR??8^MVP949:91LBIQ=6^m\CKBX:?UdSljk_02;[JSS4:49<6IAD^05[jY@FMU9:RaPaef\55>XG\^783328CKBX:?UdSJ@K_34\kZgclV;;4RAZT=4=65=@FMU9:RaPGOF\63YhWhnoS<>7_NWW828582MEHR<9_n]DJAY5>VeTmijP11:\KPR;078;7J@K_34\kZAILV8=SbQnde]24=YH]]622?>4GOF\63YhWNDOS?8Po^cg`Z73;VE^X1>1209DJAY5>VeTKCJP27]l[dbcW8>8SB[[<02=66=@FMU9:RaPGOF\63YhWhnoS<:<_NWW847=878:7J@K_34\kZAILV8=SbQnde]206YH]]6:=3>328CKBX:?UdSJ@K_34\kZgclV;??RAZT=0=65=@FMU9:RaPGOF\63YhWhnoS<:<_NWW868582MEHR<9_n]DJAY5>VeTmijP151\KPR;<78;7J@K_34\kZAILV8=SbQnde]206YH]]6>2?>4GOF\63YhWNDOS?8Po^cg`Z73;VE^X181219DJAY5>VeTKCJP27]l[dbcW8>8SB[[<6<14>AILV8=SbQHNE]12ZiXimnT=9=POTV?<;473NDOS?8Po^EM@Z41WfUjhiQ>42]LQQ:>6;:0KCJP27]l[BHCW;<5HNE]12ZiXOGNT>;Q`_`fg[42>WF__0<>1229DJAY5>VeTKCJP27]l[dbcW8>2SB[[<0394;463NDOS?8Po^EM@Z41WfUjhiQ>48]LQQ:6978;7J@K_34\kZAILV8=SbQnde]204GOF\63YhWNDOS?8Po^cg`Z731VE^X1<1219DJAY5>VeTKCJP27]l[dbcW8>2SB[[<2<14>AILV8=SbQHNE]12ZiXimnT=97POTV?0;473NDOS?8Po^EM@Z41WfUjhiQ>48]LQQ:26;:0KCJP27]l[BHCW;=5HNE]12ZiXOGNT>;Q`_`fg[42>WF__0:0=0:EM@Z41WfULBIQ=6^m\eabX9=3TCXZ38?03?BHCW;::76;Q`_FLG[70XgVkohR?74^MVP969:81LBIQ=6^m\CKBX:?UdSljk_0:7[JSS48:5>>5HNE]12ZiXOGNT>;Q`_`fg[4>3WF__025;473NDOS?8Po^EM@Z41WfUjhiQ>85]LQQ:66;:0KCJP27]l[BHCW;=5HNE]12ZiXOGNT>;Q`_`fg[4>3WF__0>0=0:EM@Z41WfULBIQ=6^m\eabX91>TCXZ34?03?BHCW;6:76;Q`_FLG[70XgVkohR?74^MVP909:91LBIQ=6^m\CKBX:?UdSljk_0:7[JSS4>49<6IAD^05[jY@FMU9:RaPaef\5=2XG\^743328CKBX:?UdSJ@K_34\kZgclV;2;FLG[70XgVMEHR<9_n]b`aY619UDYY2>1?03?BHCW;2:76;Q`_FLG[70XgVkohR?60^MVP949:91LBIQ=6^m\CKBX:?UdSljk_0;3[JSS4:49<6IAD^05[jY@FMU9:RaPaef\5<6XG\^783328CKBX:?UdSJ@K_34\kZgclV;2VeTmijP182\KPR;078;7J@K_34\kZAILV8=SbQnde]2=5YH]]622?>4GOF\63YhWNDOS?8Po^cg`Z45=VE^X1>1209DJAY5>VeTKCJP27]l[dbcW;8>SB[[<02=66=@FMU9:RaPGOF\63YhWhnoS?<:_NWW847=878:7J@K_34\kZAILV8=SbQnde]160YH]]6:=3>328CKBX:?UdSJ@K_34\kZgclV899RAZT=0=65=@FMU9:RaPGOF\63YhWhnoS?<:_NWW868582MEHR<9_n]DJAY5>VeTmijP237\KPR;<78;7J@K_34\kZAILV8=SbQnde]160YH]]6>2?>4GOF\63YhWNDOS?8Po^cg`Z45=VE^X181219DJAY5>VeTKCJP27]l[dbcW;8>SB[[<6<14>AILV8=SbQHNE]12ZiXimnT>?;POTV?<;473NDOS?8Po^EM@Z41WfUjhiQ=24]LQQ:>6;:0KCJP27]l[BHCW;<5HNE]12ZiXOGNT>;Q`_`fg[756WF__0<>1229DJAY5>VeTKCJP27]l[dbcW;9:SB[[<0394;463NDOS?8Po^EM@Z41WfUjhiQ=30]LQQ:6978;7J@K_34\kZAILV8=SbQnde]174YH]]6:2?>4GOF\63YhWNDOS?8Po^cg`Z449VE^X1<1219DJAY5>VeTKCJP27]l[dbcW;9:SB[[<2<14>AILV8=SbQHNE]12ZiXimnT>>?POTV?0;473NDOS?8Po^EM@Z41WfUjhiQ=30]LQQ:26;:0KCJP27]l[BHCW;=5HNE]12ZiXOGNT>;Q`_`fg[756WF__0:0=0:EM@Z41WfULBIQ=6^m\eabX::;TCXZ38?03?BHCW;::4`;Q`_FLG[70XgVkohR8>_NWW858582MEHR<9_n]DJAY5>VeTmijP60]LQQ:687897J@K_34\kZAILV8=SbQnde]55ZIR\5;:6=0=0:EM@Z41WfULBIQ=6^m\eabX>8UDYY2>1?3e?BHCW;;Q`_`fg[37XG\^7:3?i;FLG[70XgVMEHR<9_n]b`aY19VE^X1911g9DJAY5>VeTKCJP27]l[dbcW?;TCXZ38?3e?BHCW;>0d8CKBX:?UdSJ@K_34\kZgclV<AILV8=SbQHNE]12ZiXimnT::Q@UU>1:4`;Q`_FLG[70XgVkohR88_NWW8686n2MEHR<9_n]DJAY5>VeTmijP66]LQQ:368l0KCJP27]l[BHCW;f:EM@Z41WfULBIQ=6^m\eabX>>UDYY27>0d8CKBX:?UdSJ@K_34\kZgclV<AILV8=SbQHNE]12ZiXe|rT==7POTV?4;463NDOS?8Po^EM@Z41WfUfyuQ>08]LQQ:687887J@K_34\kZAILV8=SbQbuy]241209DJAY5>VeTKCJP27]l[hsW8:2SB[[<03=65=@FMU9:RaPGOF\63YhWdsS<>6_NWW848582MEHR<9_n]DJAY5>VeTaxvP11;\KPR;:78;7J@K_34\kZAILV8=SbQbuy]244GOF\63YhWNDOS?8Po^ov|Z771VE^X1:1219DJAY5>VeTKCJP27]l[hsW8:2SB[[<4<14>AILV8=SbQHNE]12ZiXe|rT==7POTV?2;473NDOS?8Po^EM@Z41WfUfyuQ>08]LQQ:06;:0KCJP27]l[BHCW;=5HNE]12ZiXOGNT>;Q`_lw{[46>WF__040=0:EM@Z41WfULBIQ=6^m\ip~X9<:TCXZ30?02?BHCW;24;443NDOS?8Po^EM@Z41WfUfyuQ>51]LQQ:693:5><5HNE]12ZiXOGNT>;Q`_lw{[437WF__0VeTKCJP27]l[hsW8?;SB[[<0<14>AILV8=SbQHNE]12ZiXe|rT=8>POTV?6;473NDOS?8Po^EM@Z41WfUfyuQ>51]LQQ:46;:0KCJP27]l[BHCW;5>=5HNE]12ZiXOGNT>;Q`_lw{[437WF__080=0:EM@Z41WfULBIQ=6^m\ip~X9<:TCXZ36?03?BHCW;4:76;Q`_FLG[70XgVg~tR?:0^MVP9>9:91LBIQ=6^m\CKBX:?UdS`{w_073[JSS4049<6IAD^05[jY@FMU9:RaPmtz\5<7XG\^7<3<>;FLG[70XgVMEHR<9_n]nq}Y618UDYY2>0?00?BHCW;25?69:81LBIQ=6^m\CKBX:?UdS`{w_0;2[JSS48;5>=5HNE]12ZiXOGNT>;Q`_lw{[4?6WF__0<0=0:EM@Z41WfULBIQ=6^m\ip~X90;TCXZ32?03?BHCW;0:76;Q`_FLG[70XgVg~tR?61^MVP929:91LBIQ=6^m\CKBX:?UdS`{w_0;2[JSS4<49<6IAD^05[jY@FMU9:RaPmtz\5<7XG\^7:3328CKBX:?UdSJ@K_34\kZkrpV;2=RAZT=:=65=@FMU9:RaPGOF\63YhWdsS<7>_NWW8<8582MEHR<9_n]DJAY5>VeTaxvP220\KPR;878:7J@K_34\kZAILV8=SbQbuy]177YH]]6:<3<<;FLG[70XgVMEHR<9_n]nq}Y5;;UDYY2>1;2=64=@FMU9:RaPGOF\63YhWdsS?==_NWW8479:91LBIQ=6^m\CKBX:?UdS`{w_311[JSS4849<6IAD^05[jY@FMU9:RaPmtz\664XG\^7>3328CKBX:?UdSJ@K_34\kZkrpV88>RAZT=6=65=@FMU9:RaPGOF\63YhWdsS?==_NWW808582MEHR<9_n]DJAY5>VeTaxvP220\KPR;>78;7J@K_34\kZAILV8=SbQbuy]177YH]]6<2?>4GOF\63YhWNDOS?8Po^ov|Z44:VE^X161219DJAY5>VeTKCJP27]l[hsW;99SB[[<8<2b>AILV8=SbQHNE]12ZiXe|rT:5Q@UU>3:76;Q`_FLG[70XgVg~tR87_NWW8469:;1LBIQ=6^m\CKBX:?UdS`{w_7:\KPR;980;2?>4GOF\63YhWNDOS?8Po^ov|Z0?WF__0VeTKCJP27]l[hsW?2TCXZ31?3e?BHCW;;Q`_lw{[3>XG\^7;3?i;FLG[70XgVMEHR<9_n]nq}Y10VE^X1611g9DJAY5>VeTKCJP27]l[hsW?2TCXZ39?3:?BHCW;6G>3:K246=N9890E<<<;H307>O6<:1B=8<4I308M64OE]OUGCZQ_WS68MKOS;2CEZl5FORVBJAGULl1@IH^PHHGQEWEOQ?1GCNEJD69OKBODIE90@BY=3:Ob`aY@FMU9:RaPGOF\63YhWhnoS<>=_NWW575VeTKCJP27]l[dbcW8>8SB[[1318IdbcWNDOS?8Po^EM@Z41WfUjhiQ>48]LQQ75;2GjhiQHNE]12ZiXOGNT>;Q`_`fg[4>3WF__=?=4M`fg[BHCW;KflmULBIQ=6^m\CKBX:?UdSljk_306[JSS9;90Aljk_FLG[70XgVMEHR<9_n]b`aY5;8UDYY?=2:Ob`aY@FMU9:RaPGOF\63YhWhnoS;?POTV267=JimnTKCJP27]l[BHCW;:133`?HgclVUe<8?91b9NeabXWg:>=:?l;Lcg`ZYi8<8:=n5Baef\[k62:;;h7@okd^]m40419j1FmijP_o26627d3DkohRQa04125f=JimnTSc>:333`?HgclVUe<8=91b9NeabXWg:>?:?l;Lcg`ZYi8<>:=n5Baef\[k62<;;h7@okd^]m40219j1FmijP_o26027d3DkohRQa04725f=JimnTSc>:53313>KflmUhcczP212NL]6=TULBIQ:_n]b`aY6WF__=?l4M`fg[firf}UhdRlvtdY1YZAILV8=SbQnde]117YH]];956Cnde]`kphsWjfdofU>7\]DJAY69VeTmijP11]LQQ7502GjhiQlotlw[ugdcR?VSJ@K_34\kZgclV8?=RAZT00;?HgclVidyczPptnpav]>UVMEHR?>_n]b`aY2WF__=?o4M`fg[adn|zUhcx`{esY1YZAILV;9SbQnde]27ZIR\8837@okd^qbiuYdg|dWVeTKCJP27]l[hsW8?;SB[[1318IhsWNDOS?8Po^EM@Z41WfUfyuQ>90]LQQ75;2GfyuQHNE]12ZiXOGNT>;Q`_lw{[755WF__=?<4Mlw{[BHCW;c:Onq}YXf9?3598i0A`{w_^l31<46k2GfyuQPn17:74eKj}qUTb=;650a8IhsWVd;948>c:Onq}YXf9?24Kj}qUTb=8?40a8IhsWVd;:=;>c:Onq}YXf9<;:9UDYY?<0:Onq}Ydg|dSnfPbxvf_7[XOGNT>;Q`_vkgaZkrpV8=?RAZT013?HkrpVidyczPci]a}qc\:TULBIQ=6^m\slbbWdsS?89_NWW5660:Onq}YumzgS~obp008J545@R438Tf=W&;::?<>>3^N7?UGU\k1[DL]J_RJJBQgVHZ]UD\Ol4PNPW[WCT]E^i7]A]T^QFH@ES=2ZXOAE9;QQGKKC33YYNB55_SHL@@@E5=2Z\^R?8_n]`kphsWjbTntzj[3_\atsfdV888Ra=9:RTVZ70WfUhcx`{_bj\f|rbS;WTi|{nl^000Zi;:7;9>6^XR^34[jYdg|dS}olkZ7^[`wrieU987^m\gjsi|VzjofU:]^grqdjX:=;Tc1;11348TRTX9>UdSnaznu]sefm\=TUn}xoc_362[j:26;8=7]Y]_05\kZeh}g~T|lmd[4_\atsfdV8?=Ra35?11=>VPZV;SPepwbhZ429Ve9n6^XR^34[jYuidUhccz}_bwq[vrf|lQ8QRk~u`n\604Xg;;0\Z\P5^m\gjhsW;:;AEtT14_\atsfdV;Tc?84PVP\1ZiXkfdS?>?MIxX50[Xmxj`R?Po=36:4423Y]YS8Q`_bmmpZ478DBqW<;R_dsveiY6WfUbb{<9;QUQ[0YhWjeexR_n]jjs7592Z\^R6Po^alqkrXkeehgV?8]^grqdjX=Ve996^XR^:\kZeh}g~ToaalkZ34YZcv}hfT9Ra316<12>VPZV2TcRm`uov\giidcR;4:>;5_WS];[jYdg|dSnb`cjY23XYby|kgS8Q`<05=670<9;QUQ[=YhWje~byQllnah_41ZWl{~maQ:_n>23;25>2Z\^R6Po^alqkrXkeehgV?8]^grqdjX=Ve7=:0:289SSWY?WfUhcx`{_bnlgn]6?TUn}xoc_4]l8419W`g{><5_WS];[jYdg|dS}{csdqX=XYby|kgS9Q`259SSWY?WfUhcx`{_qwow`u\1TUn}xoc_5]l8<85=2Z\^R6Po^alqkrXx|fxi~U6]^grqdjXXgVidyczPptnpav]>UVozylbP4^m?=;45=2Z\^R6Po^alqkrXx|fxi~U6]^grqdjXXgVidyczPptnpav]>UVozylbP4^m?=;Yney8=7]Y]_9]l[firf}U{ya}jsZ;^[`wrieU?SbQfnw313>VPZV2TcRm`uov\tpjtmzQ2QRk~u`n\0ZiXag|:=?=4PVP\=ZiXlkcRm`uovfv^4ZWl{~maQ>1^m13>VPZV3TcRjmiuq\gjsi|lxP>PQjqtco[47Xg585=?94PVP\=ZiXlkcRm`uovfv^4ZWl{~maQ>1^m\mkp502Z\^R7Po^famquXkfexh|T2\]fupgkW8;TcRgav038U4=U;2XXX>5]TM:8VQJX\PZN=i5]ergw[JTXL{c}iOADBnlgnCiikfn=h5]ergw[JTXL{c}iOADBnlgnCiikfn==0:Pfw`rXG[UO~dxjr@LGGiidcLdjnak>0002?Wctm}UD^RJ}iwgqEKBDdfi`Icomld3354473[oxiyQ@R^FqmscuIGNH`bmdEocah`77:8l0^h}jt^MQ[Atn~lxJBIMcobiFjddkm8;9<6\jsdv\KWYCz`|n~L@KCmm`o@hfjeo:=<<>;SgpaqYHZVNye{k}AOF@hjelMgki`h?>1003?Wctm}UD^RJ}iwgqEKBDdfi`Icomld3264`Tb{l~TC_QKrhtfvDHCKeehgH`nbmg274463[oxiyQ@R^FqmscuIGNH`bmdEocah`74988;7_k|eu]LVZBuaoyMCJLlnahAkgedl;8>4002?Wctm}UD^RJ}iwgqEKBDdfi`Icomld3754473[oxiyQ@R^FqmscuIGNH`bmdEocah`73:8l0^h}jt^MQ[Atn~lxJBIMcobiFjddkm8?9<6\jsdv\KWYCz`|n~L@KCmm`o@hfjeo:9<<>;SgpaqYHZVNye{k}AOF@hjelMgki`h?:1003?Wctm}UD^RJ}iwgqEKBDdfi`Icomld3664`88:7_k|eu]LVZBuaoyMCJLlnahAkgedl;==<Tb{l~TC_QKrhtfvDHCKeehgH`nbmg234463[oxiyQ@R^FqmscuIGNH`bmdEocah`70988;7_k|eu]LVZBuaoyMCJLlnahAkgedl;<>803e?Wctm}UD^RJ}iwgqEKBDdfi`Icomld3:65=UmzoSB\PDskuawGILJfdofKaacnf5<76m2XnhzPOS]GvlpbzHDOOaalkDlbfic59o1Yi~k{_NP\@woqm{KEHNb`cjGmegjb:9;m7_k|eu]LVZBuaoyMCJLlnahAkgedl8:>=5]ergw[JTXL{c}iOADBnlgnCiikfn>f:Pfw`rXG[UO~dxjr@LGGiidcLdjnak=20g8V`ub|VEYSI|fvdpBJAEkgjaNbllce23e?Wctm}UD^RJ}iwgqEKBDdfi`Icomld1265=UmzoSB\PDskuawGILJfdofKaacnf7476n2XnhzPOS]GvlpbzHDOOaalkDlbfic4:8o0^h}jt^MQ[Atn~lxJBIMcobiFjddkm=;m7_k|eu]LVZBuaoyMCJLlnahAkgedl>:>=5]ergw[JTXL{c}iOADBnlgnCiikfn8f:Pfw`rXG[UO~dxjr@LGGiidcLdjnak;20g8V`ub|VEYSI|fvdpBJAEkgjaNbllce43e?Wctm}UD^RJ}iwgqEKBDdfi`Icomld7265=UmzoSB\PDskuawGILJfdofKaacnf1476n2XnhzPOS]GvlpbzHDOOaalkDlbfic2:8o0^h}jt^MQ[Atn~lxJBIMcobiFjddkm?;m7_k|eu]LVZBuaoyMCJLlnahAkgedl<:>=5]ergw[JTXL{c}iOADBnlgnCiikfn:f:Pfw`rXG[UO~dxjr@LGGiidcLdjnak920g8V`ub|VEYSI|fvdpBJAEkgjaNbllce63e?Wctm}UD^RJ}iwgqEKBDdfi`Icomld5265=UmzoSB\PDskuawGILJfdofKaacnf3476n2XnhzPOS]GvlpbzHDOOaalkDlbfic0:8o0^h}jt^MQ[Atn~lxJBIMcobiFjddkm1;m7_k|eu]LVZBuaoyMCJLlnahAkgedl2:>=5]ergw[JTXL{c}iOADBnlgnCiikfn4f:Pfw`rXG[UO~dxjr@LGGiidcLdjnak720g8V`ub|VEYSI|fvdpBJAEkgjaNbllce83e?Wctm}UD^RJ}iwgqEKBDdfi`Icomld;265=UmzoSB\PDskuawGILJfdofKaacnf=476n2XnhzPOS]GvlpbzHDOOaalkDlbfic>:?1Yi~{ct89Qavsk|5:5m6\jstnw8469k2Xnxb{<0394;g1?c8V`urd}6:>3o4Rdqvhq:6;7k0^h}zlu>20;e5;2=e>Tb{|f0<;1a:Pfwpjs48<5o6\jstnw841=87k0^h}zlu>23;g8?c8V`urd}6:5374Rdqvhq:66h1Yi~{ct=03:d=Umzgx1<>>`9Qavsk|5892n5]erwop944294j7_k|umv?668f3[oxyaz32580n;Sgpqir;:?4j7_k|umv?628f3[oxyaz32940m;Sgpqir;:3:556\jstnw878f3[oxyaz331`9Qavsk|592245]erwop95912Xnxb{<519:Pfwpjs4<427_k|umv?2;d09Pf>UNOK_XSD@IO`9PMBYE]ZCOTo5\IF]AQVHFEL>0_DTJf:QLQWEBWOCGICOBEe9PKPTDMVFBBH@Mc:QWEWRRXV]JEY74SUCWQV:7601XXLZZS=3==>USI]_X0?06;RVBPPU;;730_YO[UR>7:<=T\H^^_1;19:QWEQST4?4i7^ZNTTQ?3?6912Y_MY[\<6<26>U^[]OFS^WACIPLJJST02YhyQISL18W`j?3Zog0=4=>79Pai:76?1Xia2>>79Pai:56=1_^XK;;U[SA<=QIE_NSNGA9:TBHPCXGYH87[ML9:TJARYSQYO>7ZGKTI3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH48\VRKAK=0T^ZPGOF2a>^T\VCF\AKBEOVBPLIIWJEE_Y\NIOVP55=_[]UYXAQ_RNRFVQ_03QY_S[ML6:ZgfZOc991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv:?]usODeoia84_o230<16:]m4531?2Ue<=;9179\j562?>1Tb=>:70c8[k67=>Ubb{l4_o2312Ynf;=7R`?0724?Zh78?:::6Qa01423>Yi89<:=l5Pn1255Zoi~k1Tb=>91^kmr40594_o216=713Vd;>><8;^l36646>2Ue=3858[k65;0;=7R`?2524?Zh7:=::m6Qa0363[lhqk2Ue94_o20<6713Vd;?5:8;^l37=26>2Ue<>697:]m46>19?1Tb==7769\j55??8k0Sc><86]jjsd<7R`?3862f>Yi8:3?Sd`y1b9\j55>:06>25?69i2Ue<8>8<03==>Yi8<:<0<06;^l3151;:730Sc>:06>0:<=Xf9?;;1:19:]m40604<427R`?515?2;?<:28>89\j537?52556Qa04248<8>3Vd;9=630?c8[k62816:<3m4_o264=:693:5m6Qa042;847912Ue<8>7<0<:?Zh7=927>374_o264=:4601Tb=;?8=6==>Yi8<:30806;^l315>;>730Sc>:09>4:<=Xf9?;41619:]m406?40427R`?500?4;g=?2>0?a8[k629;6:=7>1a:]m407548;556Qa0431848>3Vd;9<<32?;8[k629;68245Pn172692912Ue<8?=<4<:?Zh7=887:374_o2657:0601Tb=;>2=:==>Yi8<;90406;^l3140;87k0Sc>:17>24;e=;2>1;2=e>Yi8<;=0=;2<>89\j536>5>556Qa0435808>3Vd;9<836?;8[k629?6<245Pn17229>912Ue<8?9<8<:?Zh7=8=7<3o4_o2652:687i0Sc>:16>25?69i2Ue<8?8<03==>Yi8<;<0<06;^l3141;:730Sc>:16>0:<=Xf9?:;1:19:]m40704<427R`?505?2;?=:28>89\j536?52556Qa04348<8>3Vd;9??30?c8[k62:86:<3m4_o2664:693:5m6Qa0402847912Ue<8<><0<:?Zh7=;;7>374_o2664:4601Tb=;=1=6==>Yi8<8:0806;^l3177;>730Sc>:20>4:<=Xf9?9=1619:]m404640427R`?530?4;g>?2>0?a8[k62:;6:=7>1a:]m404548;556Qa0401848>3Vd;9?<32?;8[k62:;68245Pn171692912Ue<8<=<4<:?Zh7=;87:374_o2667:0601Tb=;=2=:==>Yi8<890406;^l3170;87k0Sc>:27>24;e>;2>1;2=e>Yi8<8=0>;2<>89\j535>5>556Qa0405808>3Vd;9?836?;8[k62:?6<245Pn17129>912Ue<8<9<8<:?Zh7=;=7<3o4_o2662:687i0Sc>:26>25?69i2Ue<8<8<03==>Yi8<8<0<06;^l3171;:730Sc>:26>0:<=Xf9?9;1:19:]m40404<427R`?535?2;?>:28>89\j535?52556Qa04048<8>3Vd;9>?30?c8[k62;86:<3m4_o2674:693:5m6Qa0412847912Ue<8=><0<:?Zh7=:;7>374_o2674:4601Tb=;<1=6==>Yi8<9:0806;^l3167;>730Sc>:30>4:<=Xf9?8=1619:]m405640427R`?520?4;g??2>0?a8[k62;;6:=7>1a:]m405548;556Qa0411848>3Vd;9><32?;8[k62;;68245Pn170692912Ue<8==<4<:?Zh7=:87:374_o2677:0601Tb=;<2=:==>Yi8<990406;^l3160;87k0Sc>:37>24;e?;2>1;2=e>Yi8<9=0?;2<>89\j534>5>556Qa0415808>3Vd;9>836?;8[k62;?6<245Pn17029>912Ue<8=9<8<:?Zh7=:=7<3o4_o2672:687i0Sc>:36>25?69i2Ue<8=8<03==>Yi8<9<0<06;^l3161;:730Sc>:36>0:<=Xf9?8;1:19:]m40504<427R`?525?2;??:28>89\j534?52556Qa04148<8>3Vd;99?30?c8[k62<86:<3m4_o2604:693:5m6Qa0462847912Ue<8:><0<:?Zh7==;7>374_o2604:4601Tb=;;1=6==>Yi8<>:0806;^l3117;>730Sc>:40>4:<=Xf9??=1619:]m402640427R`?550?4;g8?2>0?a8[k62<;6:=7>1a:]m402548;556Qa0461848>3Vd;99<32?;8[k62<;68245Pn177692912Ue<8:=<4<:?Zh7==87:374_o2607:0601Tb=;;2=:==>Yi8<>90406;^l3110;87k0Sc>:47>24;e8;2>1;2=e>Yi8<>=08;2<>89\j533>5>556Qa0465808>3Vd;99836?;8[k62912Ue<8:9<8<:?Zh7===7<3o4_o2602:687i0Sc>:46>25?69i2Ue<8:8<03==>Yi8<><0<06;^l3111;:730Sc>:46>0:<=Xf9??;1:19:]m40204<427R`?555?2;?8:28>89\j533?52556Qa04648<8>3Vd;98?30?c8[k62=86:<3m4_o2614:693:5m6Qa0472847912Ue<8;><0<:?Zh7=<;7>374_o2614:4601Tb=;:1=6==>Yi8730Sc>:50>4:<=Xf9?>=1619:]m403640427R`?540?4;g9?2>0?a8[k62=;6:=7>1a:]m403548;556Qa0471848>3Vd;98<32?;8[k62=;68245Pn176692912Ue<8;=<4<:?Zh7=<87:374_o2617:0601Tb=;:2=:==>Yi86:]m403>?2Ue<8;6179\j531:>1Tb=;92048[k62>:=0Sc>:6235?Zh7=?><7R`?57622>Yi8<<>;6Qa04465d=Xf9?=9Rgavc9\j531=Vcez<84_o262=1:5?n;^l313>Xag|i7R`?57:\mkp612Ue<868<1<:?Zh7=1=7=374_o26<2:5601Tb=;77=1==>Yi8<2<0906;^l31=1;=730Sc>:89>3:d=Xf9?341??>b9\j53?05;:6=0n;^l31=>;98427R`?59:?5;?452=>89\j53?059556Qa04:;818>3Vd;95635?;8[k62016=245Pn17;<91912Ue<867<9<:?Zh7=1275374_o26<<:76h1Tb=;79=33:f=Xf9?351?>:1:88>1:<=Xf9?351=19:]m40>>4=427R`?59;?1;?4429>89\j53?15=556Qa04::8=8>3Vd;95739?;8[k62196;2l5Pn17:49776j1Tb=;60=32>58f3Vd;94>310<:?Zh7=0:7=374_o26=5:5601Tb=;60=1==>Yi8<3;0906;^l31<6;=730Sc>:91>5:<=Xf9?2<1919:]m40?741427R`?582?=;?5<2?>`9\j53>95;;2n5Pn17:5976294j7R`?583?548>3Vd;94?31?;8[k621869245Pn17:595912Ue<87><5<:?Zh7=0;79374_o26=4:1601Tb=;61=5==>Yi8<3:0506;^l31<7;1730Sc>:93>3:d=Xf9?2>1??>b9\j53>:5;:6=0n;^l31<4;98427R`?580?5;?5?2=>89\j53>:59556Qa04;1818>3Vd;94<35?;8[k621;6=245Pn17:691912Ue<87=<9<:?Zh7=0875374_o26=6:76h1Tb=;63=33:f=Xf9?2?1?>:1:92>1:<=Xf9?2?1=19:]m40?44=427R`?581?1;?5>29>89\j53>;5=556Qa04;08=8>3Vd;94=39?;8[k621=6;2l5Pn17:09776j1Tb=;64=32>58f3Vd;94:310<:?Zh7=0>7=374_o26=1:5601Tb=;64=1==>Yi8<3?0906;^l31<2;=730Sc>:95>5:<=Xf9?281919:]m40?341427R`?586?=;?582?>`9\j53>=5;;2n5Pn17:1976294j7R`?587?548>3Vd;94;31?;8[k621<69245Pn17:195912Ue<87:<5<:?Zh7=0?79374_o26=0:1601Tb=;65=5==>Yi8<3>0506;^l31<3;1730Sc>:97>3:d=Xf9?2:1??>b9\j53>>5;:6=0n;^l31<0;98427R`?584?5;?5;2=>89\j53>>59556Qa04;5818>3Vd;94835?;8[k621?6=245Pn17:291912Ue<879<9<:?Zh7=0<753l4_o26==:621427R`?58:?5;?552=>89\j53>059556Qa04;;818>3Vd;94635?;8[k62116=245Pn17:<91912Ue<877<948427R`?58;?6;?542<>89\j53>15>556Qa04;:808>3Vd;94736?;8[k62106<245Pn17:=9>912Ue<;>?<1<:?Zh7>9:7=374_o2545:5601Tb=8?0=1==>Yi8?:;0906;^l3256;=730Sc>901>5:g=Xf9<;<1950?;8[k61896<245Pn143596912Ue<;>><0<:?Zh7>9;7>374_o2544:4601Tb=8?1=6==>Yi8?::0806;^l3257;>7h0Sc>900>4>58>3Vd;:=?37?`8[k618;6:6506;^l3254;9730Sc>903>1:<=Xf9<;>1=19:]m43654=427R`?610?1;?89\j507:5=556Qa07218=8>3Vd;:==30?;8[k618:6:245Pn143794912Ue<;><<2<:?Zh7>9978374_o2546:2601Tb=8?3=4=f>Yi8?:80:4?>89\j507;5=556Qa0727858>3Vd;:=:31?;8[k618=69245Pn143095912Ue<;>;<5<:?Zh7>9>79374_o2541:16k1Tb=8?4=594;?89\j507=5:556Qa0726848>3Vd;:=;32?;8[k618<68245Pn143192912Ue<;>:<4<:?Zh7>9?7:3l4_o2540:029427R`?617?3;?89\j507>5;556Qa0725878>3Vd;:=833?;8[k618?6?245Pn143293912Ue<;>9<79<7;7>19:]m43614>4=7lmd_hlg?delWohxb|30?f8efmX~lic2>>e9bgnYqmj~d~1<1d:c`oZpbk}ey0>0i;`ah[scd|fx787>1d:c`oZpbk}ey090:;`fgaw>19:cg`wG;994i7ljkr@>25?6912kohO310<;?dbczH6:255ndepB878?3hno~L2<>99b`atF4=437ljkr@>6:==flmxJ0;07;`fgvD:0611jhi|N<9<;?dbczH62295nuuma?fY589GCvRga119`lZgdcV|noya}<1<24>eoWhi`S{kltnp?5;773jbTmnePvdawkw:568:0oeQncj]uafrhz595=?5lh^c`oZpbk}ey094?>028gmYfkbU}inz`r=6=57=d`VidbyQlus]ppdrb98>0oeQloov\gptX{}ki1>1159`lZehf}UhyQ|t`vf8486<2icSnaat^avvZusi}o7>3?9;bj\gjhsWjyS~zntd>0>586<2icSnaat^avvZusi}o7?3??;bj\vaX~lic2?>028gmYulpU}inz`r=3=55=d`VxouRxjcumq878682icSjv_wg`pjt;;7;97nfPre{\r`esg{6?6=0>0:ak[wb~Wohxb|34?d8gmhXj`~xSlm~<10oe`Pbhvp[devWkc<1>1179`lkYea}yTmnPbhv384<768>0oe`Pbhvp[devWkc<1?1129`lkYea}yTmnPbhv3[5743jbeSog{s^c`uZdn|9U:=95lho]amquXij{Tndz><1<22>eofVhbx~Qncp]amq7;93:5=95lho]amquXij{Tndz><0<27>eofVhbx~Qncp]amq7X8890oe`Pbhvp[devWkc=R?>4:akjZdn|zUjo|Qmiu0?4;713jbeSog{s^c`uZdn|;6:6=0>4:akjZdn|zUjo|Qmiu0?5;743jbeSog{s^c`uZdn|;U;=>5lho]amquXij{Tndz=_0f8gmhXamUjo|2?>e9`lkYnlVkh}1?1d:akjZocWhiz0?0i;bjm[lbXij{7?7>1d:akjZocWhiz0>08;bnh[devj2iggRolq^ak5g=ddbUjo|Qlh3`8gimXij{Toe=m;bnh[devWjb?n6mck^c`uZeo=j1h`fQncp]q858d3jf`Slm~_s>2:`=ddbUjo|Q}<383:f=ddbUjo|Q}<30g8gimXij{T~1<1_1`8gimXij{T~R>m;bnh[devW{U:n6mck^c`uZtX:j1h`fQncp]qmvc692iggRhm_`w{[767EAp37nbd_lcjjg=ddbUfmd`Pin:8gimXehdlm6mck^w\twidm2idbyQ=01OK~969n2idbyQ=01OK~9776o1hcczP212NL:697l0ob`{_323IM|;9;4m7naat^034HN}4895j6m`nu]145KOr5;?2g9`kkrX:9:FDw2>5?g8gjhsW;:;AEt31?g8gjhsW;:;AEt32?g8gjhsW;:;AEt33?g8gjhsW;:;AEt34?g8gjhsW;:;AEt35?g8gjhsW;:;AEt36?g8gjhsW;:;AEt37?g8gjhsW;:;AEt38?g8gjhsW;:;AEt39?07?fii|V8;<@Fu[07^[BHCW378gjhsW;:;AEtT14_\CKBX=VeTmijP1^MVP9766;?0ob`{_323IM|\9378gjhsW;:;AEtT14_\CKBX=VeTmijP1^MVP9746;?0ob`{_323IM|\9358gjhsW;:;AEtT14_\CKBX=VeTmijP1^MVP972294996m`nu]145KOrR;>QRIAD^7\kZgclV;TCXZ314<10>ehf}U9<=CGzZ36YZAILV?TcRokd^3\KPR;978?7naat^034HN}S8?VSJ@K_4]l[dbcW8UDYY2=>368gjhsW;:;AEtT14_\CKBX=VeTmijP1^MVP959:=1hcczP212NL]6=TULBIQ:_n]b`aY6WF__090=4:aljqY589GCvV?:]^EM@Z3XgVkohR?POTV?1;433jeexR=>BH{Y21XY@FMU>SbQnde]2[JSS414986m`nu]145KOrR;>QRIAD^7\kZgclV;TCXZ39?f8gjhsW;:;AEtP0e9`kkrX:9:FDwQ>e:aljqY589GCvR??e:aljqY589GCvR?>e:aljqY589GCvR?=e:aljqY589GCvR?j4cnlw[767EApT8i5loov\656J@sU>h6m`nu]145KOrVn0ob`{_323IM|X0m1hcczP212NLY>981hccz}omcgkwYCX[KF==5lotlw[fnXjp~n0=0>0:alqkrXkaUiuyk31?31?firf}UhdRlvtd>1>58682idyczPci]a}qc;:7837naznu]`lZd~|lQ9QRIAD^05[jYflmU99?Q@UU>3:7>eh}g~ToeQmyugX6XY@FMU9:RaPmtz\602XG\^7=3PQHNE]12ZiXe|rT>8:POTV?6;4c3je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?4;4c3je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?5;4c3je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?6;4c3je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?7;4c3je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?0;4c3je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?1;4c3je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?2;4a3je~byQlh^`zp`]5UVMEHR<9_n]tmacXe|rT>89POTV?3?69:m1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<:7^MVP919:m1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP969:m1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP979:m1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP949:m1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP959:m1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP929:m1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP939:m1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP909:o1hcx`{_bj\f|rbS;WTKCJP27]l[rocmVg~tR<90^MVP91=878o7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP272\KPR;?78o7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;878o7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;978o7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;:78o7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;;78o7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;<78o7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;=78o7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;>78m7naznu]`lZd~|lQ9QRIAD^05[jYpamoTaxvP271\KPR;?3:5>i5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^057ZIR\5=5>i5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\5:5>i5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\5;5>i5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\585>i5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\595>i5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\5>5>i5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\5?5>i5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\5<5>k5lotlw[fnXjp~nW?SPGOF\63YhW~coiRczx^052ZIR\5=1<3312e9`kphsWjbTntzj[3_\CKBX:?UdSzgke^ov|Z411VE^X191f:alqkrXkaUiuykP0g9`kphsWjbTntzj_0d8gjsi|VicSow{e^0f?firf}Uh`bmd<1eh}g~Toaalk=32:c=dg|dSnb`cj>26;`7;:7naznu]`hjel48=1<3h4cnwmpZekgja7=:0j;bmvjqYddfi`0<0j;bmvjqYddfi`0?0j;bmvjqYddfi`0>0j;bmvjqYddfi`090j;bmvjqYddfi`080j;bmvjqYddfi`0;0j;bmvjqYddfi`0:0j;bmvjqYddfi`050j;bmvjqYddfi`040=6:alqkrXkeehgV?8]^EM@Z76WfUjhiQ>0^MVP969:>1hcx`{_bnlgn]6?TULBIQ>1^m\eabX99UDYY2>0?04?firf}Uh`bmd[05^[BHCW8;TcRokd^33[JSS48;5>:5lotlw[fjhkbQ:;PQHNE]25ZiXimnT==Q@UU>26;403je~byQllnah_41ZWNDOS7\]DJAY69VeTmijP11]LQQ:6<78<7naznu]`hjelS8=VSJ@K_03\kZgclV;;SB[[<07=62=dg|dSnb`cjY23XY@FMU:=RaPaef\55YH]]6::3<6;bmvjqYddfi`W<9R_FLG[47XgVkohR??_NWW841=878<7naznu]`hjelS8=VSJ@K_03\kZgclV;;SB[[<05=63=dg|dSnb`cjY23XY@FMU:=RaPaef\55YH]]6:2?84cnwmpZekgjaP=:SPGOF\54YhWhnoS<>POTV?6;413je~byQllnah_41ZWNDOS0=6:alqkrXkeehgV?8]^EM@Z76WfUjhiQ>0^MVP929:?1hcx`{_bnlgn]6?TULBIQ>1^m\eabX99UDYY2:>348gjsi|VigcneT16_\CKBX98UdSljk_02\KPR;>78=7naznu]`hjelS8=VSJ@K_03\kZgclV;;SB[[<6<12>eh}g~ToaalkZ34YZAILV;:SbQnde]24ZIR\525>;5lotlw[fjhkbQ:;PQHNE]25ZiXimnT==Q@UU>::a=dg|dSnb`cj]3`>eh}g~Toaalk^3f?firf}Uh`bmd_02f?firf}Uh`bmd_03f?firf}Uh`bmd_00f?firf}Uh`bmd_01f?firf}Uh`bmd_06f?firf}Uh`bmd_07f?firf}Uh`bmd_04f?firf}Uh`bmd_05g?firf}Uh`bmd_3f8gjsi|VigcneP3e9`kphsWjfdofQ;d:alqkrXkeehgR;k;bmvjqYddfi`S;j4cnwmpZekgjaT;i5lotlw[fjhkbU3h6m`uov\giidcV3o7naznu]sefm;87n0ob{at^rbgn:66m1hcx`{_qc`o949l2idyczPp`ah868c3je~byQabi?0;`6>58c3je~byQabi?1;423je~byQabiX1XY@FMU9:RaPaef\617XG\^7<3<:;bmvjqYwijaP9PQHNE]12ZiXimnT>9?POTV?5;423je~byQabiX1XY@FMU9:RaPaef\617XG\^7>3<:;bmvjqYwijaP9PQHNE]12ZiXimnT>9?POTV?7;423je~byQabiX1XY@FMU9:RaPaef\617XG\^783<8;bmvjqYwijaP9PQHNE]12ZiXimnT>9?POTV?1?69:<1hcx`{_qc`o^3ZWNDOS?8Po^cg`Z439VE^X1;1c:alqkrXxhi`S=m4cnwmpZvfkbU:o6m`uov\tdelW;i0ob{at^rbgnY4k2idyczPp`ah[1eeh}g~T|xb|er>3:c=dg|dS}{csdq?5;`g9`kphsWygh}39?06?firf}U{ya}jsZ;^[BHCW8;TcRokd^7\KPR;878>7naznu]sqiub{R3VSJ@K_03\kZgclV?TCXZ31?06?firf}U{ya}jsZ;^[BHCW8;TcRokd^7\KPR;:78>7naznu]sqiub{R3VSJ@K_03\kZgclV?TCXZ33?06?firf}U{ya}jsZ;^[BHCW8;TcRokd^7\KPR;<78>7naznu]sqiub{R3VSJ@K_03\kZgclV?TCXZ35?06?firf}U{ya}jsZ;^[BHCW8;TcRokd^7\KPR;>78>7naznu]sqiub{R3VSJ@K_03\kZgclV?TCXZ37?06?firf}U{ya}jsZ;^[BHCW8;TcRokd^7\KPR;078<7naznu]sqiub{R3VSJ@K_03\kZgclV?TCXZ39;2=60=dg|dS}{csdqX=XY@FMU:=RaPaef\1ZIR\535i6m`uov\tpjtmzU;i6m`uov\tpjtmzU:i6m`uov\tpjtmzU9i6m`uov\tpjtmzU8i6m`uov\tpjtmzU?i6m`uov\tpjtmzU>i6m`uov\tpjtmzU=i6m`uov\tpjtmzUbf|hK7:3o4d`vbE91=8720hlznA=5=f>bf|hUhd<2?>c9geqgXka;7=3j4d`vb[fn64;0;2o5kauc\gm7;:7h0hlzn_bj1858e3mkmRmg2=3=`>bf|hUhd?2=:11<1b:fbpdYd`:6;2o5kauc\gm5;97n0hlzn_bj087<76k1omyoPci1?6;dbf|hUhyQ|t`vf8586;2njxlQlus]ppdrb480;2>99geqgXzl{h7io{a^pfuZeo9j1omyoPrds\gm4d3mkmR|jq^ak7f=ci}kT~hPci6`?agsiVxn}Rmg5`9geqgXzl{T1^m\IP^X<0Ud=i5kauc\v`wX{VMEHR?>_n]NQ]Y31Ve:m6jnt`ak5969j2njxlmg1=33:g=ci}khd<2>1?`8`drfka;7=?0m;ecwefn64895n6jnt`ak59736k1omyolh0>21;d<37=f>bf|hic=1<9>c9geqgd`869;3l4d`vbgm7;:14i7io{abj287?9i2njxlmg1=0=f>bf|hic=1=?>c9geqgd`868=3l4d`vbgm7;;;4i7io{abj28659j2njxlmg1=17:g=ci}khd<2<5?`8`drfka;7?;0m;ecwefn64:=5n6jnt`ak595?6m1omyolh0>0=?69j2njxlmg1=1::d=ci}khd<2<>`9geqgd`86?2l5kauc`l4:26h1omyolh0>5:d=ci}khd<28>`9geqgd`8632l5kauc`l4:>6l1omyolh0]bq}:76l1omyolh0]bq}:66l1omyolh0]bq}:56l1omyolh0]bq}:46l1omyolh0]bq}:36l1omyolh0]bq}:26l1omyolh0]bq}:168:0hlznci3\ep~;?3:5i6jnt`ak5Zgrp5=5h6jnt`ak5ZgrpV:o7io{abj2[dsW8n0hlznci3\ep~X:m1omyolh0]bq}Y4l2njxlmg1^cv|Z2c3mkmnf>_`w{[0bbf|hic>1>1b:fbpdeo:5;;2o5kauc`l7:697h0hlznci0?578e3mkmnf=<01=f>bf|hic>1?;>c9geqgd`;6:93l4d`vbgm4;9?4i7io{abj18419j2njxlmg2=3;:g=ci}khd?2>9?c8`drfka87=3l4d`vbgm4;:94i7io{abj18779j2njxlmg2=01:g=ci}khd?2=3?`8`drfka87>90m;ecwefn54;?5n6jnt`ak69416k1omyolh3>13;d05;d=1b:fbpdeo:59?2o5kauc`l7:4=7h0hlznci0?738e3mkmnf=<25=f>bf|hic>1=7>e9geqgd`;6857>1b:fbpdeo:5922l5kauc`l7:46h1omyolh3>7:d=ci}khd?2:>`9geqgd`;6=2l5kauc`l7:06h1omyolh3>;:d=ci}khd?26>d9geqgd`;Ujyu2?>d9geqgd`;Ujyu2>>d9geqgd`;Ujyu2=>d9geqgd`;Ujyu2<>d9geqgd`;Ujyu2;>d9geqgd`;Ujyu2:>d9geqgd`;Ujyu29>028`drfka8Tmxv37;2=a>bf|hic>Rozx=5=`>bf|hic>Rozx^2g?agsijb9Sl{w_0f8`drfka8TmxvP2e9geqgd`;UjyuQ2>1?`8`drfka97=?0m;ecwefn44895n6jnt`ak79736k1omyolh2>21;dbf|hic?1<9>c9geqgd`:69;3l4d`vbgm5;:14i7io{abj087?9i2njxlmg3=0=f>bf|hic?1=?>c9geqgd`:68=3l4d`vbgm5;;;4i7io{abj08659j2njxlmg3=17:g=ci}khd>2<5?`8`drfka97?;0m;ecwefn44:=5n6jnt`ak795?6m1omyolh2>0=?69j2njxlmg3=1::d=ci}khd>2<>`9geqgd`:6?2l5kauc`l6:26h1omyolh2>5:d=ci}khd>28>`9geqgd`:632l5kauc`l6:>6l1omyolh2]bq}:76l1omyolh2]bq}:66l1omyolh2]bq}:56l1omyolh2]bq}:46l1omyolh2]bq}:36l1omyolh2]bq}:26l1omyolh2]bq}:168:0hlznci1\ep~;?3:5i6jnt`ak7Zgrp5=5h6jnt`ak7ZgrpV:o7io{abj0[dsW8n0hlznci1\ep~X:m1omyolh2]bq}Y4l2njxlmg3^cv|Z2c3mkmnf<_`w{[0bQnuy]4e>bf|hic81>1b:fbpdeo<5;;2o5kauc`l1:697h0hlznci6?578e3mkmnf;<01=f>bf|hic81?;>c9geqgd`=6:93l4d`vbgm2;9?4i7io{abj78419j2njxlmg4=3;:g=ci}khd92>9?c8`drfka>7=3l4d`vbgm2;:94i7io{abj78779j2njxlmg4=01:g=ci}khd92=3?`8`drfka>7>90m;ecwefn34;?5n6jnt`ak09416k1omyolh5>13;d05;d=1b:fbpdeo<59?2o5kauc`l1:4=7h0hlznci6?738e3mkmnf;<25=f>bf|hic81=7>e9geqgd`=6857>1b:fbpdeo<5922l5kauc`l1:46h1omyolh5>7:d=ci}khd92:>`9geqgd`=6=2l5kauc`l1:06h1omyolh5>;:d=ci}khd926>d9geqgd`=Ujyu2?>d9geqgd`=Ujyu2>>d9geqgd`=Ujyu2=>d9geqgd`=Ujyu2<>d9geqgd`=Ujyu2;>d9geqgd`=Ujyu2:>d9geqgd`=Ujyu29>028`drfka>Tmxv37;2=a>bf|hic8Rozx=5=`>bf|hic8Rozx^2g?agsijb?Sl{w_0f8`drfka>TmxvP2e9geqgd`=UjyuQ1?`8`drfka?7=?0m;ecwefn24895n6jnt`ak19736k1omyolh4>21;d0<91b:fbpdeo=5;32o5kauc`l0:617k0hlznci7?5;d0??1b:fbpdeo=5892o5kauc`l0:5;7h0hlznci7?618e3mkmnf:<37=f>bf|hic91<9>c9geqgd`<69;3l4d`vbgm3;:14i7io{abj687?9i2njxlmg5=0=f>bf|hic91=?>c9geqgd`<68=3l4d`vbgm3;;;4i7io{abj68659j2njxlmg5=17:g=ci}khd82<5?`8`drfka?7?;0m;ecwefn24:=5n6jnt`ak195?6m1omyolh4>0=?69j2njxlmg5=1::d=ci}khd82<>`9geqgd`<6?2l5kauc`l0:26h1omyolh4>5:d=ci}khd828>`9geqgd`<632l5kauc`l0:>6l1omyolh4]bq}:76l1omyolh4]bq}:66l1omyolh4]bq}:56l1omyolh4]bq}:46l1omyolh4]bq}:36l1omyolh4]bq}:26l1omyolh4]bq}:168:0hlznci7\ep~;?3:5i6jnt`ak1Zgrp5=5h6jnt`ak1ZgrpV:o7io{abj6[dsW8n0hlznci7\ep~X:m1omyolh4]bq}Y4l2njxlmg5^cv|Z2c3mkmnf:_`w{[0b0:famquXkfexh|32?04?adn|zUhcx`{esY1YZAILV;9SbQnde]27ZIR\5:5>:5kbhvp[firf}oyW?SPGOF\57YhWhnoS<=POTV?5;4>3mhbx~Qlotlwaw]5UVMEHR?=_n]b`aY6;VE^X1<50?04?adn|zUhcx`{esY1YZAILV;9SbQnde]27ZIR\585>n5kbhvp[firf}oyW?SPGOF\57YhW~coiRczx^36[JSS4949o6jmiuq\gjsi|lxP>PQHNE]26ZiX`nnS`{w_07\KPR;978n7ilftr]`kphsm{Q9QRIAD^31[jYpamoTaxvP14]LQQ:52949o6jmiuq\gjsi|lxP>PQHNE]26ZiX`nnS`{w_07\KPR;:79j7ilftr]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?;_NWW8584j2niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=33:6d>SPwhff[hsW8>TCXZ310<0f>bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y62`8`gos{VidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[42XG\^7=>0l4dckwwZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>4^MVP9716:h0hog{s^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<:POTV?5284j2niey}Pcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:8RAZT=3;:6d>SPwhff[hsW8>TCXZ318<0e>bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y61:6g>SPwhff[hsW8>TCXZ33?1b?adn|zUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z73WF__090bea}yTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y637hca^ak16=bfH90j~ck;fgmawgcg{Uyi|l4jnkmawYtidzh7aalk^pppZdfz:1fyuk4p`ah[fsuWz~jxhh4p`ah[kc|Vymyk8;qah[wb~;2z{55pr]q858f3yzxS2>:1<;?uvtW{6:2:5pr]q[51c9q`|YjmdUjyu64re{\wdkwk2xouR}nmq]`l4etcqVyja}Qlh2a8vaX{hg{Snf;c:pg}ZufeyUhd874rdqfpZoi|m1yi~k{_hlw[lhqm2xnhzPiov\mkp69<1yi~{c_lgn[lhsm{dj`1>1179qavskWdofSd`{eslbh97768<0~h}zl^ofiZoi|lxema2>1?35?wct}eUfi`Qfnugqjdj;9;4::6|jstn\i`kXag~n~coc<01=53=umzgS`kb_hlwawhfd5;?2<84rdqvhZkbeVcexh|aam>21;713{oxyaQbel]jjqcufhf7=;0>6:pfwpjXelgTeczjroco84199?1yi~{c_lgn[lhsm{dj`1?7>048v`urdVgnaRgatdpmei:617;>7k|um]nahYnf}oyblb31?35?wct}eUfi`Qfnugqjdj;:94::6|jstn\i`kXag~n~coc<33=53=umzgS`kb_hlwawhfd5892<64rdqvhZkbeVcexh|aam>17?699?1yi~{c_lgn[lhsm{dj`1<<>078v`urdVgnaRgatdpmei:568?0~h}zl^ofiZoi|lxema2<>078v`urdVgnaRgatdpmei:368?0~h}zl^ofiZoi|lxema2:>078v`urdVgnaRgatdpmei:168?0~h}zl^ofiZoi|lxema28>078v`urdVgnaRgatdpmei:?68?0~h}zl^ofiZoi|lxema26>068v`urdVgnaRgatdpmeiY79=1yi~{c_lgn[lhsm{dj`R?>5:pfwpjXelgTeczjroco[466=2xnxbPmdo\mkrbzgkgS5:pfwpjXelgTeczjroco[446=2xnxbPmdo\mkrbzgkgS<=>5:pfwpjXelgTeczjroco[426=2xnxbPmdo\mkrbzgkgS<;>5:pfwpjXelgTeczjroco[406=2xnxbPmdo\mkrbzgkgS<9>5:pfwpjXelgTeczjroco[4>6=2xnxbPmdo\mkrbzgkgS<7>4:pfwpjXelgTeczjroco[7723{oxyaQbel]jjqcufhfT>=?:;sgpqiYjmdUbbyk}n`n\64723{oxyaQbel]jjqcufhfT>??:;sgpqiYjmdUbbyk}n`n\66733{oxyaQbel]jjqcufhfT?<:4rdqvhZkbeVcexh|aam]751=umzgS`kb_hlwawhfdV?:86|jstn\i`kXag~n~coc_737?wct}eUfi`QfnugqjdjX?8>0~h}zl^ofiZoi|lxemaQ7159qavskWdofSd`{eslbhZ?612xnxbPmdo\mkrbzgkgSl{w<1<2e>tb{|fTahcPiovfvkgkWhs0<>11`9qavskWdofSd`{eslbhZgrp5;:20c8v`urdVgnaRgatdpmeiYf}q6::3?n;sgpqiYjmdUbbyk}n`n\ep~;9>4:m6|jstn\i`kXag~n~coc_`w{84>99h1yi~{c_lgn[lhsm{dj`Rozx=3::4?>0c8v`urdVgnaRgatdpmeiYf}q69<3?n;sgpqiYjmdUbbyk}n`n\ep~;:84:m6|jstn\i`kXag~n~coc_`w{87499h1yi~{c_lgn[lhsm{dj`Rozx=00:4253{oxyaQbel]jjqcufhfTmxv325<\v`urdVgnaRgatdpmeiYf}q6993Q}erwo[hcjW`di`nl^cv|9416VxnxbPmdo\mkrbzgkgSl{w<35=[wct}eUfi`QfnugqjdjXi|r7>50PrdqvhZkbeVcexh|aam]bq}:517Uyi~{c_lgn[lhsm{dj`Rozx=13:Ztb{|fTahcPiovfvkgkWhs0>?1_sgpqiYjmdUbbyk}n`n\ep~;;;4T~h}zl^ofiZoi|lxemaQnuy>07;YumzgS`kb_hlwawhfdVk~t1=;>^pfwpjXelgTeczjroco[ds494:8>5}erwo[hcjW`di`nl^cv|9436VxnxbPmdo\mkrbzgkgSl{w<37=[wct}eUfi`QfnugqjdjXi|r7>;0PrdqvhZkbeVcexh|aam]bq}:5?7Uyi~{c_lgn[lhsm{dj`Rozx=0;:Ztb{|fTahcPiovfvkgkWhs0?71_sgpqiYjmdUbbyk}n`n\ep~;;94T~h}zl^ofiZoi|lxemaQnuy>05;YumzgS`kb_hlwawhfdVk~t1==>^pfwpjXelgTeczjroco[ds4:95Sk|um]nahYnf}oyblbPatz?718Xzly~`Rcjm^kmp`tiieUjyu2>0?371>tb{|fTahcPiovfvkgkWhs0?:1_sgpqiYjmdUbbyk}n`n\ep~;:<4T~h}zl^ofiZoi|lxemaQnuy>12;YumzgS`kb_hlwawhfdVk~t1<8>^pfwpjXelgTeczjroco[ds4;25Sk|um]nahYnf}oyblbPatz?6<8Xzly~`Rcjm^kmp`tiieUjyu2<0?]qavskWdofSd`{eslbhZgrp59:2R|jstn\i`kXag~n~coc_`w{8649W{oxyaQbel]jjqcufhfTmxv332<\v`urdVgnaRgatdpmeiYf}q6883Q}erwo[hcjW`di`nl^cv|976294:8>5}erwo[hcjW`di`nl^cv|9436VxnxbPmdo\mkrbzgkgSl{w<37=[wct}eUfi`QfnugqjdjXi|r7>;0PrdqvhZkbeVcexh|aam]bq}:5?7Uyi~{c_lgn[lhsm{dj`Rozx=0;:Ztb{|fTahcPiovfvkgkWhs0?71_sgpqiYjmdUbbyk}n`n\ep~;;94T~h}zl^ofiZoi|lxemaQnuy>05;YumzgS`kb_hlwawhfdVk~t1==>^pfwpjXelgTeczjroco[ds4:95Sk|um]nahYnf}oyblbPatz?718Xzly~`Rcjm^kmp`tiieUjyu2>1?376>tb{|fTahcPiovfvkgkWhs0?:1_sgpqiYjmdUbbyk}n`n\ep~;:<4T~h}zl^ofiZoi|lxemaQnuy>12;YumzgS`kb_hlwawhfdVk~t1<8>^pfwpjXelgTeczjroco[ds4;25Sk|um]nahYnf}oyblbPatz?6<8Xzly~`Rcjm^kmp`tiieUjyu2<0?]qavskWdofSd`{eslbhZgrp59:2R|jstn\i`kXag~n~coc_`w{8649W{oxyaQbel]jjqcufhfTmxv332<\v`urdVgnaRgatdpmeiYf}q6883Q}erwo[hcjW`di`nl^cv|9799=80~h}zl^ofiZoi|lxemaQnuy>10;YumzgS`kb_hlwawhfdVk~t1<:>^pfwpjXelgTeczjroco[ds4;<5Sk|um]nahYnf}oyblbPatz?628Xzly~`Rcjm^kmp`tiieUjyu2=8?]qavskWdofSd`{eslbhZgrp5822R|jstn\i`kXag~n~coc_`w{8669W{oxyaQbel]jjqcufhfTmxv330<\v`urdVgnaRgatdpmeiYf}q68>3Q}erwo[hcjW`di`nl^cv|9546VxnxbPmdo\mkrbzgkgSl{w<26=[wct}eUfi`QfnugqjdjXi|r7>3?;2:pfwpjXelgTeczjroco[ds4;>5Sk|um]nahYnf}oyblbPatz?608Xzly~`Rcjm^kmp`tiieUjyu2=6?]qavskWdofSd`{eslbhZgrp58<2R|jstn\i`kXag~n~coc_`w{87>9W{oxyaQbel]jjqcufhfTmxv328<\v`urdVgnaRgatdpmeiYf}q68<3Q}erwo[hcjW`di`nl^cv|9566VxnxbPmdo\mkrbzgkgSl{w<20=[wct}eUfi`QfnugqjdjXi|r7?>0PrdqvhZkbeVcexh|aam]bq}:4<7Uyi~{c_lgn[lhsm{dj`Rozx=1=5142R|jstn\i`kXag~n~coc_`w{8709W{oxyaQbel]jjqcufhfTmxv326<\v`urdVgnaRgatdpmeiYf}q6943Q}erwo[hcjW`di`nl^cv|94>6VxnxbPmdo\mkrbzgkgSl{w<22=[wct}eUfi`QfnugqjdjXi|r7?<0PrdqvhZkbeVcexh|aam]bq}:4:7Uyi~{c_lgn[lhsm{dj`Rozx=10:Ztb{|fTahcPiovfvkgkWhs0>:1_sgpqiYjmdUbbyk}n`n\ep~;<7;?>6|jstn\i`kXag~n~coc_`w{8729W{oxyaQbel]jjqcufhfTmxv324<\v`urdVgnaRgatdpmeiYf}q69:3Q}erwo[hcjW`di`nl^cv|9406VxnxbPmdo\mkrbzgkgSl{w<3:=[wct}eUfi`QfnugqjdjXi|r7>40PrdqvhZkbeVcexh|aam]bq}:487Uyi~{c_lgn[lhsm{dj`Rozx=12:Ztb{|fTahcPiovfvkgkWhs0><1_sgpqiYjmdUbbyk}n`n\ep~;;:4T~h}zl^ofiZoi|lxemaQnuy>00;YumzgS`kb_hlwawhfdVk~t1;11508v`urdVgnaRgatdpmeiYf}q6983Q}erwo[hcjW`di`nl^cv|9426VxnxbPmdo\mkrbzgkgSl{w<34=[wct}eUfi`QfnugqjdjXi|r7>:0PrdqvhZkbeVcexh|aam]bq}:507Uyi~{c_lgn[lhsm{dj`Rozx=0::Ztb{|fTahcPiovfvkgkWhs0>>1_sgpqiYjmdUbbyk}n`n\ep~;;84T~h}zl^ofiZoi|lxemaQnuy>06;YumzgS`kb_hlwawhfdVk~t1=<>^pfwpjXelgTeczjroco[ds4:>5Sk|um]nahYnf}oyblbPatz?2;73:2xnxbPmdo\mkrbzgkgSl{w<36=[wct}eUfi`QfnugqjdjXi|r7>80PrdqvhZkbeVcexh|aam]bq}:5>7Uyi~{c_lgn[lhsm{dj`Rozx=04:Ztb{|fTahcPiovfvkgkWhs0?61_sgpqiYjmdUbbyk}n`n\ep~;:04T~h}zl^ofiZoi|lxemaQnuy>04;YumzgS`kb_hlwawhfdVk~t1=>>^pfwpjXelgTeczjroco[ds4:85Sk|um]nahYnf}oyblbPatz?768Xzly~`Rcjm^kmp`tiieUjyu2<4?]qavskWdofSd`{eslbhZgrp5=5=9<4rdqvhZkbeVcexh|aam]bq}:5<7Uyi~{c_lgn[lhsm{dj`Rozx=06:Ztb{|fTahcPiovfvkgkWhs0?81_sgpqiYjmdUbbyk}n`n\ep~;:>4T~h}zl^ofiZoi|lxemaQnuy>1<;YumzgS`kb_hlwawhfdVk~t1<6>^pfwpjXelgTeczjroco[ds4::5Sk|um]nahYnf}oyblbPatz?748Xzly~`Rcjm^kmp`tiieUjyu2<2?]qavskWdofSd`{eslbhZgrp5982R|jstn\i`kXag~n~coc_`w{8629W{oxyaQbel]jjqcufhfTmxv38?376>tb{|fTahcPiovfvkgkWhs0?:1_sgpqiYjmdUbbyk}n`n\ep~;:<4T~h}zl^ofiZoi|lxemaQnuy>12;YumzgS`kb_hlwawhfdVk~t1<8>^pfwpjXelgTeczjroco[ds4;25Sk|um]nahYnf}oyblbPatz?6<8Xzly~`Rcjm^kmp`tiieUjyu2<0?]qavskWdofSd`{eslbhZgrp59:2R|jstn\i`kXag~n~coc_`w{8649W{oxyaQbel]jjqcufhfTmxv332<\v`urdVgnaRgatdpmeiYf}q6883Q}erwo[hcjW`di`nl^cv|9?9901yi~{c_lgn[lhsm{dj`Rozx=0=5<=umzgS`kb_hlwawhfdVk~t1=1189qavskWdofSd`{eslbhZgrp5>5=45}erwo[hcjW`di`nl^cv|939901yi~{c_lgn[lhsm{dj`Rozx=4=5<=umzgS`kb_hlwawhfdVk~t191189qavskWdofSd`{eslbhZgrp525=45}erwo[hcjW`di`nl^cv|9?9911yi~{c_lgn[lhsm{dj`Rozx^22<>tb{|fTahcPiovfvkgkWhsS3{oxyaQbel]jjqcufhfTmxvP133:?wct}eUfi`QfnugqjdjXi|rT=>?6;sgpqiYjmdUbbyk}n`n\ep~X9=;27k|um]nahYnf}oyblbPatz\507>3{oxyaQbel]jjqcufhfTmxvP173:?wct}eUfi`QfnugqjdjXi|rT=:?6;sgpqiYjmdUbbyk}n`n\ep~X91;27k|um]nahYnf}oyblbPatz\5<7?3{oxyaQbel]jjqcufhfTmxvP20;8v`urdVgnaRgatdpmeiYf}qU9<<74rdqvhZkbeVcexh|aam]bq}Y59830~h}zl^ofiZoi|lxemaQnuy]164?=55}erwo[hcjW`di`nl^cv|Z2602xnxbPmdo\mkrbzgkgSl{w_43;?wct}eUfi`QfnugqjdjXi|rT:<64rdqvhZkbeVcexh|aam]bq}Y0911yi~{c_lgn[lhsm{dj`Rozx^:2<>tb{|fTahcPiovfvkgkWhsS4j4rdqvhZufey6;2h5}erwo[vgjx5;;2h5}erwo[vgjx5;:2h5}erwo[vgjx5;92h5}erwo[vgjx5;82h5}erwo[vgjx5;?2h5}erwo[vgjx5;>2h5}erwo[vgjx5;=2h5}erwo[vgjx5;<2h5}erwo[vgjx5;32h5}erwo[vgjx5;22i5}erwo[vgjx5;5i6|jstn\wdkw4;:5i6|jstn\wdkw4;;5i6|jstn\wdkw4;85i6|jstn\wdkw4;95i6|jstn\wdkw4;>5i6|jstn\wdkw4;?5i6|jstn\wdkw4;<5i6|jstn\wdkw4;=5i6|jstn\wdkw4;25i6|jstn\wdkw4;35h6|jstn\wdkw4;4n7k|um]pehv;;94n7k|um]pehv;;84n7k|um]pehv;;;4n7k|um]pehv;;:4n7k|um]pehv;;=4n7k|um]pehv;;<4n7k|um]pehv;;?4n7k|um]pehv;;>4n7k|um]pehv;;14:<6|jstn\wdkw4:31<3k4rdqvhZufey6853j4rdqvhZufey682i5}erwo[vgjx5>5h6|jstn\wdkw4<4o7k|um]pehv;>7n0~h}zl^qbiu:06m1yi~{c_rcnt9>9l2xnxbPs`os8<803{zbSk~7:pppZdfzk1yyQmas]jjseufeyUhcx`{<1ufeyUhcx`{<0394;c<{hg{Snaznu>25;b<{hg{Snaznu>2:a=tidzTob{at=0=`>ufeyUhcx`{<2e9pehvXkfex181d:qbiuYdg|d0:0k;rcntZeh}g~743j4s`os[firf}622?;4s`os[firf}Q:=PQHNE]26ZiXimnT>4Q@UU>3:70<{hg{SnaznuY25XY@FMU:>RaPaef\62^m\eabX:0UDYY2>1?06?vgjxVidyczT10_\CKBX9;UdSljk_3;\KPR;978>7~obp^alqkr\98WTKCJP13]l[dbcW;3TCXZ32?06?vgjxVidyczT10_\CKBX9;UdSljk_3;\KPR;;78>7~obp^alqkr\98WTKCJP13]l[dbcW;3TCXZ34?06?vgjxVidyczT10_\CKBX9;UdSljk_3;\KPR;=78>7~obp^alqkr\98WTKCJP13]l[dbcW;3TCXZ36?06?vgjxVidyczT10_\CKBX9;UdSljk_3;\KPR;?78>7~obp^alqkr\98WTKCJP13]l[dbcW;3TCXZ38?06?vgjxVidyczT10_\CKBX9;UdSljk_3;\KPR;17i0lc_bmvjqY7k2yja}Qlotlw[4b<{hg{Snaznu]24a=tidzTob{at^32g>ufeyUhcx`{_3a8wdkwWje~byQo6}nmq]`kphsW?i0lc_bmvjqY0k2yja}Qlotlw[=e<{hg{Snaznu]:3>ubdVh~j<>4sdn\fp`XAG\T9=Q`109paiYe}oUBB[Q:0^m254=teyzjofohe^ak59699;1xa}~ncjcdaZeo95;;2<<4slrsefmfolUhd<2>1?31?vkwxhi`mjkPci3?5786:2yf|}olk`ef[fn64895=?5|mqrbgng`mVic=1?;>008whvwijajkhQlh0>21;753zg{|lmdafg\gm7;9?4:>6}bpqc`odabWjb:0<91139piuvfkbkliRmg1=3;:44<{dz{mnengd]`l4:617;:7~cp`ahebcXka;7=3?=;rostdelinoToe?321<26>ujxykhglij_bj287799;1xa}~ncjcdaZeo95892<<4slrsefmfolUhd<2=3?31?vkwxhi`mjkPci3?6186:2yf|}olk`ef[fn64;?5=?5|mqrbgng`mVic=1<9>008whvwijajkhQlh0>13;753zg{|lmdafg\gm7;:14:>6}bpqc`odabWjb:0?71109piuvfkbkliRmg1=0=57=teyzjofohe^ak59576880`~abibc`Yd`868=3?=;rostdelinoToe?333<26>ujxykhglij_bj286599;1xa}~ncjcdaZeo959?2<<4slrsefmfolUhd<2<5?31?vkwxhi`mjkPci3?7386:2yf|}olk`ef[fn64:=5=?5|mqrbgng`mVic=1=7>068whvwijajkhQlh0>0=?699;1xa}~ncjcdaZeo95922038whvwijajkhQlh0>7:47<{dz{mnengd]`l4:268;0`~abibc`Yd`86=2038whvwijajkhQlh0>;:47<{dz{mnengd]`l4:>68;0`~abibc`Yd`;6;2<<4slrsefmfolUhd?2>0?31?vkwxhi`mjkPci0?5486:2yf|}olk`ef[fn54885=?5|mqrbgng`mVic>1?<>008whvwijajkhQlh3>20;753zg{|lmdafg\gm4;9<4:>6}bpqc`odabWjb90<81139piuvfkbkliRmg2=34:44<{dz{mnengd]`l7:607;97~cp`ahebcXka87=40>1:qntugdchmnSnf=<0<26>ujxykhglij_bj187699;1xa}~ncjcdaZeo:58:2<<4slrsefmfolUhd?2=2?31?vkwxhi`mjkPci0?6686:2yf|}olk`ef[fn54;>5=?5|mqrbgng`mVic>1<:>008whvwijajkhQlh3>12;753zg{|lmdafg\gm4;:>4:>6}bpqc`odabWjb90?61139piuvfkbkliRmg2=0::47<{dz{mnengd]`l7:56880`~abibc`Yd`;68<3?=;rostdelinoToe<330<26>ujxykhglij_bj186499;1xa}~ncjcdaZeo:5982<<4slrsefmfolUhd?2<4?31?vkwxhi`mjkPci0?7086:2yf|}olk`ef[fn54:<5=?5|mqrbgng`mVic>1=8>008whvwijajkhQlh3>0<;733zg{|lmdafg\gm4;;00;2<<4slrsefmfolUhd?2<9?32?vkwxhi`mjkPci0?7;763zg{|lmdafg\gm4;<7;:7~cp`ahebcXka8793?>;rostdelinoToe<36?32?vkwxhi`mjkPci0?3;763zg{|lmdafg\gm4;07;:7~cp`ahebcXka8753?>;rostdelinoToe=30?31?vkwxhi`mjkPci1?5586:2yf|}olk`ef[fn448;5=?5|mqrbgng`mVic?1?=>008whvwijajkhQlh2>27;753zg{|lmdafg\gm5;9=4:>6}bpqc`odabWjb80<;1139piuvfkbkliRmg3=35:44<{dz{mnengd]`l6:6?7;97~cp`ahebcXka97=50>2:qntugdchmnSnf<<0;=54=teyzjofohe^ak79799;1xa}~ncjcdaZeo;58;2<<4slrsefmfolUhd>2=1?31?vkwxhi`mjkPci1?6786:2yf|}olk`ef[fn44;95=?5|mqrbgng`mVic?1<;>008whvwijajkhQlh2>11;753zg{|lmdafg\gm5;:?4:>6}bpqc`odabWjb80?91139piuvfkbkliRmg3=0;:44<{dz{mnengd]`l6:517;:7~cp`ahebcXka97>3?=;rostdelinoToe=331<26>ujxykhglij_bj086799;1xa}~ncjcdaZeo;5992<<4slrsefmfolUhd>2<3?31?vkwxhi`mjkPci1?7186:2yf|}olk`ef[fn44:?5=?5|mqrbgng`mVic?1=9>008whvwijajkhQlh2>03;753zg{|lmdafg\gm5;;14:86}bpqc`odabWjb80>750?31?vkwxhi`mjkPci1?7<8692yf|}olk`ef[fn44:4:=6}bpqc`odabWjb8090>1:qntugdchmnSnf<<4<25>ujxykhglij_bj0838692yf|}olk`ef[fn44>4:=6}bpqc`odabWjb8050>1:qntugdchmnSnf<<8<25>ujxykhglij_bj78586:2yf|}olk`ef[fn348:5=?5|mqrbgng`mVic81?>>008whvwijajkhQlh5>26;753zg{|lmdafg\gm2;9:4:>6}bpqc`odabWjb?0<:1139piuvfkbkliRmg4=36:44<{dz{mnengd]`l1:6>7;97~cp`ahebcXka>7=:0>2:qntugdchmnSnf;<0:=57=teyzjofohe^ak097>68;0`~abibc`Yd`=6:2<<4slrsefmfolUhd92=0?31?vkwxhi`mjkPci6?6486:2yf|}olk`ef[fn34;85=?5|mqrbgng`mVic81<<>008whvwijajkhQlh5>10;753zg{|lmdafg\gm2;:<4:>6}bpqc`odabWjb?0?81139piuvfkbkliRmg4=04:44<{dz{mnengd]`l1:507;97~cp`ahebcXka>7>40>1:qntugdchmnSnf;<3<26>ujxykhglij_bj786699;1xa}~ncjcdaZeo<59:2<<4slrsefmfolUhd92<2?31?vkwxhi`mjkPci6?7686:2yf|}olk`ef[fn34:>5=?5|mqrbgng`mVic81=:>008whvwijajkhQlh5>02;753zg{|lmdafg\gm2;;>4:>6}bpqc`odabWjb?0>61159piuvfkbkliRmg4=1:>586:2yf|}olk`ef[fn34:35=<5|mqrbgng`mVic81=1109piuvfkbkliRmg4=6=54=teyzjofohe^ak0939981xa}~ncjcdaZeo<5<5=<5|mqrbgng`mVic8191109piuvfkbkliRmg4=:=54=teyzjofohe^ak09?9981xa}~ncjcdaZeo=5:5=?5|mqrbgng`mVic91??>008whvwijajkhQlh4>25;753zg{|lmdafg\gm3;9;4:>6}bpqc`odabWjb>0<=1139piuvfkbkliRmg5=37:44<{dz{mnengd]`l0:6=7;97~cp`ahebcXka?7=;0>2:qntugdchmnSnf:<05=57=teyzjofohe^ak197?6880`~abibc`Yd`<6:53?>;rostdelinoToe;31?31?vkwxhi`mjkPci7?6586:2yf|}olk`ef[fn24;;5=?5|mqrbgng`mVic91<=>008whvwijajkhQlh4>17;753zg{|lmdafg\gm3;:=4:>6}bpqc`odabWjb>0?;1139piuvfkbkliRmg5=05:44<{dz{mnengd]`l0:5?7;97~cp`ahebcXka?7>50>2:qntugdchmnSnf:<3;=54=teyzjofohe^ak19499;1xa}~ncjcdaZeo=59;2<<4slrsefmfolUhd82<1?31?vkwxhi`mjkPci7?7786:2yf|}olk`ef[fn24:95=?5|mqrbgng`mVic91=;>008whvwijajkhQlh4>01;753zg{|lmdafg\gm3;;?4:>6}bpqc`odabWjb>0>91139piuvfkbkliRmg5=1;:42<{dz{mnengd]`l0:413:5=?5|mqrbgng`mVic91=6>038whvwijajkhQlh4>0:47<{dz{mnengd]`l0:368;0`~abibc`Yd`<6>2038whvwijajkhQlh4>4:47<{dz{mnengd]`l0:?68;0`~abibc`Yd`<622<>4xb4ph}?*;$~zk<:;}ABs76ga3IJs88:5F;095~Ub93>>?7=8c;307f3a839:momtn572>4=i<<81:6*;51870d=z[l:188=536a9565d=o:1?:570>61d2898o8h?:23bff=qN:h;6=4>:083Vc62=?86>9l:010g0`72:;jnn5+45:977><,:=o64l4b30;>5<493;m6<<9{M67`?7|,:=o6lm?;|N70`<5s->8<7mn;o605?473t.?894=299Yg0<0s?<1::498;4:>3g=:;0j97sU43a9b~162>81;>484;56>=?=0h03n76l:9f9=1<4n3h?6995498~m2?1290/?5>57878j61a2910e:7;:18'7=6=?0?0b>9i:098m2?4290/?5>57878j61a2;10e:7n:18'7=6=?030b>9i:198m2??290/?5>578;8j61a2810e:78:18'7=6=?030b>9i:398m2?b290/?5>578f8j61a2910e:7l:18'7=6=?0n0b>9i:098m2?e290/?5>578f8j61a2;10e:o=:18'7=6=?h;0b>9i:198m2g7290/?5>57`38j61a2810e:7i:18'7=6=?h;0b>9i:398m2g1290/?5>57`78j61a2910e:o;:18'7=6=?h?0b>9i:098m2g4290/?5>57`78j61a2;10e;on:188m<6d2900e;7n:188m3?e2900e;7l:188m3?c2900e;7j:188k304290/?5>56708j61a2910c;8>:18'7=6=>?80b>9i:098k30d290/?5>56708j61a2;10c;8m:18'7=6=>?80b>9i:298k30f290/?5>56708j61a2=10c;86:18'7=6=>?80b>9i:498k30?290/?5>56708j61a2?10c;88:18'7=6=>?80b>9i:698k301290/?5>56708j61a2110c;8::18'7=6=>?80b>9i:898k303290/?5>56708j61a2h10c;8?:18'7=6=>?80b>9i:c98k2?5290/?5>57838j61a2910c:7?:18'7=6=?0;0b>9i:098k2>a290/?5>57838j61a2;10c:6j:18'7=6=?0;0b>9i:298k2>c290/?5>57838j61a2=10c:6l:18'7=6=?0;0b>9i:498k2>e290/?5>57838j61a2?10c:6n:18'7=6=?0;0b>9i:698k<6e2900c:ol:188k2gc2900c:oj:188k2ga2900c:l?:188k<642900n9:i:182>5<7s->?87:;7:J70g=h;>h1<75rb56`>5<6290;w):;4;01e>N3?750;9~f=`b2908979l:e:xH12c28qC??84}M67a?4|,=9;6no4n512>45d3t.?8946079Yg0<4s1o1:8472;Y07e=99q2<78l:4a91a<2j30?=?10ve;77:18'7=6=>0=0b>9i:198m3?1290/?5>56858j61a2810e;7::18'7=6=>0=0b>9i:398m3?3290/?5>56858j61a2:10e;6;:18'7=6=>190b>9i:198m3>5290/?5>56918j61a2810e;6>:18'7=6=>190b>9i:398m2900e:66:188m33?2900c4lj:18'7=6=1kn0b>9i:198k59cf8j61a2810c4lm:18'7=6=1kn0b>9i:398k59cf8j61a2:10c4l6:18'7=6=1kn0b>9i:598k59cf8j61a2<10c4l8:18'7=6=1kn0b>9i:798k59cf8j61a2>10c4l::18'7=6=1kn0b>9i:998k59cf8j61a2010c4l=:18'7=6=1kn0b>9i:`98k59cf8j61a2k10c4l?:18'7=6=1kn0b>9i:b98k59cf8j61a2m10c4oj:18'7=6=1kn0b>9i:d98k59cf8j61a2o10c4ol:18'7=6=1kn0b>9i:028?j?fj3:1(>6?:8`g?k50n3;:76a6a`83>!5?833ih6`<7g826>=h1h31<7*<818:fa=i;>l1=>54o8c4>5<#;1:15oj4n25e>42<3f3j:7>5$2:3>21d5l:50;&0<5<>jm1e?:h51698k59cf8j61a28207b7n2;29 6>720ho7c=8f;3:?>i>i80;6)=70;;a`>h4?o0:m65`9`294?"40902ni5a36d95g=e:9l=f2=83.84=46be9m72`=9o10c4m<:18'7=6=1kn0b>9i:328?j?d:3:1(>6?:8`g?k50n38:76a6c083>!5?833ih6`<7g816>=h1j:1<7*<818:fa=i;>l1>>54o8`e>5<#;1:15oj4n25e>72<3f3i?7>5$2:3>21d54j50;&0<5<>jm1e?:h52698k<7f2900n9:l:182>5<7s->?87<=a:J70g=h:;31<75rb7c;>5<3290;w):;4;02b>N3{%604?ee3tch:7>5;hg0>5<5<54;294~"3<=09=k5G45`8H12c28q/??=50:O01c=9r.??=4lb:jg3<722cn?7>5;h1ba?6=3f9jh7>5;|`5<`<72=0;6=u+456964`<@=>i7A:;d;3x 644291v@9:j:0y'066=kk1ven850;9ja6<722c8mh4?::m0ea<722wi:4<50;694?6|,=>?6??i;I67f>J3oj:188k6gc2900qo878;290?6=8r.?894=1g9K01do6{K<=o1=v*;318`f>{nk?0;66gj3;29?l5fm3:17b=nd;29?xd1000;694?:1y'012=:8l0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nm:0;66g>i4im0;66sm6`794?2=83:p(9:;:300?M23j2F?8i4>{%117?1?i7?t$513>fd>48;|N70`<6s->8<7mm;|k`2?6=3`o86=44i50a>5<9n7>5;n1b`?6=3th2=44?:783>5}#<=>1>?<4H56a?I23l3;p(><<:19~H12b28q/8>>5cc9~mf0=831boi4?::kf7?6=3`9ji7>5;h61f?6=3f9jh7>5;|`:4<<72<0;6=u+4569677<@=>i7A:;d;3x 644291v@9:j:0y'066=kk1ven850;9ja6<722c8mh4?::k76g<722e8mi4?::a=`2=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`3=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`>=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`?=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`g=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`d=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`e=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`b=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`c=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=``=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`0=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=`1=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::at$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::at$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::at$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=<0=83>1<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi54;50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi54=50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi54?50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi55k50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi55m50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi55o50;694?6|,=>?6??j;I67f>J3t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=6b=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=1>=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=1b=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=1c=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=1`=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=06=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=07=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=04=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=05=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=6c=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=6`=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=16=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=17=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=14=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=15=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=12=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=13=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=10=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=11=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=1?=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=1g=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=1d=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=1e=83?1<7>t$567>7473A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a==?=83>1<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi55850;694?6|,=>?6??j;I67f>J3=83>1<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi55950;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi55=50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi55<50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi5:h50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi5:l50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi5:m50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi54m50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi54950;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi54650;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi55;50;694?6|,=>?6??j;I67f>J31<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188mfb=831bi>4?::m0ea<722wi5:750;694?6|,=>?6??j;I67f>J3tL56f>3}#;k;15=:4n305>6=i:;=1:6*=24852a=#<::1oo5a423927=z,=>?6:o8;h;2=?6=3`<>47>5;hg1>5<5<kj6=44o6c;>5<"40<0??h5+39697c?"3;90hn6`;308b1>{#<=>1?;h4ig294?=n98o1<75f45294?=h;o31<75rb26`>5<>290;wA:;e;5x 6d62??m7)=72;3f3>"3"3<<0;7):<0;147>h3;80?h45r$567>62d3Si>6>u92;40>3d=u`;n47>5$2:3>4`23g95$2:3>4`23g94;h035?6=,:2;6?>j;o14b?4<3`8;h7>5$2:3>76b3g9j;o14b?6<3f9mm7>5$2:3>1733g9:97>5$2:3>1733g94;|`00a<72;>1<7>tL56f>2}#;k;1:8h4$2:1>4c03->?:7=4$2:7>6`>3->?97>4$513>6143g>8=7:k9:'012=;=n0Vn;53z3e>76=:80ve9i:c98m4`3290/?5>51dc8j61a2h10e9i:898m4`0290/?5>51dc8j61a2110e9i:698m4`f290/?5>51dc8j61a2?10e9i:498m4`d290/?5>51dc8j61a2=10e9i:298m4`a290/?5>51dc8j61a2;10e9i:098m4ce290/?5>51dc8j61a2910e?>>:18'7=6=:990b>9i:c98m76c290/?5>52118j61a2h10e?>i:18'7=6=:990b>9i:898m777290/?5>52118j61a2110e??>:18'7=6=:990b>9i:698m774290/?5>52118j61a2?10e??;:18'7=6=:990b>9i:498m772290/?5>52118j61a2=10e??9:18'7=6=:990b>9i:298m77?290/?5>52118j61a2;10e?>=:18'7=6=:990b>9i:098m763290/?5>52118j61a2910c>hn:18'7=6=;oi0b>9i:c98k16?290/?5>53ga8j61a2h10c9?::18'7=6=;oi0b>9i:898k146290/?5>53ga8j61a2110c9<=:18'7=6=;oi0b>9i:698k143290/?5>53ga8j61a2?10c9<::18'7=6=;oi0b>9i:498k140290/?5>53ga8j61a2=10c9<7:18'7=6=;oi0b>9i:298k14f290/?5>53ga8j61a2;10c>hm:18'7=6=;oi0b>9i:098k6`c290/?5>53ga8j61a2910qo=80;293<<729qG89k58z&0f4<>811/?5?5eg9'7=3=<:o0(>6;:2d:?k25n380b9:6:3:8 1572jh0b9=>:2d8y!23<39<<6Tl5;1x3d<0j32:6pgi1;29 6>728;?7c=8f;04?>oal3:1(>6?:037?k50n38=76g>0`83>!5?83;:86`<7g811>=n98<1<7*<818251=i;>l1>954i034>5<#;1:1=<:4n25e>75<3`;:47>5$2:3>4733g94:l03c<5921b=51068j61a28l07d?>d;29 6>728;?7c=8f;3f?>oa:3:1(>6?:037?k50n3;o76gi4;29 6>728;?7c=8f;3`?>oa=3:1(>6?:037?k50n3;i76gi6;29 6>728;?7c=8f;3b?>oa?3:1(>6?:037?k50n3;276gi8;29 6>728;?7c=8f;3;?>oa13:1(>6?:037?k50n3;<76gia;29 6>728;?7c=8f;35?>oaj3:1(>6?:037?k50n3;>76gic;29 6>728;?7c=8f;37?>oam3:1(>6?:037?k50n3;876gif;29 6>728;?7c=8f;31?>o6890;6)=70;320>h4?o0:=65f11094?"4090:=95a36d955=5<#;1:1=<:4n25e>`=6=4+39295425<#;1:1=<:4n25e>f=5<#;1:1=<:4n25e>d=5<#;1:1=<:4n25e>==5<#;1:1=<:4n25e>3=5<#;1:1=<:4n25e>1=54i031>5<#;1:1=<:4n25e>7=5<#;1:1=<:4n25e>5=439m72`=:=10e<:::18'7=6=9=80b>9i:318?l73>3:1(>6?:061?k50n38976g>4683>!5?83;?>6`<7g815>=n9=31<7*<818207=i;>l1>=54i06b>5<#;1:1=9<4n25e>4`<3`;?n7>5$2:3>4253g951508j61a28h07d?=4;29 6>728>97c=8f;3b?>o6:<0;6)=70;376>h4?o0:565f13494?"4090:8?5a36d95==44?:%1;4?73:2d8;k4>5:9j57g=83.84=4>439m72`=9=10e<9i:018?l75l3:1(>6?:061?k50n3;976g>2d83>!5?83;?>6`<7g825>=n9::1<7*<818207=i;>l1==54i012>5<#;1:1=9<4n25e>c=5<#;1:1=9<4n25e>a=5<#;1:1=9<4n25e>g=5<#;1:1=9<4n25e><=5<#;1:1=9<4n25e>2=5<#;1:1=9<4n25e>0=5<#;1:1=9<4n25e>6=;6=4+39295145<#;1:1=9<4n25e>4=86=4+39295145<9i:318?j25<3:1(>6?:53e?k50n38976a;2483>!5?83>:j6`<7g815>=h<;=1<7*<81875c=i;>l1>=54o50;>5<#;1:184`<3f>9m7>5$2:3>17a3g9f:l03c<6l21d?kj50;&0<5<39o1e?:h51b98k6`b290/?5>540d8j61a28h07b=if;29 6>72=;m7c=8f;3b?>i3890;6)=70;62b>h4?o0:565`41094?"4090?=k5a36d95==5:9l051=83.84=4;1g9m72`=9=10c9>6:18'7=6=<8l0b>9i:018?j27i3:1(>6?:53e?k50n3;976a;0c83>!5?83>:j6`<7g825>=h<9n1<7*<81875c=i;>l1==54o52f>5<#;1:18c=5<#;1:18a=5<#;1:18g=5<#;1:18<=5<#;1:182=5<#;1:180=5<#;1:186=5<#;1:184=k4$2:7>6`>3g>9j764n56:>4><,=9;6nl4n512>fg?87=9e:X`1?g|=90>=7;=:41911<2=3?=68956586h4?o0:;65ffe83>!5?83;;=6`<7g822>=n99k1<7*<818244=i;>l1=854i035>5<#;1:1==?4n25e>42<3`;:;7>5$2:3>4663g951138j61a28:07d?>c;29 6>728::7c=8f;d8?l76l3:1(>6?:022?k50n3o07dh=:18'7=6=99;0b>9i:e98mc2=83.84=4>009m72`=k21bj84?:%1;4?7792d8;k4m;:ke2?6=,:2;6<>>;o14b?g<3`l<6=4+3929557=nn00;6)=70;335>h4?o0<76gia;29 6>728::7c=8f;48?l`e290/?5>51138j61a2<10ekm50;&0<5<6881e?:h54:9jb`<72-93<7??1:l03c<432cmj7>5$2:3>4663g9>;o14b?7<3`;;>7>5$2:3>4663g94;h32b?6=,:2;6<o4?:%1;4?75n2d8;k4>6:9j56>=83.84=4>2g9m72`=9<10e<:;:18'7=6=9;l0b>9i:068?l73=3:1(>6?:00e?k50n3;876g>4783>!5?83;9j6`<7g826>=n9==1<7*<81826c=i;>l1=<54i06:>5<#;1:1=?h4n25e>46<3`;?m7>5$2:3>44a3g95$2:3>44a3g95$2:3>44a3g95$2:3>44a3g9<3`;9;7>5$2:3>44a3g95$2:3>44a3g95$2:3>44a3g95$2:3>44a3g95$2:3>43e3g921b=8j50;&0<5<6=k1e?:h51498m43b290/?5>514`8j61a28>07d?:f;29 6>728?i7c=8f;30?>o6>90;6)=70;36f>h4?o0:>65f17394?"4090:9o5a36d954=4;h350?6=,:2;6<;m;o14b?`<3`;=97>5$2:3>43e3g95$2:3>43e3g9<7>5$2:3>43e3g9>7>5$2:3>43e3g987>5$2:3>43e3g9:7>5$2:3>43e3g957>5$2:3>43e3g9o7>5$2:3>43e3g94;h352?6=,:2;6<9:;o14b?7032c:;<4?:%1;4?70=2d8;k4>6:9j521=83.84=4>749m72`=9<10e<97:18'7=6=9>?0b>9i:068?l7013:1(>6?:056?k50n3;876g>7`83>!5?83;<96`<7g826>=n9>h1<7*<818230=i;>l1=<54i05g>5<#;1:1=:;4n25e>46<3`;5$2:3>4123g95$2:3>4123g95$2:3>4123g95$2:3>4123g9<3`;=h7>5$2:3>4123g95$2:3>4123g97>5$2:3>4123g95$2:3>4123g95$2:3>4>a3g921b=4?50;&0<5<60o1e?:h51498m4?5290/?5>519d8j61a28>07d?63;29 6>7282m7c=8f;30?>o61=0;6)=70;3;b>h4?o0:>65f18794?"4090:4k5a36d954=4;h3:5$2:3>4>a3g97>5$2:3>4>a3g95$2:3>4>a3g95$2:3>4>a3g95$2:3>4>a3g95$2:3>4>a3g95$2:3>4>a3g95$2:3>4>a3g94;h3:e?6=,:2;66:9j5dd=83.84=4>a89m72`=9<10e9i:068?l7fl3:1(>6?:0c:?k50n3;876g>ad83>!5?83;j56`<7g826>=n9hl1<7*<8182e<=i;>l1=<54i0`2>5<#;1:1=l74n25e>46<3`;i>7>5$2:3>4g>3g95$2:3>4g>3g95$2:3>4g>3g95$2:3>4g>3g9<3`;j=7>5$2:3>4g>3g95$2:3>4g>3g95$2:3>4g>3g95$2:3>4g>3g95$2:3>4e43g921b=n;50;&0<5<6k:1e?:h51498m4e1290/?5>51b18j61a28>07d?l7;29 6>728i87c=8f;30?>o6k10;6)=70;3`7>h4?o0:>65f1b;94?"4090:o>5a36d954=4;h3`g?6=,:2;65$2:3>4e43g95$2:3>4e43g95$2:3>4e43g95$2:3>4e43g95$2:3>4e43g95$2:3>4e43g95$2:3>4e43g95$2:3>4e43g94;h3`a?6=,:2;66:9j5a`=83.84=4>de9m72`=9<10e9i:068?l7b93:1(>6?:0fg?k50n3;876g>e383>!5?83;oh6`<7g826>=n9l91<7*<8182`a=i;>l1=<54i0g7>5<#;1:1=ij4n25e>46<3`;n97>5$2:3>4bc3g95$2:3>4bc3g95$2:3>4bc3g95$2:3>4bc3g9<3`;o97>5$2:3>4bc3g95$2:3>4bc3g95$2:3>4bc3g95$2:3>4bc3g9?<7>5$2:3>15a3g94;h675?6=,:2;69=i;o14b?7<3`>?>7>5$2:3>15a3g9l;o14b?7032e?<54?:%1;4?27k2d8;k4>6:9l043=83.84=4;0b9m72`=9<10c9<>:18'7=6=<9i0b>9i:068?j25:3:1(>6?:52`?k50n3;876a;2583>!5?83>;o6`<7g826>=h<;?1<7*<81874f=i;>l1=<54o504>5<#;1:18=m4n25e>46<3f>947>5$2:3>16d3g9l;o14b?c<3f9mn7>5$2:3>16d3g9l;o14b?e<3f9mi7>5$2:3>16d3g9l;o14b?g<3f>;<7>5$2:3>16d3g9l;o14b?><3f>;?7>5$2:3>16d3g9l;o14b?0<3f>;:7>5$2:3>16d3g9l;o14b?2<3f>;57>5$2:3>16d3g9l;o14b?4<3f>;n7>5$2:3>16d3g9l;o14b?6<3th8:i4?:`83>5}K<=o14v*h6;o61b?426>5+4229gg=i<:;1ol5r$567>60c3Si>6>u90;42>3d=u`l:6=4+392955?=n99k1<7*<81824<=i;>l1<65f10d94?"4090:?:5a36d96>=n9;h1<7*<818272=i;>l1=65f12:94?"4090:?:5a36d94>=n<=:1<75`3gc94?"4090?=95a36d96>=h<921<7*<818751=i;>l1=65`40794?"4090?=95a36d94>=zj0i26=4=:183!23<39986F;4c9j75c=831d?:950;9~f10129086=4?{%670?de3A>?n6g<0g83>>o4990;66a<7683>>{e5;n143?6=3th?;;4?:283>5}#<=>1?:64H56a?l57n3:17d=>0;29?j50?3:17pl;6`83>6<729q/89:5bc9K01d5<>j:188k6102900qo:98;297?6=8r.?894mc:J70g=n;9l1<75f30294?=h;>=1<75rb55;>5<4290;w):;4;`a?M23j2c8t$567>ge<@=>i7d=?f;29?l5683:17b=87;29?xd3?=0;6>4?:1y'012=jm1C89l4i22e>5<5<53;294~"3<=08;45G45`8m66a2900e>??:188k6102900qo:8e;297?6=8r.?894<789K01d5<>i:188m6772900c>98:188yg2?:3:1?7>50z&70150;9l721=831vn99=:181>5<7s->?87==4:J70g=n;9o1<75`36594?=zj==h6=4=:183!23<39986F;4c9j75c=831d?:950;9~f6gf290?6=4?{%670?db3A>?n6g<0g83>>o4990;66g<1683>>i4?>0;66sm25294?3=83:p(9:;:b38L12e3`9;j7>5;h124?6=3`9:;7>5;h125;|`104<72<0;6=u+4569g6=O<=h0e>>i:188m6772900e>?8:188m67?2900c>98:188yg43=3:1;7=59z&701<5<<1b>>h50;9j616=831b5i?50;9j=fc=831b5nh50;9j=fg=831d>>k50;9a614=8391<7>t$567>gd<@=>i7d=?f;29?l5683:17b=87;29?xd5<:0;684?:1y'012=k=1C89l4i22e>5<5<5<53;294~"3<=0in6F;4c9j75`=831b?<>50;9l721=831v?=i:181[44n2798?4<0g9~w7272909wS<;0:?107<4991v?:>:181843<39;j63=438032=z{0n:6=4={_;g5>;5<:085<5sW3hi63=428055=z{0im6=4={_;`b>;5<:08=:5rs8ab>5<5sW3hm63=42805==z{;>96=4={<070?5682798>4<769~w75b2909wS<1vqo=j1;297?6=8r.?894mb:J70g=n;9l1<75f30294?=h;>=1<75rb2ff>5<4290;w):;4;14=>N3?n6g<0g83>>o4990;66a<7683>>{e;k31<7=50;2x 1232:=27E:;b:k04c<722c8==4?::m032<722wi?im50;194?6|,=>?6ol4H56a?l57n3:17d=>0;29?j50?3:17pl6<729q/89:536;8L12e3`9;j7>5;h124?6=3f9<;7>5;|q5==<72;qU:464=253>4723E>?h7?t$200>5=z,=8n6;78;o61`?652z\5=3=:;>:1=<=4L56g>4}#;;91<6s+43g92<15<5sW<2963<718257=K<=n1=v*<2283?x"3:l0=5:5a43f96>{t>0>1<7h3:m087p}98083>7}Y>1;01;67:d18 14b2?287c:=d;08yv0?:3:1>vP9839>2=b=m:1/8?k56918j14c281v;6;:181[0?<27=5?4j3:&76`<10:1e8?j50:p=f1=834<0g9>7ac=;9l01>l6:22e?85cj39;j6s|6`c94?3>sW;10m0h:6398d8`2>;11;0h:639928`2>;1010h:639888`2>;1i<0h:639a78`2>;1i>0h:636188`2>;>800h:636e58`2>;>m<0h:636e98`2>;>m00h:636e`8`2>;>mk0h:636eb8`2>;>mm0h:636ed8`2>;>mo0h:636e78`2>;>m>0h:637fc8`2>;?nj0h:637fe8`2>;>1?0h:636948`2>;>1=0h:636928`2>;>1;0h:636908`2>;>0o0h:6368d8`2>;>0m0h:6368b8`2>;>0k0h:6368`8`2>;>;j0h:6363e8`2>;><10h:6364e8`2>;>;>=90h:636508`2>;>=;0h:636528`2>;>;l0h:6363g8`2>;><90h:636408`2>;><;0h:636428`2>;><=0h:636448`2>;>;><00h:6364`8`2>;>;>000h:636878`2>;>010h:636868`2>;>0=0h:636828`2>;>090h:636838`2>;>080h:6367g8`2>;>?l0h:6367c8`2>;>?m0h:6367b8`2>;>1h0h:6369b8`2>;>1k0h:636968`2>;>100h:636998`2>;>190h:636848`2>;>?h0h:636788`2>;0i>0n>6s|68;94?4|V?32708n5;g0?xu0000;6<3io707j7;ag?851n3;:i63;67804c=:4=555>67734><87=?f:p20>=838pR;;7;<5b3?0202wx:5o50;0x93>c2:ko7087e;g0?!25m3<3n6`;2e82?xu10j0;64u269g97db<5:?;<15a?75i278:h4>529>73c=9?k01>8j:0:2?851m3;i=63<6d82g2=:;?o1=i74$50f>3>e3g>9h7>4}r4;b?6=:r7=5?42<5=m:1/8?k56828j14c281v;7>:18:80>;39jh63<6d8247=:;?o1=?m4=24f>433349=i7?9b:?02`<60;16?;k51c08960b28i370=9e;3gb>"3:l0=5=5a43f94>{t>h21<7:t=7c;>6gc34>=m7=>0:?72=<48o168::53028yv0?=3:1>v398980ea=:>131i>5+43g92=05<>s4<357=nd:?02`289>73c=9<801>8j:04:?851m3;2563<6d82ec=:;?o1=n84=24f>4eb3->9i7876:l76a<73ty=m=4?:2y>2d>=m:16:l853`f893g02l90(97=z{?k96=4={<4b3?5fl27=m>4<119'07c=>h;0b9290;3>j08??;<6402c=;9l0q~7<3;29=~;>>4=24f>43d349=i7?86:?02`<61916?;k51`c8960b28i?70=9e;3ga>"3:l02??5a43f94>{t1:;1<77t=86a>6gc343347k<;<15a?75m278:h4>5`9>73c=9>>01>8j:0:f?851m3;j463<6d82g7=:;?o1=im4$50f><553g>9h7?4}r;04?6=1r728l4==1=m:16?;k513f8960b28?270=9e;347>;4>l0:4i5237g95d1<5:;<15a?7cj2.?>h46339m07b=:2wx5?h50;;x9<2>2:ko70776;g0?851m3ln70=9e;36<>;4>l0:;?5237g95=e<5:d`9'07c=1:80b9;>0=0n?63<6d8eg>;4>l0:9;5237g9526<5:bd9>73c=9m20(90q~7=c;29=~;>a29>73c=9kn01>8j:0f4?!25m338>6`;2e86?xu>:k0;64u295797db<50296h=4=24f>cg<5:899>73c=9h801>8j:0``?851m3;o:6*;2d8:77=i<;n1:6s|93c94??|50>?6>ok;<;;5?c4349=i7h6;<15a?75?278:h4>6e9>73c=91=01>8j:0c2?851m3;in63<6d82`0=#<;o15><4n50g>2=z{0826=46{<;77?5fl2724=4j3:?02`279>73c=9?i01>8j:0:5?851m3;j<63<6d82fd=:;?o1=i:4$50f><553g>9h764}r;1=2`=m:16?;k5f69>73c=9;?01>8j:072?851m3;3963<6d82=c=:;?o1=o74=24f>4b43->9i77<2:l76a<>3ty2>:4?:8y>=17=;hn0149j:d18960b2o<01>8j:007?851m3;><63<6d82<1=:;?o1=4k4=24f>4d?349=i7?k2:&76`<>;;1e8?j5a:p=70=833p14:?:2cg?8?0l3o870=9e;d6?851m3;9?63<6d820c=:;?o1=5=4=24f>4?c349=i7?m7:?02`<6l81/8?k59208j14c2k1v4<::18:8?4n39jh6367b8f7>;4>l0m863<6d8267=:;?o1=9k4=24f>40?349=i7?6c:?02`<6j?16?;k51e28 14b20997c:=d;a8yv?5<3:15v363d80ea=:1>h1i>5237g9b7=:;?o1=?>4=24f>42c349=i7?97:?02`<61k16?;k51c78960b28im7):=e;;06>h3:m0o7p}63c83><}:1<91?lj4=8;`>`5<5:649>73c=9>l01>8j:0`0?851m3;hh63<6d82a3=#<;o15><4n50g>`=z{09j6=46{<;66?5fl2725o4j3:?02`<69j16?;k515c8960b28;4>l0:555237g95fe<5:i4i;|q:7<<720q658?53`f898j:03a?851m3;?563<6d8226=:;?o1=:j4=24f>4?0349=i7?lb:?02`<6m=1/8?k59208j14c28:0q~7<8;29=~;>=908mi5298;9a6=:;?o1=<74=24f>420349=i7?91:?02`<6?k16?;k51878960b28i270=9e;3f7>"3:l02??5a43f954=z{09<6=46{<;7b?5fl272554j3:?02`<69116?;k51548960b28<;70=9e;34e>;4>l0:595237g95dc<5:i4>2:p=60=833p14:j:2cg?8?>?3o870=9e;323>;4>l0:885237g950`<5:;278:h4>ae9>73c=9l;0(9<}:1=n1?lj4=8;3>`5<5:5d9>73c=9>201>8j:0;1?851m3;jo63<6d82a5=#<;o15><4n50g>4259z?:0=<4im1655;5e29>73c=99k01>8j:01;?851m3;>h63<6d8232=:;?o1=4?4=24f>4ge349=i7?l5:&76`<>;;1e8?j5149~w<4b2902w07;>?h0n?63<6d8e`>;4>l0:>o5237g9501<5:;<15a?7?j278:h4>a49>73c=9kl0(9<}:1:i1?lj4=85:>`5<5:47a349=i7?;c:?02`<6>?16?;k51928960b283j70=9e;3a0>"3:l02??5a43f952=z{0;26=4<{<;2=?5fl2703c=;9l0q~6k9;290~;?nm08mi5235a95c0<5:h47d99m07b=82wx4i950;6x9=`d2:ko70=;c;3e0>;4>l0?8<523`c9746<,=8n65j7;o61`?754z?;bg<4im16?9m51d:8960b2=>970=na;123>"3:l03h55a43f96>{t::h1<7=t=6c4>75e34>=i7=>0:?735<48o1v:on:18181f?3=jm63;83804c=#<;o1;l74n50g>5=z{>k36=4={<5b3?1f027?4?4<119'07c=?h30b9a:?4e2<>9h1v48<:1818??139jh63<718247=z{0<96=4={<;;019~w<062909w0777;1b`>;4?90mj6s|97294?4|502=6>ok;<144?`b3ty29h4?:3y>==2=;hn01>9?:ga8yv?2l3:1>v368280ea=:;>:1jo5rs87`>5<5s433>7=nd:?03562:ko70=80;d:?xu>=h0;6?u299297db<5:=;6k64}r;6=?6=:r72;k4726=n>1v4;7:1818?0m39jh63<718e2>{t1<=1<76gc349<<7h:;|q:13<72;q65:m53`f896172o>0q~7:5;296~;>?k08mi523629b7=z{0=36=4={<;:g?5fl278;=4>1e9~w<102909w076b;1b`>;4?90:=n5rs855>5<5s432m7=nd:?035<69k1v49::1818?>139jh63<71825<=z{0=?6=4={<;:199~w<142909w0767;1b`>;4?90:=:5rs851>5<5s432<7=nd:?035<69?1v49>:1818??=39jh63<71824d=z{0?m6=4={<;4e?5fl278;=4id:p=02=838p1496:2cg?85083l:7p}6ce83>1}:1l=1?lj4=8:b>`5<5:>o6h46cb9m07b=82wx5nl50;6x94=z{0n=6=4;{<;fb?5fl2724n4j3:?00a<6no16>9>531d8 14b20ih7c:=d;08yv?c=3:18v36ed80ea=:11n1i>5235f95cb<5;>;6>?8;%61a??dk2d?>i4<;|q:`1<72=q65hj53`f89<>b2l901>:k:0d`?843839:<6*;2d8:gf=i<;n186s|9e194?2|50oh6>ok;<;;b?c4349?h7?ib:?105<4911/8?k59ba8j14c2<1v4j=:1878?bj39jh636908f7>;4<,=8n64ml;o61`?054z?:ad<4im1654<5e29>71b=9o201?:::8f2?!25m33ho6`;2e84?xu>l90;69u29d;97db<50386h=4=26g>4`0348?=7=>7:&76`<>kj1e8?j58:p=f`=83>p14k7:2cg?8?><3o870=;d;3e2>;5<<02ok5+43g9=fe5<3s43n97=nd:?:=0f59>613=1jo0(9m=08mi529849a6=:;=n1=h64=366>9i77lc:l76a==g=;hn01>9?:033?!25m33=:6`;2e83?xu>><0;6?u299`97db<5:=;6<>i;%61a??1>2d?>i4>;|q:35<72;q655m53`f8961728:n7):=e;;52>h3:m097p}66g83>7}:11n1?lj4=253>46c3->9i7796:l76a<43ty2:h4?:3y>==c=;hn01>9?:02`?!25m33=:6`;2e87?xu>>m0;6?u299d97db<5:=;6<>m;%61a??1>2d?>i4:;|q:2f<72;q654?53`f8961728:37):=e;;52>h3:m0=7p}66c83>7}:1081?lj4=253>4603->9i7796:l76a<03ty2:l4?:3y>=<5=;hn01>9?:025?!25m33=:6`;2e8;?xu>>00;6?u298697db<5:=;6<>:;%61a??1>2d?>i46;|q:2=<72;q654;53`f8961728:?7):=e;;52>h3:m0j7p}66583>7}:10<1?lj4=253>4643->9i7796:l76a=`2=;ho014k::2cf?8?b039ji636e880e`=:1lk1?lk4=8ga>6gb343no7=ne:?:aa<4il165hk53`g89;>m>08mh524749721=n7>55z?72f<4?>1685>5302896c62::m70=mc;13b>;4lj085<5s4><:7=87:?73=<4991v4>n:1818?713o870=9f;1e=>J300;6?u290;907d<5=98;|q17f<72;q6?;h54528975c2:=<7p};6683>6}:19318?l4=54;>61034>0:p021=838p1997:254?820i39:<6s|8ef94?4|5:>h69?:;<15`?77i2.?>h47db9m07b=82wx4il50;0x962d2=:370=9d;dg?!25m32oo6`;2e82?xu?lh0;6?u235a97cg<5:=bd3g>9h7<4}r65`?6=:r7?:h4<769>026=;8:0q~7ka;296~;>m>0n?63<4e80ba=#<;o15i74n50g>5=z{0n36=4={<;f2?c4349?h7=ib:&76`<>l01e8?j51:p=`5=838p14ki:d18962c2=8j7):=e;;g=>h3:m097p}6e383>7}:1lo1i>5235f907><,=8n64j6;o61`?552z?:aa996*;2d8:`<=i<;n196s|9ed94?4|50oi6h=4=26g>1433->9i77k9:l76a<13ty2hh4?:3y>=`g=m:16?9j54308 14b20n27c:=d;58yv?cl3:1>v36e88f7>;4<5+43g9=a?5<5s43n47k<;<17`?26=2.?>h46d89m07b=12wx5il50;0x9:k:52;?!25m33o56`;2e8b?xu>l>0;6?u29d69a6=:;=n1?ko4$50f>3g>9h7l4}r647?6=:r7?;;4<0g9>022=;>=0q~7me;296~X>jl16?:>54328 14b20ho7c:=d;28yv?ek3:1>vP6bb9>726=<8o0(9jk16?:>540f8 14b20ho7c:=d;08yv?ei3:1>vP6b`9>726=<8i0(9j016?:>540`8 14b20ho7c:=d;68yv?e03:1>vP6b99>726=<8k0(9j>16?:>540;8 14b20ho7c:=d;48yv?e>3:1>vP6b79>726=<820(9j<16?:>54058 14b20ho7c:=d;:8yv?e<3:1>vP6b59>726=<8<0(9j;16?:>54018 14b20ho7c:=d;c8yv?e93:1>vP6b09>726=<880(9j916?:>54038 14b20ho7c:=d;a8yv?fn3:1>vP6ag9>726=<8:0(9il16?:>541d8 14b20ho7c:=d;g8yv?fl3:1>vP6ae9>726=<9o0(9ij16?:>541f8 14b20ho7c:=d;33?xu>ik0;6?uQ9``896172=:i7):=e;;a`>h3:m0:=6s|9`c94?4|V0kj70=80;63e>"3:l02ni5a43f957=z{0k26=4={_;b=>;4?90?<45+43g9=gb9;%61a??el2d?>i4>5:p=d3=838pR4o:;<144?27=2.?>h46be9m07b=9?1v4o;:181[?f<278;=4;029'07c=1kn0b9vP6a29>726=<980(97}Y1h801>9?:523?!25m33ih6`;2e82=>{t1h;1<75<5sW3j<63<7180b`=#<;o15oj4n50g>4d52z\:=c=:;>:1?kj4$50f>9h7?l;|q:=`<72;qU54k4=253>6`e3->9i77md:l76a<6l2wx5n;50;0xZjm1e8?j51d9~wk:16?:>54358 14b20ho7c:=d;03?xu>k;0;6?uQ9b0896172=8>7):=e;;a`>h3:m09=6s|9b394?4|V0i:70=80;610>"3:l02ni5a43f967=z{0i;6=4={_;`4>;4?90?>?5+43g9=gb4?:3y]=g5<5:=;69?:;%61a??el2d?>i4=5:p=d>=838pR4o7;<144?2702.?>h46be9m07b=:?1v47k:181[?>l278;=4v3;7`8032=:<>i1?=k4}r64`?6=:r7?;h4<769>0=6=;9l0q~=n9;290~;4>m0?8=5247c975`<5:kh6>>j;<1be?50?2wx4k<50;0x9<2d2l901>8j:52g?!25m32m=6`;2e83?xu?n90;6?u295`9a6=:;?o18=l4$50f>=`63g>9h7?4}r:fb?6=:r728l4j3:?02`<38h1/8?k58g38j14c2;1v5kj:1818?313o870=9e;63=>"3:l03j<5a43f97>{t0li1<7`5<5:8;%61a?>a92d?>i4;;|q;ag<72;q65985e29>73c=<9<0(9<<0n?63<6d8740=#<;o14k?4n50g>3=z{1o26=4={<;70?c4349=i7:?3:&76`=838p14:<:d18960b2=:97):=e;:e5>h3:m037p}7e683>7}:1=81i>5237g9056<,=8n65h>;o61`??52z?:046`c3->9i76i1:l76a4?:3y>=6c=m:16?;k53g`8 14b21l:7c:=d;f8yv>ai3:1>v36528f7>;4>l0?>l5+43g95<5s43>>7k<;<15a?2502.?>h47f09m07b=n2wx4k650;0x9<362l901>8j:504?!25m32m=6`;2e824>{t0o=1<7`5<5:a92d?>i4>1:ph3:m0:>6s|8g794?4|50>n6h=4=24f>1453->9i76i1:l76a<6;2wx4k:50;0x9<2c2l901>8j:502?!25m32m=6`;2e820>{t0o91<7`5<5:a92d?>i4>5:p<`b=838p14=k:d18960b2=:37):=e;:e5>h3:m0::6s|8d094?4|509h6h=4=24f>6`f3->9i76i1:l76a<6?2wx4h?50;0x9=`c2l901>8k:536?!25m32n<6`;2e83?xu?lo0;6?u28ga9a6=:;?n18=64$50f>=c73g>9h7?4}r:ga?6=:r73jo4j3:?02a<4nh1/8?k58d28j14c2;1v98i:181820839<;63;73804`=z{=2:6=4={<144?23827?4?4<769~w75b2909w0:96;124>;5<<09?h5rs251>5<5lr7?8n4=289>=4?=m:16?:>510d89617288i70=80;30<>;4?90:89523629513<5:=;6<:9;<144?73?278;=4>489>726=9=k01>9?:06a?85083;9<63<718267=:;>:1=?=4=253>443349<<7?=5:?035<6:?16?:>513589617288370=80;31=>;4?90:>l52362957e<5:=;6<319>726=9:;01>9?:011?85083;8?63<718271=:;>:1=>;4=253>451349<<7?<9:?035<6;h16?:>512`89617289h70=80;30`>;4?90:?h52362956`<5:=;6<:?;<144?739278;=4>429>73b=98l01>8k:00a?851l3;846s|9b:94?42s4<3h7=ne:?5<`<4il16:4<53`g893?42:kn70878;1ba>;10008mh526`7907d<5?k=69=5?=;ho014=l:2cf?8?4l39ji6364980e`=:1=n1?lk4=86f>6gb343?j7=ne:?:15<4il1658?53`g89<352:kn707:3;1ba>;>;l08mh5292d97dc<50>;6>oj;<;75?5fm2728?4=15=;ho014:;:2cf?8?3=39ji6364780e`=:1==1?lk4=86:>6gb343?m7=ne:?:0g<4il1659m53`g892g02=9o707l9;143>{t>h>1<76gb34ol:254?xu3?o0;6:u28g`9ga=:0oi1oi528gf9ga=:<1:1?:94=2g2>677349io7=>0:?0`f<4991v>l=:1818>aj39ji63;4lk08;:5rs552>5<5=r725;4ld:?:=0;>;m0hh636498``>;>;>;>=80hh636538``>;>=:0hh6363d8``>;>;o0hh636418``>;><80hh636438``>;><:0hh636458``>;><<0hh636478``>;><>0hh636488``>;>;>;4j008==5rs2fb>5<5s49oo7=87:?0`g<4991v?=i:181843839<;63=44817c=z{;>;6=4={<075?50?279884=419~yg>an3:1?848c;f;I23l3;pD><9;|N70`<5s->8<7mn;o605?7312w/89:59148^f3=;r2n6;;5838~^14d28:p5=49c;7`>0b==k0=h7;n:6491`<393>;6:95438;2>21qd868;29 6>72?3<7c=8f;28?l0>>3:1(>6?:7;4?k50n3;07d865;29 6>72?3<7c=8f;08?l0><3:1(>6?:7;4?k50n3907d874;29 6>72?287c=8f;28?l0?:3:1(>6?:7:0?k50n3;07d871;29 6>72?287c=8f;08?l?d?3:17d8na;29?l0>13:17d979;29?l0203:17b7me;29 6>720ho7c=8f;28?j?ek3:1(>6?:8`g?k50n3;07b7mb;29 6>720ho7c=8f;08?j?ei3:1(>6?:8`g?k50n3907b7m9;29 6>720ho7c=8f;68?j?e03:1(>6?:8`g?k50n3?07b7m7;29 6>720ho7c=8f;48?j?e>3:1(>6?:8`g?k50n3=07b7m5;29 6>720ho7c=8f;:8?j?e<3:1(>6?:8`g?k50n3307b7m2;29 6>720ho7c=8f;c8?j?e93:1(>6?:8`g?k50n3h07b7m0;29 6>720ho7c=8f;a8?j?fn3:1(>6?:8`g?k50n3n07b7ne;29 6>720ho7c=8f;g8?j?fl3:1(>6?:8`g?k50n3l07b7nc;29 6>720ho7c=8f;33?>i>ik0;6)=70;;a`>h4?o0:=65`9`c94?"40902ni5a36d957=5:9l=d3=83.84=46be9m72`=9?10c4o;:18'7=6=1kn0b>9i:058?j?f;3:1(>6?:8`g?k50n3;376a6a383>!5?833ih6`<7g82=>=h1h;1<7*<818:fa=i;>l1=l54o8c3>5<#;1:15oj4n25e>4d<3f32j7>5$2:3>jm1e?:h51d98k59cf8j61a28l07b7l3;29 6>720ho7c=8f;03?>i>k;0;6)=70;;a`>h4?o09=65`9b394?"40902ni5a36d967=4?:%1;4??el2d8;k4=5:9l=d>=83.84=46be9m72`=:?10c47k:18'7=6=1kn0b>9i:358?j?6i3:17o:;c;295?6=8r.?894=2`9K01d5;n1b`?6=3th=4i4?:583>5}#<=>1><<:19~H12b28q/8>>5cc9~mf0=831bi>4?::k0e`<722e8mi4?::a2=c=83>1<7>t$567>77a3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188m`5=831b?lk50;9l7db=831vn;7=:187>5<7s->?87<>f:J70g=K<=n1=v*<2283?xJ33:17dk<:188m6gb2900c>ok:188yg0>;3:187>50z&701<59o1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>ob;3:17d=ne;29?j5fl3:17pl98983>1<729q/89:520d8L12e3E>?h7?t$200>5=zD=>n6>o4il0;66a>{e>131<7:50;2x 1232;;m7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<5<3290;w):;4;017>N3{%604?ee3tch:7>5;hg0>5<5<54;294~"3<=09>>5G45`8H12c28q/??=57:O01c=9r.??=4lb:jg3<722cn?7>5;h61f?6=3f9jh7>5;|`5e2<72=0;6=u+4569675<@=>i7A:;d;3x 6442>1v@9:j:0y'066=kk1ven850;9ja6<722c?>o4?::m0ea<722wi5<750;494?6|,=>?6?<=;I67f>J3t$567>7463A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188m`5=831b?lk50;9j07d=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f=`e290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f=`d290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f=`c290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<>a290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn46j:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<>c290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn46l:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<>e290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn46n:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<5d290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<5c290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<2?290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<2c290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<2b290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<2a290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<37290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<36290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<35290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<34290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<5b290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<5a290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<27290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<26290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<25290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<24290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<23290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<22290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<21290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<20290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<2>290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<2f290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<2e290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<2d290>6=4?{%670?4582B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9j7dc=831d?lj50;9~f<>>290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn469:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<>?290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn468:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<>3290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn46<:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<>7290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn46=:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<>6290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn49i:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<1b290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn49m:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<1c290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn49l:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn477:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f<1f290?6=4?{%670?46m2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lec2900eh=50;9l7db=831vn496:187>5<7s->?87<>e:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831d?lj50;9~f2g029036=4?{M67a?0|,:h:64>;;o012?534?879n7:k:5<<722c=954?::kf6?6=3`>8h7>5;n00f?6=3f=jm7>5;n5b5;|`02c<72=0;6=uC45g9<~"4j802<55+3939ac=#;1?18>k4$2:7>6`>3g>9j7<4n56:>4=#<::1oo5a4239e0=z,=>?6>8i;hd3>5<5<j6*<8382a2=#<=<1:6*<8580b<=#<=?1<6*;318036=i<:;18i74}%670?53k2Ph97=t63857?0e2tc:i54?:%1;4?7a=2d8;k4=;:k2b1<72-93<7?i5:l03c<632c:j;4?:%1;4?7a=2d8;k4?;:k144<72-93<7;:k14c<72-93<74:l03c<632e?=84?:%1;4?26<2d8;k4?;:a71b=838?6=4?{M67a?1|,:h:6;;i;%1;6?7b?2.?8;4<;%1;0?5a12.?884?;%604?50;2d??<4;d89~ 1232:>o7Wm::2y2b?472;;1qd?j8;29 6>728oj7c=8f;`8?l7a<3:1(>6?:0gb?k50n3k07d?i6;29 6>728oj7c=8f;;8?l7a?3:1(>6?:0gb?k50n3207d?i8;29 6>728oj7c=8f;58?l7ai3:1(>6?:0gb?k50n3<07d?ib;29 6>728oj7c=8f;78?l7ak3:1(>6?:0gb?k50n3>07d?id;29 6>728oj7c=8f;18?l7an3:1(>6?:0gb?k50n3807d?j9;29 6>728oj7c=8f;38?l7bj3:1(>6?:0gb?k50n3:07d72;:87c=8f;`8?l47l3:1(>6?:320?k50n3k07d72;:87c=8f;;8?l4683:1(>6?:320?k50n3207d<>1;29 6>72;:87c=8f;58?l46;3:1(>6?:320?k50n3<07d<>4;29 6>72;:87c=8f;78?l46=3:1(>6?:320?k50n3>07d<>6;29 6>72;:87c=8f;18?l4603:1(>6?:320?k50n3807d72;:87c=8f;38?l47<3:1(>6?:320?k50n3:07b=ia;29 6>72:lh7c=8f;`8?j2703:1(>6?:2d`?k50n3k07b:>5;29 6>72:lh7c=8f;;8?j2593:1(>6?:2d`?k50n3207b:=2;29 6>72:lh7c=8f;58?j25<3:1(>6?:2d`?k50n3<07b:=5;29 6>72:lh7c=8f;78?j25?3:1(>6?:2d`?k50n3>07b:=8;29 6>72:lh7c=8f;18?j25i3:1(>6?:2d`?k50n3807b=ib;29 6>72:lh7c=8f;38?j5al3:1(>6?:2d`?k50n3:07pl<7183>2?=83:p@9:j:9y'7g7=1920(>6>:dd8 6>22=9n7)=74;1e=>h3:o097c:;9;0;?!2483ii7c:<1;1e?x"3<=08;=5Uc4802g=?k03=7sff083>!5?83;:86`<7g813>=nnm0;6)=70;320>h4?o09:65f11c94?"4090:=95a36d960=159m72`=:810e0b>9i:328?l76k3:1(>6?:037?k50n3;m76g>1e83>!5?83;:86`<7g82a>=nn;0;6)=70;320>h4?o0:h65ff583>!5?83;:86`<7g82g>=nn<0;6)=70;320>h4?o0:n65ff783>!5?83;:86`<7g82e>=nn>0;6)=70;320>h4?o0:565ff983>!5?83;:86`<7g82<>=nn00;6)=70;320>h4?o0:;65ff`83>!5?83;:86`<7g822>=nnk0;6)=70;320>h4?o0:965ffb83>!5?83;:86`<7g820>=nnl0;6)=70;320>h4?o0:?65ffg83>!5?83;:86`<7g826>=n99:1<7*<818251=i;>l1=<54i021>5<#;1:1=<:4n25e>46<3`;;?7>5$2:3>4733g95$2:3>4733g95$2:3>4733g95$2:3>4733g9<3`;;h7>5$2:3>4733g95$2:3>4733g95$2:3>4733g95$2:3>4733g95$2:3>4253g921b=>650;&0<5<6<;1e?:h52498m423290/?5>51508j61a2;>07d?;5;29 6>728>97c=8f;00?>o6h4?o09>65f15594?"4090:8?5a36d964=26=4+39295144;h37e?6=,:2;6<:=;o14b?7a32c:8o4?:%1;4?73:2d8;k4>e:9j576=83.84=4>439m72`=9m10e<<=:18'7=6=9=80b>9i:0a8?l75;3:1(>6?:061?k50n3;i76g>2583>!5?83;?>6`<7g82e>=n9;?1<7*<818207=i;>l1=454i005>5<#;1:1=9<4n25e>4><3`;9;7>5$2:3>4253g921b=?750;&0<5<6<;1e?:h51498m44f290/?5>51508j61a28>07d?=c;29 6>728>97c=8f;30?>o6:m0;6)=70;376>h4?o0:>65f13g94?"4090:8?5a36d954=4;h305?6=,:2;6<:=;o14b?`<3`;8>7>5$2:3>4253g95$2:3>4253g95$2:3>4253g95$2:3>4253g95$2:3>4253g95$2:3>4253g95$2:3>4253g95$2:3>4253g94;h674?6=3f9mm7>5$2:3>17a3g9f:l03c<5>21d8<;50;&0<5<39o1e?:h52498k146290/?5>540d8j61a2;>07b:=2;29 6>72=;m7c=8f;00?>i3:=0;6)=70;62b>h4?o09>65`43794?"4090?=k5a36d964=4;n61l4?:%1;4?26n2d8;k4>e:9l7cd=83.84=4;1g9m72`=9m10c>hk:18'7=6=<8l0b>9i:0a8?j5am3:1(>6?:53e?k50n3;i76a!5?83>:j6`<7g82e>=h<9:1<7*<81875c=i;>l1=454o521>5<#;1:184><3f>;?7>5$2:3>17a3g9f:l03c<6>21d8=850;&0<5<39o1e?:h51498k160290/?5>540d8j61a28>07b:?9;29 6>72=;m7c=8f;30?>i38h0;6)=70;62b>h4?o0:>65`41`94?"4090?=k5a36d954=4;n63a?6=,:2;69?i;o14b?`<3f>;j7>5$2:3>17a3g9:=7>5$2:3>17a3g9:?7>5$2:3>17a3g9:;7>5$2:3>17a3g9:57>5$2:3>17a3g9:n7>5$2:3>17a3g9:h7>5$2:3>17a3g99<7>5$2:3>17a3g94;|`02`<72mh1<7>tL56f>=}#;k;15=64$2:2>``<,:2>69=j;%1;0?5a12d?>k47;o67=?7?3->8<7mm;o605?ef3t.?894<6d9Yg002==<0>:7;8:7691=l1=:54igf94?"4090:<<5a36d953=>;o14b?7332c:=:4?:%1;4?7792d8;k4>3:9j54>=83.84=4>009m72`=9;10e9i:038?l76j3:1(>6?:022?k50n3;;76g>1b83>!5?83;;=6`<7g8e?>o69m0;6)=70;335>h4?o0n76gi2;29 6>728::7c=8f;f8?l`3290/?5>51138j61a2j10ek;50;&0<5<6881e?:h5b:9jb3<72-93<7??1:l03c5$2:3>4663g95<#;1:1==?4n25e>==l1;65ff`83>!5?83;;=6`<7g85?>oaj3:1(>6?:022?k50n3?07dhl:18'7=6=99;0b>9i:598mcc=83.84=4>009m72`=;21bjk4?:%1;4?7792d8;k4=;:k245<72-93<7??1:l03c<632c:513d8j61a28?07d?;4;29 6>7288m7c=8f;37?>o6<<0;6)=70;31b>h4?o0:?65f15494?"4090:>k5a36d957=<6=4+392957`=4?:%1;4?75n2d8;k4k;:k267<72-93<7?=f:l03c>4?:%1;4?75n2d8;k4m;:k261<72-93<7?=f:l03c84?:%1;4?75n2d8;k46;:k263<72-93<7?=f:l03c:4?:%1;4?75n2d8;k48;:k26=<72-93<7?=f:l03c<132c:>44?:%1;4?75n2d8;k4:;:k26d<72-93<7?=f:l03c<332c:>n4?:%1;4?75n2d8;k4<;:k26a<72-93<7?=f:l03c<532c:>h4?:%1;4?75n2d8;k4>;:k275<72-93<7?=f:l03c<732c:8n4?:%1;4?72j2d8;k4>7:9j501=83.84=4>5c9m72`=9?10e<;k:18'7=6=99i:078?l72m3:1(>6?:07a?k50n3;?76g>5g83>!5?83;>n6`<7g827>=n9?:1<7*<81821g=i;>l1=?54i042>5<#;1:1=8l4n25e>47<3`;=?7>5$2:3>43e3g932c:9?4?:%1;4?72j2d8;k47;:k216<72-93<7?:b:l03c<032c:994?:%1;4?72j2d8;k49;:k210<72-93<7?:b:l03c<232c:9;4?:%1;4?72j2d8;k4;;:k21=<72-93<7?:b:l03c<432c:944?:%1;4?72j2d8;k4=;:k21d<72-93<7?:b:l03c<632c:9n4?:%1;4?72j2d8;k4?;:k223<72-93<7?85:l03c<6?21b=:?50;&0<5<6?<1e?:h51798m410290/?5>51678j61a28?07d?88;29 6>728=>7c=8f;37?>o6?00;6)=70;341>h4?o0:?65f16c94?"4090:;85a36d957=;:k233<72-93<7?85:l03c<732c:4=4?:%1;4?7?n2d8;k4>7:9j5=d=83.84=4>8g9m72`=9?10e<7>:18'7=6=91l0b>9i:078?l7>:3:1(>6?:0:e?k50n3;?76g>9283>!5?83;3j6`<7g827>=n90>1<7*<8182l1=?54i0;6>5<#;1:1=5h4n25e>47<3`;2;7>5$2:3>4>a3g932c:4;4?:%1;4?7?n2d8;k47;:k2<2<72-93<7?7f:l03c<032c:454?:%1;4?7?n2d8;k49;:k2<<<72-93<7?7f:l03c<232c:4l4?:%1;4?7?n2d8;k4;;:k251`;8j61a28?07d?nc;29 6>728k27c=8f;37?>o6im0;6)=70;3b=>h4?o0:?65f1`g94?"4090:m45a36d957=4?:%1;4?7f12d8;k4:;:k2e1<72-93<7?n9:l03c<332c:m;4?:%1;4?7f12d8;k4<;:k2e2<72-93<7?n9:l03c<532c:m54?:%1;4?7f12d8;k4>;:k2ed<72-93<7?n9:l03c<732c:n94?:%1;4?7d;2d8;k4>7:9j5g`=83.84=4>c29m72`=9?10e9i:078?l7d>3:1(>6?:0a0?k50n3;?76g>c683>!5?83;h?6`<7g827>=n9j21<7*<8182g6=i;>l1=?54i0a:>5<#;1:1=n=4n25e>47<3`;hn7>5$2:3>4e43g932c:nl4?:%1;4?7d;2d8;k47;:k2fg<72-93<7?l3:l03c<032c:nn4?:%1;4?7d;2d8;k49;:k2fa<72-93<7?l3:l03c<232c:nh4?:%1;4?7d;2d8;k4;;:k2g5<72-93<7?l3:l03c<432c:o<4?:%1;4?7d;2d8;k4=;:k2g7<72-93<7?l3:l03c<632c:o94?:%1;4?7d;2d8;k4?;:k2g`<72-93<7?kd:l03c<6?21b=i750;&0<5<6lm1e?:h51798m4ba290/?5>51ef8j61a28?07d?j0;29 6>728no7c=8f;37?>o6m80;6)=70;3g`>h4?o0:?65f1d094?"4090:hi5a36d957=4?:%1;4?7cl2d8;k46;:k2`1<72-93<7?kd:l03c;:k2``<72-93<7?kd:l03c<732c?8=4?:%1;4?24n2d8;k4?;:k704<72-93<7:541a8j61a28?07b:=1;29 6>72=:h7c=8f;37?>i3:;0;6)=70;63g>h4?o0:?65`43694?"4090?6=4+392905el;o14b?7732e?>54?:%1;4?27k2d8;k4i;:m76d<72-93<7:?c:l03c4?:%1;4?27k2d8;k48;:m740<72-93<7:?c:l03c<132e?<;4?:%1;4?27k2d8;k4:;:m742<72-93<7:?c:l03c<332e?<44?:%1;4?27k2d8;k4<;:m74d<72-93<7:?c:l03c<532e?;:m74a<72-93<7:?c:l03c<732wi?;j50;c94?6|D=>n65u+3c39=5><,:2:6hh4$2:6>15b3-9387=i9:l76c<53g>?57=4$513>fd5$2:3>46>3g95<#;1:1==74n25e>4=5<#;1:1=>94n25e>7=5<#;1:1=>94n25e>5=;6=44o2db>5<#;1:18<:4n25e>7=5<#;1:18<:4n25e>5=52;294~"3<=08>95G45`8m66b2900c>98:188yg21>3:1?7>50z&701?6>97;I67f>o48o0;66g<1183>>i4?>0;66sm47c94?5=83:p(9:;:c`8L12e3`9;j7>5;h124?6=3f9<;7>5;|`17a<72;0;6=u+4569772<@=>i7d=?e;29?j50?3:17pl;6983>6<729q/89:5bb9K01d5<N3?n6g<0g83>>o4990;66a<7683>>{e<>>1<7=50;2x 1232kn0D9:m;h13b?6=3`9:<7>5;n143?6=3th?;l4?:283>5}#<=>1?:74H56a?l57n3:17d=>0;29?j50?3:17pl;7d83>6<729q/89:536;8L12e3`9;j7>5;h124?6=3f9<;7>5;|`735<72:0;6=u+456972?<@=>i7d=?f;29?l5683:17b=87;29?xd30;0;6>4?:1y'012=jm1C89l4i22e>5<5<52;294~"3<=08>95G45`8m66b2900c>98:188yg0f;3:1?7>50z&701<4?01C89l4i22e>5<5<3<7>53;294~"3<=08;45G45`8m66a2900e>??:188k6102900qo:82;296?6=8r.?894<259K01d5<52;294~"3<=08>95G45`8m66b2900c>98:188yg5fi3:187>50z&701=1<75rb363>5<2290;w):;4;a2?M23j2c8t$567>f5<@=>i7d=?f;29?l5683:17d=>7;29?l5603:17b=87;29?xd5<<0;6:4<:8y'012=:=?0e?=i:188m7272900e4j>:188m?n6g<0g83>>o4990;66a<7683>>{e:=91<7;50;2x 1232j>0D9:m;h13b?6=3`9:<7>5;h123?6=3`9:47>5;n143?6=3th9894?:283>5}#<=>1no5G45`8m66a2900e>??:188k6102900q~<9<531d8yv4383:1>vP=419>614=;8:0q~<;1;296~;5<=0852z\:`4=::=91?=h4}r;`a?6=:rT2oh52251974652z\:gc=::=91?<94}r;`e?6=:rT2ol52251974>7>52z?101<49916>9=53658yv44m3:1>vP=3d9>612=;>=0qpl6<729q/89:5bc9K01d5<>i:188m6772900c>98:188yg5ek3:1?7>50z&70150;9l721=831vn>jl:180>5<7s->?87lm;I67f>o48o0;66g<1183>>i4?>0;66sm3e`94?5=83:p(9:;:25:?M23j2c8=838pR;77;<144?76=2F?8i4>{%117?69i7867:l76a<73ty=5;4?:3y]2<0<5:=;63?03g>9h7?4}r4:1?6=:rT=58523629544o6{#<;o1:494n50g>7=z{?3?6=4={_4:0>;4?90:=<5C45f95~"4::0;7p*;2d85=2=i<;n1?6s|69394?4|V?2:70878;g0?!25m3<3?6`;2e81?xu10;0;6?uQ690893>c2l90(9190b9;4lk085<21rT=ml526`:9g3=:>1n1o;5269g9g3=:>081o;526819g3=:>121o;5269;9g3=:>h?1o;526`49g3=:>h=1o;5290;9g3=:1931o;529d69g3=:1l?1o;529d:9g3=:1l31o;529dc9g3=:1lh1o;529da9g3=:1ln1o;529dg9g3=:1ll1o;529d49g3=:1l=1o;528g`9g3=:0oi1o;528gf9g3=:10<1o;529879g3=:10>1o;529819g3=:1081o;529839g3=:11l1o;5299g9g3=:11n1o;5299a9g3=:11h1o;5299c9g3=:1:i1o;5292f9g3=:1=21o;5295f9g3=:1=o1o;5295d9g3=:1<:1o;529439g3=:1<81o;529419g3=:1:o1o;5292d9g3=:1=:1o;529539g3=:1=81o;529519g3=:1=>1o;529579g3=:1=<1o;529559g3=:1=31o;5295c9g3=:1=h1o;5295a9g3=:1131o;529949g3=:1121o;529959g3=:11>1o;529919g3=:11:1o;529909g3=:11;1o;5296d9g3=:1>o1o;5296`9g3=:1>n1o;5296a9g3=:10k1o;5298a9g3=:10h1o;529859g3=:1031o;5298:9g3=:10:1o;529979g3=:1>k1o;5296;9g3=:?h=1i?5rs7;:>5<5sW<25639a48f7>{t?131<7?={_5;=>;>m=0hh636e48``>;>m10hh636e88``>;>mh0hh636ec8``>;>mj0hh636ee8``>;>ml0hh636eg8``>;>m?0hh636e68``>;4>o0:=h52474975`<5=
??;<642?56827?;94<0g9~w33?2909wS8:8:?4e2<1=11v;6n:18180?l39jh6398d8f7>"3:l0=4o5a43f95>{t>1i1<77t=7:f>6gc349=i7??0:?02`<6:h16?;k51418960b28;4>l0:n<5237g95f1<5:i4?;|q56c9>73c=91801>8j:0`1?851m3;h463<6d82`c=#<;o1:4>4n50g>5=z{?k36=4;{<4b03>=;9l0199;:233?xu10<0;6?u269:97db<5?226h=4$50f>3>13g>9h7?4}r4;3?6=1r7=44473c=no16?;k513;8960b28?970=9e;35=>;4>l0:545237g95d`<5:h49879m07b=82wx:l>50;1x93g?2l901;o9:2cg?80f?3o87):=e;4b5>h3:m0:7p}99g83>7}:>h?1?lj4=7c5>`5<,=8n6;o>;o61`?47>52z?5e2<4im16:l=53028 14b2?k:7c:=d;28yv?713:1;v360880ea=:66b34>=47=>0:?73=<48o168:o531d8911b2::m7p}63283><}:1=i1?lj4=8::>`5<5:779>73c=90:01>8j:0cb?851m3;h863<6d82``=#<;o15><4n50g>5=z{09:6=46{<;7f?5fl272454j3:?02`<6:l16?;k514c8960b28=?70=9e;3;a>;4>l0:m55237g95f4<5:i4>;|q:75<720q659o53`f89<>02l901>8j:00g?851m3;>563<6d8236=:;?o1=5j4=24f>4g0349=i7?l1:?02`<6lk1/8?k59208j14c2;1v4;4>l0mi63<6d821==:;?o1=:<4=24f>4>d349=i7?n6:?02`<6k916?;k51ec8 14b20997c:=d;18yv?5l3:15v364680ea=:11>1i>5237g9bf=:;?o1=884=24f>417349=i7?7a:?02`<6i=16?;k51cg8960b28n37):=e;;06>h3:m0?7p}62b83><}:1=<1?lj4=8:0>`5<5:432349=i7?9f:?02`<60016?;k51`18960b28ho70=9e;3g3>"3:l02??5a43f91>{t1;h1<77t=866>6gc3433>7k<;<15a?`f349=i7?=8:?02`<6>l16?;k519:8960b28k970=9e;3ag>;4>l0:h;5+43g9=645<>s43?87=nd:?:<416?;k517f8960b282<70=9e;3b5>;4>l0:no5237g95a3<,=8n64==;o61`?159z?:06<4im1655>5e29>73c=n116?;k51348960b28;4>l0:m=5237g95gg<5:i47;|q:6=<720q659<53`f89<1a2l901>8j:g58960b288>70=9e;365>;4>l0:485237g95<`<5:h46339m07b=12wx5?950;;x9<262:ko7078e;g0?851m3l=70=9e;310>;4>l0:9=5237g95=2<5:d39'07c=1:80b9;>?m0n?63<6d8e1>;4>l0:>>5237g951`<5:l278:h4>b69>73c=9m;0(9;o08mi5296a9a6=:;?o1j95237g9574<5:9b9>73c=9k<01>8j:0f3?!25m338>6`;2e8`?xu>:=0;64u292g97db<50=i6h=4=24f>c4<5:669>73c=90h01>8j:0`6?851m3;hj6*;2d8:77=i<;n1h6s|92`94??|50?86>ok;<;:g?c4349=i7?>d:?02`<6;4>l0:oi5237g95`0<,=8n64==;o61`?c59z?:17<4im1654l5e29>73c=98i01>8j:06b?851m3;=863<6d823`=:;?o1=464=24f>4ed349=i7?j5:&76`<>;;1e8?j5f:p=6?=833p14;>:2cg?8?>i3o870=9e;32f>;4>l0:845237g9535<5:?278:h4>cc9>73c=9l>0(9<}:1<:1?lj4=8;:>`5<5:609>73c=9>h01>8j:0;6?851m3;h563<6d82a6=#<;o15><4n50g>4759z?:0c<4im165465e29>73c=98201>8j:065?851m3;=<63<6d823d=:;?o1=4:4=24f>4gb349=i7?j2:&76`<>;;1e8?j5139~w<512902w07;e;1b`>;>1>0n?63<6d8252=:;?o1=9;4=24f>43a349=i7?89:?02`<61:16?;k51`f8960b28o:7):=e;;06>h3:m0:?6s|92794??|50>o6>ok;<;:4?c4349=i7?>6:?02`<6<=16?;k514g8960b28=370=9e;3:6>;4>l0:mn5237g95`6<,=8n64==;o61`?733ty2?94?:8y>=1>=;hn0146::d18960b28:j70=9e;30<>;4>l0:9i5237g9521<5:;<15a?7fj278:h4>c49'07c=1:80b9k1i>5237g9ba=:;?o1=?l4=24f>430349=i7?81:?02`<60k16?;k51`78960b28hm7):=e;;06>h3:m0::6s|93194??|509h6>ok;<;4=?c4349=i7h>;<15a?76n278:h4>4b9>73c=9?<01>8j:0:3?851m3;2m63<6d82f1=#<;o15><4n50g>4153z?:5<<4im16;l9590;8910b2::m7p}7d883>1}:0on1?lj4=26`>4`1349=i7:;0:?0ed<48o1/8?k58e:8j14c291v5j8:1878>ak39jh63<4b82b1=:;?o189?4=2cb>6773->9i76k8:l76a<63ty3h;4?:5y>:l:0g;?851m3>?>637=z{;9i6=4<{<5b3?44j27?:h4<119>026=;9l0q~9na;296~;0i>052z?4e2<0i11685<53028 14b2>k27c:=d;38yv?6i3:1>vP61`9>3d1=18k0q~793;296~;>0008mi5236295547>52z?:<=<4im16?:>51128yv?193:1>v368680ea=:;>:1jk5rs843>5<5s433:7=nd:?03532:ko70=80;d`?xu>=m0;6?u299197db<5:=;6kl4}r;6g?6=:r724?4726=nh1v4;m:1818??939jh63<718e=>{t16gc349<<7h7;|q:1<<72;q65:h53`f896172o=0q~7:8;296~;>?l08mi523629b3=z{0?<6=4={<;4`?5fl278;=4i5:p=00=838p149l:2cg?85083l?7p}65483>7}:1>h1?lj4=253>c452z?:=f<4im16?:>510f8yv?0?3:1>v369c80ea=:;>:1=726=98h0q~785;296~;>1008mi52362954?52z?:==<4im16?:>510:8yv?0;3:1>v369680ea=:;>:1=<94}r;46?6=:r725=4726=98<0q~781;296~;>0<08mi52362955gj7>52z?:3d<4im16?:>5fe9~w<332909w0789;1b`>;4?90m=6s|9bf94?2|50o<6>ok;<;;e?c4349?h7?jb:?104<4991/8?k59ba8j14c291v4mm:1878?b>39jh6368c8f7>;454z?:ac<4im1655m5e29>71b=9ol01?:?:22e?!25m33ho6`;2e81?xu>l<0;69u29dg97db<502o6h=4=26g>4`c348?<7=>7:&76`<>kj1e8?j53:p=a2=83>p14kk:2cg?8??m3o870=;d;3eg>;5<908==5+43g9=fe5<3s43no7=nd:?:fc9>616=;820(9mk08mi529839a6=:;=n1=ko4=362>67?3->9i77lc:l76a<13ty2h<4?:5y>=`g=;hn0147=:d18962c28l370<;5;;g5>"3:l02on5a43f93>{t1m:1<7:t=8g:>6gc3432?7k<;<17`?7a?2798<4<169'07c=1ji0b9;>1=0n?63<4e82b3=::=?15nh4$50f>9h774}r;`a?6==<3=m:16?9j51g68972220in7):=e;;`g>h3:m0j7p}6c`83>1}:1l>1?lj4=8;5>`5<5:>o6h46cb9m07b=j2wx5;950;0x9<>f2:ko70=80;324>"3:l02:;5a43f94>{t1??1<76gc349<<7??f:&76`<>>?1e8?j51:p=26=838p146l:2cg?85083;;i6*;2d8:23=i<;n1>6s|97d94?4|502o6>ok;<144?77l2.?>h46679m07b=;2wx5;k50;0x9<>b2:ko70=80;33g>"3:l02:;5a43f90>{t1?n1<76gc349<<7??b:&76`<>>?1e8?j55:p=3e=838p147>:2cg?85083;;46*;2d8:23=i<;n1:6s|97`94?4|50396>ok;<144?77?2.?>h46679m07b=?2wx5;o50;0x9"3:l02:;5a43f9<>{t1?31<76gc349<<7??5:&76`<>>?1e8?j59:p=3>=838p147::2cg?85083;;86*;2d8:23=i<;n1m6s|97694?4|503=6>ok;<144?77;2.?>h46679m07b=j2wx8;;50;fx9;>m108mh529d;97dc<50oj6>oj;<;ff?5fm272in4=`b=;ho014kj:2cf?8?bn39ji636e780e`=:1l=1?lk4=545>6103ty?:o4?:4y>03e=;>=0196?:233?85b939;j6302>=;8:0q~7?a;296~;>800n?63<6g80b<=K<=n1=v*<2283?x{t14e34>=m7=87:p66e=838p1>8i:563?844l39<;6s|47594?5|50:269;3?h08==5rs9fg>5<5s49?o7:>5:?02a<68h1/8?k58ea8j14c291v5jm:181853k3>;463<6e8e`>"3:l03hn5a43f95>{t0mk1<76`f349=h7h>;%61a?>ck2d?>i4=;|q72a<72;q68;k5365891172:;;7p}6d`83>7}:1l=1i>5235f97cb<,=8n64j6;o61`?652z?:a39m6*;2d8:`<=i<;n1>6s|9d094?4|50on6h=4=26g>14?3->9i77k9:l76a<43ty2i<4?:3y>=`b=m:16?9j54358 14b20n27c:=d;68yv?b83:1>v36eb8f7>;485+43g9=a?5<5s43nn7k<;<17`?25<2.?>h46d89m07b=>2wx5ik50;0x9:k:501?!25m33o56`;2e84?xu>lm0;6?u29d;9a6=:;=n18??4$50f>3g>9h764}r;gg?6=:r72i54j3:?00a<39<1/8?k59e;8j14c201v4jm:1818?b=3o870=;d;63<>"3:l02h45a43f9e>{t1m=1<7`5<5:>o6>hn;%61a??c12d?>i4m;|q736<72;q68:8531d891132:=<7p}6bd83>7}Y1ko01>9?:503?!25m33ih6`;2e83?xu>jj0;6?uQ9ca896172=;n7):=e;;a`>h3:m0:7p}6bc83>7}Y1kh01>9?:53g?!25m33ih6`;2e81?xu>jh0;6?uQ9cc896172=;h7):=e;;a`>h3:m087p}6b883>7}Y1k301>9?:53a?!25m33ih6`;2e87?xu>j10;6?uQ9c:896172=;j7):=e;;a`>h3:m0>7p}6b683>7}Y1k=01>9?:53:?!25m33ih6`;2e85?xu>j?0;6?uQ9c4896172=;37):=e;;a`>h3:m0<7p}6b483>7}Y1k?01>9?:534?!25m33ih6`;2e8;?xu>j=0;6?uQ9c6896172=;=7):=e;;a`>h3:m027p}6b383>7}Y1k801>9?:530?!25m33ih6`;2e8b?xu>j80;6?uQ9c3896172=;97):=e;;a`>h3:m0i7p}6b183>7}Y1k:01>9?:532?!25m33ih6`;2e8`?xu>io0;6?uQ9`d896172=;;7):=e;;a`>h3:m0o7p}6ad83>7}Y1ho01>9?:52e?!25m33ih6`;2e8f?xu>im0;6?uQ9`f896172=:n7):=e;;a`>h3:m0m7p}6ab83>7}Y1hi01>9?:52g?!25m33ih6`;2e824>{t1hh1<7;n6*;2d8:fa=i<;n1=<5rs8cb>5<5sW3jm63<71874d=#<;o15oj4n50g>4452z\:e<=:;>:18=74$50f>9h7?<;|q:e2<72;qU5l94=253>1603->9i77md:l76a<6<2wx5l850;0xZjm1e8?j5149~wi=16?:>54118 14b20ho7c:=d;34?xu>i:0;6?uQ9`1896172=:97):=e;;a`>h3:m0:46s|9`094?4|V0k970=80;634>"3:l02ni5a43f95<=z{0k:6=4={_;b5>;4?908jk5+43g9=gbhk;%61a??el2d?>i4>c:p=h46be9m07b=9m1v4m::181[?d=278;=4;2`9'07c=1kn0b9vP6c59>726=<;20(97}Y1j901>9?:504?!25m33ih6`;2e814>{t1j81<7996*;2d8:fa=i<;n1><5rs8a2>5<5sW3h=63<718761=#<;o15oj4n50g>7452z\:g5=:;>:18?<4$50f>9h7<<;|q:fc<72;qU5oh4=253>1463->9i77md:l76a<5<2wx5o=50;0xZ5:&76`<>jm1e8?j5249~w1m16?:>53gc8 14b20ho7c:=d;04?xu3?00;6?u246c9721<5==h6>>j;|q73a<72;q68:k5365891>72::m7p}1}:;?n189>4=54b>66a349jo7=?e:?0ed<4?>1v5h=:1818?3k3o870=9e;63`>"3:l03j<5a43f94>{t0o:1<7`5<5:m;%61a?>a92d?>i4>;|q;ac<72;q659o5e29>73c=<9k0(9<00n?63<6d874<=#<;o14k?4n50g>6=z{1oh6=4={<;73?c4349=i7:?7:&76`h3:m0>7p}7e`83>7}:1=?1i>5237g9053<,=8n65h>;o61`?052z?:01;>6*;2d8;b4=i<;n146s|8d594?4|50>96h=4=24f>1673->9i76i1:l76a<>3ty3i;4?:3y>=17=m:16?;k53gd8 14b21l:7c:=d;c8yv>b=3:1>v36418f7>;4>l08jh5+43g95<5s438j7k<;<15a?5al2.?>h47f09m07b=k2wx4h=50;0x9<5b2l901>8j:2da?!25m32m=6`;2e8g?xu?nh0;6?u29419a6=:;?o18?o4$50f>=`63g>9h7k4}r:e=?6=:r729?4j3:?02`<3:11/8?k58g38j14c2o1v5h7:1818?293o870=9e;613>"3:l03j<5a43f955=z{1l<6=4={<;64?c4349=i7:=5:&76`986*;2d8;b4=i<;n1=?5rs9d6>5<5s43?i7k<;<15a?25:2.?>h47f09m07b=9:1v5h;:1818?3l3o870=9e;615>"3:l03j<5a43f951=z{1l86=4={<;75:&76`;46*;2d8;b4=i<;n1=;5rs9g1>5<5s438o7k<;<15a?5ai2.?>h47f09m07b=9>1v5k>:1818>al3o870=9d;621>"3:l03i=5a43f94>{t0ml1<7`5<5:7;%61a?>b82d?>i4>;|q;``<72;q64kl5e29>73b=;ok0(93=7>52z?035<3<91685<53658yv44m3:1>v3;678055=::=?1>>k4}r146?6=:mq689m523;89<7>2l901>9?:03e?85083;9n63<71827==:;>:1=9:4=253>422349<<7?;6:?035<6<>16?:>515;8961728>j70=80;37f>;4?90:>=523629574<5:=;6<<<;<144?75<278;=4>249>726=9;<01>9?:004?85083;9463<71826<=:;>:1=?o4=253>44d349<<7?=d:?035<6:l16?:>512289617289:70=80;306>;4?90:?>523629562<5:=;6<=:;<144?74>278;=4>389>726=9:k01>9?:01a?85083;8o63<71827a=:;>:1=>k4=253>45a349<<7?;0:?035<6<816?:>51518960c28;m70=9d;31f>;4>m0:?55rs8a;>5<5=r7=4i42=c=;ho01;7=:2cf?80>;39ji6398980e`=:>131?lk4=7c6>14e342:kn707;>;m08mh5295:97dc<50>o6>oj;<;7a?5fm2728k4=06=;ho014;>:2cf?8?2:39ji6365280e`=:1:o1?lk4=81e>6gb343?<7=ne:?:04<4il1659<53`g89<242:kn707;4;1ba>;><<08mh5295497dc<50><6>oj;<;7=?5fm2728l4=1d=;ho014:l:2cf?81f?3>8h636c88032=z{?k?6=4={<4b4<769~w6ge2908w07>9;ag?851n3l;70=nc;143>{t<>l1<79t=9da>fb<51lh6nj4=9dg>fb<5=2;6>98;<1f5?568278nn4<119>7ae=;8:0q~=m2;296~;?nk08mh523eg972152z?;bf<4il16?o753658yv5c03:1>v37fe80e`=:;mh1?:94}r645?6=:=<3=km1654:5ce9>=<5=km1654<5ce9>=<7=km1655h5ce9>==c=km1655j5ce9>==e=km1655l5ce9>==g=km1655?5ce9>==4=km1655>5ce9>==5=km1655:5ce9>==1=km165565ce9>=2?=km165:o5ce9>==3=km1654>5ce9>=<>=km165585ce9>====2b=km165:l5ce9>=2c=km165:h5ce9>==?=km168:<53658yv20j3:1=4u292a9ga=:1:n1oi5295:9ga=:1=n1oi5295g9ga=:1=l1oi529429ga=:1<;1oi529409ga=:1<91oi5292g9ga=:1:l1oi529529ga=:1=;1oi529509ga=:1=91oi529569ga=:1=?1oi529549ga=:1==1oi5295;9ga=:1=k1oi5295`9ga=:1=i1oi5246a972152z?0a4<4?>16?ik53028yv5e03:1>v34}r1ge?6=:r78hn4<769>7ad=;8:0q~<52z?104<4?>16>9;52528yxd>890;6>;57b8g<~J356b86g?3c20c=<80?<798:509<=<213=36pg99983>!5?83<2;6`<7g83?>o11?0;6)=70;4:3>h4?o0:76g99483>!5?83<2;6`<7g81?>o11=0;6)=70;4:3>h4?o0876g98583>!5?83<3?6`<7g83?>o10;0;6)=70;4;7>h4?o0:76g98083>!5?83<3?6`<7g81?>o>k>0;66g9a`83>>o1100;66g88883>>o1=10;66a6bd83>!5?833ih6`<7g83?>i>jj0;6)=70;;a`>h4?o0:76a6bc83>!5?833ih6`<7g81?>i>jh0;6)=70;;a`>h4?o0876a6b883>!5?833ih6`<7g87?>i>j10;6)=70;;a`>h4?o0>76a6b683>!5?833ih6`<7g85?>i>j?0;6)=70;;a`>h4?o0<76a6b483>!5?833ih6`<7g8;?>i>j=0;6)=70;;a`>h4?o0276a6b383>!5?833ih6`<7g8b?>i>j80;6)=70;;a`>h4?o0i76a6b183>!5?833ih6`<7g8`?>i>io0;6)=70;;a`>h4?o0o76a6ad83>!5?833ih6`<7g8f?>i>im0;6)=70;;a`>h4?o0m76a6ab83>!5?833ih6`<7g824>=h1hh1<7*<818:fa=i;>l1=<54o8cb>5<#;1:15oj4n25e>44<3f3j57>5$2:3>jm1e?:h51498k59cf8j61a28<07b7n4;29 6>720ho7c=8f;34?>i>i:0;6)=70;;a`>h4?o0:465`9`094?"40902ni5a36d95<=c:9l=9i:0g8?j?d<3:1(>6?:8`g?k50n3;m76a6c283>!5?833ih6`<7g814>=h1j81<7*<818:fa=i;>l1><54o8a2>5<#;1:15oj4n25e>74<3f3h<7>5$2:3>jm1e?:h52498k59cf8j61a2;<07b76d;29 6>720ho7c=8f;04?>i>9h0;66l;4b83>4<729q/89:523c8L12e3f8957>5;|`5e=<72=0;6=u+456964`<@=>i7A:;d;3x 644291v@9:j:0y'066=kk1ven850;9ja6<722c8mh4?::m0ea<722wi:5j50;694?6|,=>?6??i;I67f>J3b290?6=4?{%670?46n2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lc42900e>oj:188k6gc2900qo862;290?6=8r.?894=1g9K01do6{K<=o1=v*;318`f>{nk?0;66gj3;29?l5fm3:17b=nd;29?xd11:0;694?:1y'012=:8l0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nm:0;66g>i4im0;66sm69:94?2=83:p(9:;:33e?M23j2F?8i4>{%117?6?i7?t$513>fd>4?;|N70`<6s->8<7mm;|k`2?6=3`o86=44i2cf>5<9n7>5;n1b`?6=3th=m;4?:583>5}#<=>1>?=4H56a?I23l3;p(><<:69~H12b28q/8>>5cc9~mf0=831bi>4?::k76g<722e8mi4?::a2d1=83>1<7>t$567>7443A>?n6B;4e82!55;3=0qA:;e;3x 1572jh0qdm9:188m`5=831b8?l50;9l7db=831vn4?6:185>5<7s->?87<=2:J70g=K<=n1=v*<2283?xJ33:17dmk:188m`5=831b?lk50;9j07d=831d?lj50;9~f<6>290>6=4?{%670?4592B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lc42900e>oj:188m14e2900c>ok:188yg?b<3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?b=3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?b03:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?b13:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?bi3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?bj3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?bk3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?bl3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?bm3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?bn3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?b>3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?b?3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg>aj3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg>ak3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg>al3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?>>3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo765;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?><3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo763;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?>:3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo761;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg??n3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo77e;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg??l3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo77c;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg??j3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo77a;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?4k3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?4l3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?303:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3l3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3m3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3n3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?283:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?293:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?2:3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?2;3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?4m3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?4n3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?383:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?393:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3:3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3;3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3<3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3=3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3>3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3?3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?313:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3i3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3j3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg?3k3:197>50z&701<5:91C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188m6gb2900c>ok:188yg??13:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo776;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg??03:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo777;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg??<3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo773;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg??83:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo772;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg??93:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo78f;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?0m3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo78b;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?0l3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo78c;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?>i3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo76c;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?>j3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo767;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?>13:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo768;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?>83:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo775;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg?0i3:187>50z&701<59l1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>odl3:17dk<:188k6gc2900qo789;290?6=8r.?894=1d9K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900c>ok:188yg1f?3:147>50zN70`<1s-9i=77?4:l163<43g89;784$306>30c3->8<7mm;o605?053t.?8948a69j=4?=831b:8650;9ja7<722c??i4?::m17g<722e1<7>tL56f>=}#;k;15=64$2:2>``<,:2>69=j;%1;0?5a12d?>k4=;o67=?7<,=9;6nl4n512>d3?87=9f:ke4?6=3`;:i7>5;h674?6=3f9m57>5;|`00f<7200;6=uC45g93~"4j80=9k5+39095`1<,=>=6;5+39697c?<,=>>6=5+4229725f49m72`=921b=k850;&0<5<6n<1e?:h50:9j657=83.84=4=0d9m72`=:21b>=j50;&0<5<58l1e?:h51:9j65`=83.84=4=0d9m72`=821d?ko50;&0<5<39=1e?:h52:9l05>=83.84=4;159m72`=921d8<;50;&0<5<39=1e?:h50:9~f62c290987>50zN70`<0s-9i=78:f:&0<7<6m>1/89853:&0<1<4n01/89;50:&775<4?:1e8>?54e;8y!23<39?h6Tl5;1x5c<5838:6pg>e983>!5?83;nm6`<7g8a?>o6n=0;6)=70;3fe>h4?o0j76g>f783>!5?83;nm6`<7g8:?>o6n>0;6)=70;3fe>h4?o0376g>f983>!5?83;nm6`<7g84?>o6nh0;6)=70;3fe>h4?o0=76g>fc83>!5?83;nm6`<7g86?>o6nj0;6)=70;3fe>h4?o0?76g>fe83>!5?83;nm6`<7g80?>o6no0;6)=70;3fe>h4?o0976g>e883>!5?83;nm6`<7g82?>o6mk0;6)=70;3fe>h4?o0;76g=0083>!5?838;?6`<7g8a?>o58m0;6)=70;037>h4?o0j76g=0g83>!5?838;?6`<7g8:?>o5990;6)=70;037>h4?o0376g=1083>!5?838;?6`<7g84?>o59:0;6)=70;037>h4?o0=76g=1583>!5?838;?6`<7g86?>o59<0;6)=70;037>h4?o0?76g=1783>!5?838;?6`<7g80?>o5910;6)=70;037>h4?o0976g=0383>!5?838;?6`<7g82?>o58=0;6)=70;037>h4?o0;76a!5?839mo6`<7g8a?>i3810;6)=70;1eg>h4?o0j76a;1483>!5?839mo6`<7g8:?>i3:80;6)=70;1eg>h4?o0376a;2383>!5?839mo6`<7g84?>i3:=0;6)=70;1eg>h4?o0=76a;2483>!5?839mo6`<7g86?>i3:>0;6)=70;1eg>h4?o0?76a;2983>!5?839mo6`<7g80?>i3:h0;6)=70;1eg>h4?o0976a!5?839mo6`<7g82?>i4nm0;6)=70;1eg>h4?o0;76sm36294?1>290;wA:;e;:x 6d620:37)=71;ge?!5?=3>8i6*<8580b<=i<;l1>6`;4881<>"3;90hn6`;3080b>{#<=>1?:>4Zb797~1f2>h14<4rig394?"4090:=95a36d962=l1>;54i02b>5<#;1:1=<:4n25e>73<3`;::7>5$2:3>4733g94:l03c<5;21b=<650;&0<5<69=1e?:h52398m47>290/?5>51068j61a2;;07d?>b;29 6>728;?7c=8f;03?>o69j0;6)=70;320>h4?o0:j65f10f94?"4090:=95a36d95`=l1=i54ig694?"4090:=95a36d95f=l1=o54ig494?"4090:=95a36d95d=l1=454ig:94?"4090:=95a36d95==l1=:54igc94?"4090:=95a36d953=l1=854iga94?"4090:=95a36d951=l1=>54igd94?"4090:=95a36d957=4?:%1;4?76<2d8;k4i;:k241<72-93<7?>4:l03c4:l03c4:l03c4:l03c4:l03c<132c:4:l03c<332c:=<4?:%1;4?76<2d8;k4<;:k257<72-93<7?>4:l03c<532c:=>4?:%1;4?76<2d8;k4>;:k250<72-93<7?>4:l03c<732c:=k4?:%1;4?73:2d8;k4=7:9j57d=83.84=4>439m72`=:?10e<=7:18'7=6=9=80b>9i:378?l73<3:1(>6?:061?k50n38?76g>4483>!5?83;?>6`<7g817>=n9=<1<7*<818207=i;>l1>?54i064>5<#;1:1=9<4n25e>77<3`;?57>5$2:3>4253g951508j61a28n07d?=2;29 6>728>97c=8f;3`?>o6::0;6)=70;376>h4?o0:n65f13694?"4090:8?5a36d95d=6=4+3929514:4?:%1;4?73:2d8;k4>7:9j57>=83.84=4>439m72`=9?10e<<6:18'7=6=9=80b>9i:078?l75i3:1(>6?:061?k50n3;?76g>2b83>!5?83;?>6`<7g827>=n9;n1<7*<818207=i;>l1=?54i00f>5<#;1:1=9<4n25e>47<3`;8<7>5$2:3>4253g932c:?l4?:%1;4?73:2d8;k47;:k27g<72-93<7?;2:l03c<032c:?n4?:%1;4?73:2d8;k49;:k27a<72-93<7?;2:l03c<232c:?h4?:%1;4?73:2d8;k4;;:k27c<72-93<7?;2:l03c<432c:8=4?:%1;4?73:2d8;k4=;:k204<72-93<7?;2:l03c<632c:8>4?:%1;4?73:2d8;k4?;:k705<722e8jl4?:%1;4?26n2d8;k4=7:9l05>=83.84=4;1g9m72`=:?10c9?::18'7=6=<8l0b>9i:378?j2593:1(>6?:53e?k50n38?76a;2383>!5?83>:j6`<7g817>=h<;>1<7*<81875c=i;>l1>?54o506>5<#;1:1877<3f>9;7>5$2:3>17a3g9f:l03c<6n21d8?o50;&0<5<39o1e?:h51d98k6`e290/?5>540d8j61a28n07b=id;29 6>72=;m7c=8f;3`?>i4nl0;6)=70;62b>h4?o0:n65`3gd94?"4090?=k5a36d95d=4?:%1;4?26n2d8;k4>7:9l053=83.84=4;1g9m72`=9?10c9>9:18'7=6=<8l0b>9i:078?j27?3:1(>6?:53e?k50n3;?76a;0883>!5?83>:j6`<7g827>=h<9k1<7*<81875c=i;>l1=?54o52a>5<#;1:1847<3f>;h7>5$2:3>17a3g9f:l03cf:l03cf:l03c4?:%1;4?26n2d8;k4n;:m753<72-93<7:>f:l03c<>32e?=:4?:%1;4?26n2d8;k47;:m75=<72-93<7:>f:l03c<032e?=44?:%1;4?26n2d8;k49;:m75d<72-93<7:>f:l03c<232e?=o4?:%1;4?26n2d8;k4;;:m75f<72-93<7:>f:l03c<432e?=i4?:%1;4?26n2d8;k4=;:m75`<72-93<7:>f:l03c<632e?>=4?:%1;4?26n2d8;k4?;:a73c=83ni6=4?{M67a?>|,:h:64>7;%1;5?ca3-9397:0>=u`l:6=4+39295575<#;1:1==?4n25e>40<3`;;m7>5$2:3>4663g951138j61a28807d?>9;29 6>728::7c=8f;32?>o69k0;6)=70;335>h4?o0:<65f10a94?"4090:<<5a36d9b>=n98n1<7*<818244=i;>l1i65ff383>!5?83;;=6`<7g8g?>oa<3:1(>6?:022?k50n3i07dh::18'7=6=99;0b>9i:c98mc0=83.84=4>009m72`=i21bj:4?:%1;4?7792d8;k46;:ke>;o14b?><3`l26=4+3929557=nnk0;6)=70;335>h4?o0>76gic;29 6>728::7c=8f;68?l`b290/?5>51138j61a2:10ekh50;&0<5<6881e?:h52:9j556=83.84=4>009m72`=921b==<50;&0<5<6881e?:h50:9j54`=83.84=4>2g9m72`=9>10e<9i:048?l7403:1(>6?:00e?k50n3;>76g>4583>!5?83;9j6`<7g820>=n9=?1<7*<81826c=i;>l1=>54i065>5<#;1:1=?h4n25e>44<3`;?;7>5$2:3>44a3g9;:k20<<72-93<7?=f:l03c<6821b=9o50;&0<5<6:o1e?:h5f:9j51d=83.84=4>2g9m72`=m21b=?>50;&0<5<6:o1e?:h5d:9j574=83.84=4>2g9m72`=k21b=?=50;&0<5<6:o1e?:h5b:9j572=83.84=4>2g9m72`=i21b=?;50;&0<5<6:o1e?:h59:9j570=83.84=4>2g9m72`=021b=?950;&0<5<6:o1e?:h57:9j57>=83.84=4>2g9m72`=>21b=?750;&0<5<6:o1e?:h55:9j57g=83.84=4>2g9m72`=<21b=?m50;&0<5<6:o1e?:h53:9j57b=83.84=4>2g9m72`=:21b=?k50;&0<5<6:o1e?:h51:9j566=83.84=4>2g9m72`=821b=9m50;&0<5<6=k1e?:h51698m430290/?5>514`8j61a28<07d?:d;29 6>728?i7c=8f;36?>o6=l0;6)=70;36f>h4?o0:865f14d94?"4090:9o5a36d956=4?:%1;4?72j2d8;k4>0:9j532=83.84=4>5c9m72`=n21b=;;50;&0<5<6=k1e?:h5e:9j51b=83.84=4>5c9m72`=l21b=9k50;&0<5<6=k1e?:h5c:9j51`=83.84=4>5c9m72`=j21b=8>50;&0<5<6=k1e?:h5a:9j507=83.84=4>5c9m72`=121b=8<50;&0<5<6=k1e?:h58:9j505=83.84=4>5c9m72`=?21b=8:50;&0<5<6=k1e?:h56:9j503=83.84=4>5c9m72`==21b=8850;&0<5<6=k1e?:h54:9j50>=83.84=4>5c9m72`=;21b=8750;&0<5<6=k1e?:h52:9j50g=83.84=4>5c9m72`=921b=8m50;&0<5<6=k1e?:h50:9j530=83.84=4>749m72`=9>10e<9>:18'7=6=9>?0b>9i:048?l70?3:1(>6?:056?k50n3;>76g>7983>!5?83;<96`<7g820>=n9>31<7*<818230=i;>l1=>54i05b>5<#;1:1=:;4n25e>44<3`;5$2:3>4123g9;:k23a<72-93<7?85:l03c<6821b=:k50;&0<5<6?<1e?:h5f:9j52`=83.84=4>749m72`=m21b=;950;&0<5<6?<1e?:h5d:9j53>=83.84=4>749m72`=k21b=;750;&0<5<6?<1e?:h5b:9j53g=83.84=4>749m72`=i21b=;l50;&0<5<6?<1e?:h59:9j53e=83.84=4>749m72`=021b=;j50;&0<5<6?<1e?:h57:9j53c=83.84=4>749m72`=>21b=;h50;&0<5<6?<1e?:h55:9j526=83.84=4>749m72`=<21b=:<50;&0<5<6?<1e?:h53:9j525=83.84=4>749m72`=:21b=::50;&0<5<6?<1e?:h51:9j520=83.84=4>749m72`=821b=5>50;&0<5<60o1e?:h51698m4>e290/?5>519d8j61a28<07d?61;29 6>7282m7c=8f;36?>o61;0;6)=70;3;b>h4?o0:865f18194?"4090:4k5a36d956=0:9j5<>=83.84=4>8g9m72`=n21b=4750;&0<5<60o1e?:h5e:9j5=7=83.84=4>8g9m72`=l21b=5<50;&0<5<60o1e?:h5c:9j5=5=83.84=4>8g9m72`=j21b=5:50;&0<5<60o1e?:h5a:9j5=3=83.84=4>8g9m72`=121b=5850;&0<5<60o1e?:h58:9j5=1=83.84=4>8g9m72`=?21b=5650;&0<5<60o1e?:h56:9j5=?=83.84=4>8g9m72`==21b=5o50;&0<5<60o1e?:h54:9j5=e=83.84=4>8g9m72`=;21b=5j50;&0<5<60o1e?:h52:9j5=c=83.84=4>8g9m72`=921b=4>50;&0<5<60o1e?:h50:9j5a89m72`=9>10e9i:048?l7fj3:1(>6?:0c:?k50n3;>76g>ab83>!5?83;j56`<7g820>=n9hn1<7*<8182e<=i;>l1=>54i0cf>5<#;1:1=l74n25e>44<3`;jj7>5$2:3>4g>3g9;:k2f4<72-93<7?n9:l03c<6821b=o<50;&0<5<6i01e?:h5f:9j5g5=83.84=4>a89m72`=m21b=4l50;&0<5<6i01e?:h5d:9j5a89m72`=k21b=4j50;&0<5<6i01e?:h5b:9j5a89m72`=i21b=4h50;&0<5<6i01e?:h59:9j5d6=83.84=4>a89m72`=021b=l?50;&0<5<6i01e?:h57:9j5d4=83.84=4>a89m72`=>21b=l=50;&0<5<6i01e?:h55:9j5d2=83.84=4>a89m72`=<21b=l850;&0<5<6i01e?:h53:9j5d1=83.84=4>a89m72`=:21b=l650;&0<5<6i01e?:h51:9j5dg=83.84=4>a89m72`=821b=o:50;&0<5<6k:1e?:h51698m4da290/?5>51b18j61a28<07d?l5;29 6>728i87c=8f;36?>o6k?0;6)=70;3`7>h4?o0:865f1b594?"4090:o>5a36d956=0:9j5fe=83.84=4>c29m72`=n21b=nj50;&0<5<6k:1e?:h5e:9j5g3=83.84=4>c29m72`=l21b=o850;&0<5<6k:1e?:h5c:9j5g1=83.84=4>c29m72`=j21b=o650;&0<5<6k:1e?:h5a:9j5g?=83.84=4>c29m72`=121b=oo50;&0<5<6k:1e?:h58:9j5gd=83.84=4>c29m72`=?21b=om50;&0<5<6k:1e?:h56:9j5gb=83.84=4>c29m72`==21b=ok50;&0<5<6k:1e?:h54:9j5f6=83.84=4>c29m72`=;21b=n?50;&0<5<6k:1e?:h52:9j5f4=83.84=4>c29m72`=921b=n:50;&0<5<6k:1e?:h50:9j5fc=83.84=4>de9m72`=9>10e9i:048?l7cn3:1(>6?:0fg?k50n3;>76g>e183>!5?83;oh6`<7g820>=n9l;1<7*<8182`a=i;>l1=>54i0g1>5<#;1:1=ij4n25e>44<3`;n?7>5$2:3>4bc3g9;:k2a1<72-93<7?kd:l03c<6821b=h;50;&0<5<6lm1e?:h5f:9j5`0=83.84=4>de9m72`=m21b=nh50;&0<5<6lm1e?:h5d:9j5a6=83.84=4>de9m72`=k21b=i?50;&0<5<6lm1e?:h5b:9j5a4=83.84=4>de9m72`=i21b=i=50;&0<5<6lm1e?:h59:9j5a2=83.84=4>de9m72`=021b=i;50;&0<5<6lm1e?:h57:9j5a0=83.84=4>de9m72`=>21b=i950;&0<5<6lm1e?:h55:9j5a>=83.84=4>de9m72`=<21b=io50;&0<5<6lm1e?:h53:9j5ad=83.84=4>de9m72`=:21b=im50;&0<5<6lm1e?:h51:9j5ac=83.84=4>de9m72`=821b89>50;&0<5<3;o1e?:h50:9j017=83.84=4;3g9m72`=921b89<50;&0<5<3;o1e?:h52:9l7cg=83.84=4;0b9m72`=9>10c9>7:18'7=6=<9i0b>9i:048?j26=3:1(>6?:52`?k50n3;>76a;2083>!5?83>;o6`<7g820>=h<;81<7*<81874f=i;>l1=>54o507>5<#;1:18=m4n25e>44<3f>997>5$2:3>16d3g9;:m762<72-93<7:?c:l03c<6821d8?650;&0<5<38j1e?:h5f:9l07g=83.84=4;0b9m72`=m21d?kl50;&0<5<38j1e?:h5d:9l7cb=83.84=4;0b9m72`=k21d?kk50;&0<5<38j1e?:h5b:9l7c`=83.84=4;0b9m72`=i21d8=>50;&0<5<38j1e?:h59:9l054=83.84=4;0b9m72`=021d8==50;&0<5<38j1e?:h57:9l053=83.84=4;0b9m72`=>21d8=850;&0<5<38j1e?:h55:9l051=83.84=4;0b9m72`=<21d8=750;&0<5<38j1e?:h53:9l05g=83.84=4;0b9m72`=:21d8=l50;&0<5<38j1e?:h51:9l05b=83.84=4;0b9m72`=821vn>8k:18b>5<7sE>?i76t$2`2><6?3-93=7ki;%1;1?24m2.84948=7mn;|&701<4>m1Qo84<{72924<1j3wbj<4?:%1;4?7712d8;k4=;:ke`?6=,:2;6<>6;o14b?7<3`;;m7>5$2:3>46>3g94;h32b?6=,:2;6<=8;o14b?4<3`;9n7>5$2:3>4503g9?<7>5;n1ee?6=,:2;69?;;o14b?4<3f>;47>5$2:3>1733g95}#<=>1??:4H56a?l57m3:17b=87;29?xd3>?0;6>4?:1y'012=jk1C89l4i22e>5<5<=o7>53;294~"3<=0in6F;4c9j75`=831b?<>50;9l721=831vn999:180>5<7s->?87=88:J70g=n;9l1<75f30294?=h;>=1<75rb54b>5<4290;w):;4;`a?M23j2c8t$567>6433A>?n6g<0d83>>i4?>0;66sm47:94?5=83:p(9:;:ca8L12e3`9;j7>5;h124?6=3f9<;7>5;|`73=<72:0;6=u+4569fg=O<=h0e>>i:188m6772900c>98:188yg21m3:1?7>50z&701?6>96;I67f>o48o0;66g<1183>>i4?>0;66sm46g94?5=83:p(9:;:25:?M23j2c8t$567>61>3A>?n6g<0g83>>o4990;66a<7683>>{e<181<7=50;2x 1232kn0D9:m;h13b?6=3`9:<7>5;n143?6=3th8mn4?:383>5}#<=>1??:4H56a?l57m3:17b=87;29?xd1i:0;6>4?:1y'012=;>30D9:m;h13b?6=3`9:<7>5;n143?6=3th?4=4?:283>5}#<=>1?:74H56a?l57n3:17d=>0;29?j50?3:17pl;7383>7<729q/89:53368L12e3`9;i7>5;n143?6=3th?;n4?:383>5}#<=>1??:4H56a?l57m3:17b=87;29?xd4ih0;694?:1y'012=jl1C89l4i22e>5<5<N3=831d?:950;9~f726290>6=4?{%670?e43A>?n6g<0g83>>o4990;66g<1683>>o4910;66a<7683>>{e:=?1<7953;;x 1232;>>7d<50z&70186=4::183!23<3i?7E:;b:k04c<722c8==4?::k052<722c8=54?::m032<722wi>9:50;194?6|,=>?6ol4H56a?l57n3:17d=>0;29?j50?3:17p}=3g83>7}Y::l01?:=:22e?xu5<90;6?uQ252897252:;;7p}=4083>7}::=>1?=h4=361>6103ty2h<4?:3y]=a7<5;>86>>i;|q:g`<72;qU5nk4=360>6773ty2ok4?:3y]=f`<5;>86>?8;|q:gd<72;qU5no4=360>67?3ty98?4?:3y>612=;8:01?:<:254?xu5;l0;6?uQ22g897232:=<7psm3d394?5=83:p(9:;:c`8L12e3`9;j7>5;h124?6=3f9<;7>5;|`0``<72:0;6=u+456972?<@=>i7d=?f;29?l5683:17b=87;29?xd4jj0;6>4?:1y'012=jk1C89l4i22e>5<5<53;294~"3<=08;45G45`8m66a2900e>??:188k6102900qo=kc;297?6=8r.?894mb:J70g=n;9l1<75f30294?=h;>=1<75rb2fa>5<4290;w):;4;14=>N3h49969m07b=82wx:4850;0xZ3?1349<<7?>3:N70a<6s-99?7>4}%61a?0>?2d?>i4>;|q5=0<72;qU:4;4=253>4753E>?h7?t$200>5=z,=8n6;78;o61`?452z\5=1=:;>:1=4}#;;91<6s+43g92<15rs7:2>5<5sW<3=639898f7>"3:l0=4>5a43f96>{t>181<7h3:m0:7p}98583>7}Y>1>01;7=:d18 14b2?287c:=d;28yv?d?3:1:vP6c69>=f?=;9o01;o<:22e?85cm39;j63f0<5?2o6n84=7:f>f0<5?396n84=7;0>f0<5?236n84=7::>f0<5?k>6n84=7c5>f0<5?k<6n84=83:>f0<50:26n84=8g7>f0<50o>6n84=8g;>f0<50o26n84=8gb>f0<50oi6n84=8g`>f0<50oo6n84=8gf>f0<50om6n84=8g5>f0<50o<6n84=9da>f0<51lh6n84=9dg>f0<503=6n84=8;6>f0<503?6n84=8;0>f0<50396n84=8;2>f0<502m6n84=8:f>f0<502o6n84=8:`>f0<502i6n84=8:b>f0<509h6n84=81g>f0<50>36n84=86g>f0<50>n6n84=86e>f0<50?;6n84=872>f0<50?96n84=870>f0<509n6n84=81e>f0<50>;6n84=862>f0<50>96n84=860>f0<50>?6n84=866>f0<50>=6n84=864>f0<50>26n84=86b>f0<50>i6n84=86`>f0<50226n84=8:5>f0<50236n84=8:4>f0<502?6n84=8:0>f0<502;6n84=8:1>f0<502:6n84=85e>f0<50=n6n84=85a>f0<50=o6n84=85`>f0<503j6n84=8;`>f0<503i6n84=8;4>f0<50326n84=8;;>f0<503;6n84=8:6>f0<50=j6n84=85:>f0<5>k<6h<4}r4:=?6=:rT=54526`79a6=z{>226=4>2z\4<<=:1l>1oi529d79ga=:1l21oi529d;9ga=:1lk1oi529d`9ga=:1li1oi529df9ga=:1lo1oi529dd9ga=:1l<1oi529d59ga=:;?l1=66a34>=o7=>0:?733<499168::531d8yv0203:1>vP9599>3d1=><20q~87a;296~;10m08mi5269g9a6=#<;o1:5l4n50g>4=z{?2h6=46{<4;a?5fl278:h4>019>73c=9;k01>8j:070?851m3;=m63<6d82<4=:;?o1=o?4=24f>4e0349=i7?k9:&76`<10k1e8?j50:p2=`=838p1;7=:2cg?80>;3o87):=e;4:4>h3:m0:7p}99083><}:>091?lj4=24f>465349=i7?=c:?02`<6==16?;k517`8960b282970=9e;3a6>;4>l0:o55237g95a`<,=8n6;7?;o61`?654z?5e=<4im168;o53028910?2::m70:84;124>{t>1?1<76gc34<357k<;%61a?0?>2d?>i4>;|q5<2<720q6:5753`f8960b2ol01>8j:00:?851m3;>>63<6d822<=:;?o1=474=24f>4ga349=i7?l6:?02`<6kl1/8?k56948j14c291v;o?:18080f03o8708n6;1b`>;1i>0n?6*;2d85e4=i<;n1=6s|68d94?4|5?k>6>ok;<4b2?c43->9i78n1:l76a<53ty=m?4?:3y>2d1=;hn01;o<:233?!25m3800;6:u291;97db<5=
>i;<00`?57m27?:54<119>02>=;9l0199n:22e?820m39;j6s|92194??|50>h6>ok;<;;=?c4349=i7?<0:?02`<6=j16?;k51648960b283;70=9e;3be>;4>l0:o95237g95ac<,=8n64==;o61`?659z?:0g<4im165565e29>73c=9;o01>8j:07b?851m3;<863<6d82<`=:;?o1=l64=24f>4e5349=i7?kc:&76`<>;;1e8?j51:p=66=833p14:n:2cg?8???3o870=9e;31`>;4>l0:945237g9525<5:c09>73c=9mh0(9<008mi529949a6=:;?o1jh5237g950><5:a79>73c=9j:01>8j:0fb?!25m338>6`;2e80?xu>:m0;64u295597db<502?6h=4=24f>ce<5:8`9>73c=9h>01>8j:0`f?851m3;o46*;2d8:77=i<;n186s|93a94??|50>=6>ok;<;;7?c4349=i7hm;<15a?72=278:h4>6g9>73c=91301>8j:0c0?851m3;ih63<6d82`2=#<;o15><4n50g>0=z{08i6=46{<;71?5fl2724?4j3:?02`299>73c=9?o01>8j:0:;?851m3;j>63<6d82ff=:;?o1=i84$50f><553g>9h784}r;1e?6=1r72894==7=m:16?;k5f89>73c=9;=01>8j:04g?851m3;3;63<6d82e4=:;?o1=ol4=24f>4b23->9i77<2:l76a<03ty2>44?:8y>=15=;hn0146?:d18960b2o201>8j:005?851m3;=o63<6d82<3=:;?o1=l>4=24f>4df349=i7?k4:&76`<>;;1e8?j58:p=7>=833p14:=:2cg?8?0n3o870=9e;d4?851m3;9963<6d8214=:;?o1=5;4=24f>4?a349=i7?m9:?02`<6l:1/8?k59208j14c201v4<8:18:8?3939jh6367d8f7>;4>l0m:63<6d8261=:;?o1=8>4=24f>4>3349=i7?6e:?02`<6j116?;k51e08 14b20997c:=d;c8yv?5>3:15v364180ea=:1>n1i>5237g9b0=:;?o1=?=4=24f>42a349=i7?73:?02`<61m16?;k51c58960b28n:7):=e;;06>h3:m0i7p}62483><}:1:l1?lj4=85`>`5<5:445349=i7?;e:?02`<6>116?;k518a8960b28h=70=9e;3g4>"3:l02??5a43f9g>{t1;>1<77t=81f>6gc343;4>l0:ok5+43g9=645<>s43>?7=nd:?:=f1e9>73c=9=h01>8j:046?851m3;4c13->9i77<2:l76a=04=;hn0147m:d18960b28;h70=9e;37e>;4>l0::95237g952c<5:e49'07c=1:80b92902w07:1;1b`>;>1h0n?63<6d825g=:;?o1=974=24f>404349=i7?8d:?02`<61>16?;k51b`8960b28o?7):=e;;06>h3:m0:<6s|92:94??|50?;6>ok;<;:=?c4349=i7?>9:?02`<6<>16?;k51738960b28=i70=9e;3:1>;4>l0:o45237g95`5<,=8n64==;o61`?763ty2?:4?:8y>=1`=;hn01477:d18960b28;370=9e;372>;4>l0::=5237g952g<5:e39'07c=1:80b93:15v364d80ea=:10=1i>5237g9541<5:789>73c=90901>8j:0cg?851m3;n=6*;2d8:77=i<;n1=>5rs816>5<>s43?h7=nd:?:=5179>73c=9=>01>8j:07f?851m3;<463<6d82=7=:;?o1=lm4=24f>4c73->9i77<2:l76a<6<2wx5>:50;;x9<2?2:ko70775;g0?851m3;;m63<6d827==:;?o1=8j4=24f>410349=i7?61:?02`<6ik16?;k51b78 14b20997c:=d;36?xu>:l0;64u292f97db<50=j6h=4=24f>cb<5:709>73c=91h01>8j:0c6?851m3;ij6*;2d8:77=i<;n1=;5rs800>5<>s438o7=nd:?:3<;4>l0:5l5237g95g2<,=8n64==;o61`?703ty2=44?:2y>=4?=;hn01:o8:83:?821m39;j6s|8e;94?2|51lo6>ok;<17g?7a>278:h4;419>7dg=;9l0(9;<1be?5682.?>h47d99m07b=92wx4i850;6x9=`e2:ko70=;c;3f<>;4>l0?8?523`c9741<,=8n65j7;o61`?453z?4e2<5;k168;k5302891172::m7p}8a`83>7}:?h=1;lo4=5:1>66a3->9i79n9:l76a<73ty3d1=?h20196=:233?!25m3=j56`;2e82?xu>9h0;6?uQ90c892g020;j7p}66283>7}:1131?lj4=253>4653ty2:?4?:3y>==>=;hn01>9?:023?xu>>80;6?u299597db<5:=;6kh4}r;54?6=:r724;4726=nl1v4;j:1818??<39jh63<718eg>{t16gc349<<7hm;|q:1f<72;q655<53`f896172ok0q~7:b;296~;>0808mi523629b<=z{0?j6=4={<;;4?5fl278;=4i8:p=0?=838p149i:2cg?85083l<7p}65983>7}:1>o1?lj4=253>c0;7>52z?:3a<4im16?:>5f49~w<312909w078c;1b`>;4?90m86s|94794?4|50=i6>ok;<144?`53ty2;54?:3y>=9?:03g?xu>?>0;6?u298`97db<5:=;67}:1031?lj4=253>47>3ty2;94?:3y>=<>=;hn01>9?:03;?xu>?:0;6?u298597db<5:=;653`f8961728;=7p}67083>7}:11?1?lj4=253>46f3ty29k4?:3y>=2g=;hn01>9?:gf8yv?2<3:1>v367880ea=:;>:1j<5rs8ag>5<3s43n;7=nd:?:ec9>617=;8:0(9m?08mi5299`9a6=:;=n1=h74=362>66a3->9i77lc:l76a<63ty2h;4?:5y>=``=;hn0146l:d18962c28lm70<;0;13b>"3:l02on5a43f96>{t1m?1<7:t=8gf>6gc3433h7k<;<17`?7al2798=4<169'07c=1ji0b9;>0l0n?63<4e82bf=::=:1?<>4$50f>9h7:4}r;g7?6===`=m:16?9j51g`897272:;37):=e;;`g>h3:m0>7p}6d383>1}:1lh1?lj4=8;2>`5<5:>o6h46cb9m07b=>2wx5i?50;6x92=z{0n;6=4;{<;f=?5fl2725>4j3:?00a<6n>16>9?53058 14b20ih7c:=d;:8yv?dn3:18v36e980ea=:10>1i>5235f95c0<5;>>64mi;%61a??dk2d?>i46;|q:g`<72=q65h;53`f89:k:0d7?843=33hi6*;2d8:gf=i<;n1m6s|9bc94?2|50o?6>ok;<;:2?c4349?h7?j8:?100<>kh1/8?k59ba8j14c2k1v488:1818??i39jh63<718255=#<;o15;84n50g>5=z{0<>6=4={<;;f?5fl278;=4>0g9'07c=1?<0b9;4?90:5<5s433h7=nd:?035<68m1/8?k59748j14c2:1v48j:1818??m39jh63<71824f=#<;o15;84n50g>1=z{00c9'07c=1?<0b9;4?90:<55+43g9=305<5s432>7=nd:?035<68>1/8?k59748j14c2>1v48n:1818?>;39jh63<718243=#<;o15;84n50g>==z{0<26=4={<;:0?5fl278;=4>049'07c=1?<0b9;4?90:<95+43g9=305<5s432:7=nd:?035<68:1/8?k59748j14c2k1v98::18g8?b<39ji636e480e`=:1l21?lk4=8g:>6gb343nm7=ne:?:ag<4il165hm53`g89;>mo08mh529d497dc<50o<6>oj;<652?50?2wx8;l50;7x910d2:=<70:70;124>;4m808>i;|q730<72;q68:853658911?2:;;7p}60`83>7}:1931i>5237d97c?o6{z{=<26=4={<;2=?25j27?:l4<769~w75d2909w0=9f;674>;5;m08;:5rs544>5<4s43;57:=b:?72=<4?>168:k53028yv20?3:1>v3;798032=:<>k1?<>4}r:g`?6=:r788n4;149>73b=99k0(94=z{1nj6=4={<17g?5ai278:i4i1:&76`6`c3->9i77k9:l76a<73ty2h54?:3y>=`0=m:16?9j53g`8 14b20n27c:=d;38yv?b;3:1>v36eg8f7>;4l5+43g9=a?5<5s43ni7k<;<17`?2502.?>h46d89m07b=;2wx5h?50;0x9:k:504?!25m33o56`;2e87?xu>m90;6?u29da9a6=:;=n18?;4$50f>3g>9h7;4}r;gb?6=:r72io4j3:?00a<3:=1/8?k59e;8j14c2?1v4jj:1818?bi3o870=;d;616>"3:l02h45a43f93>{t1mn1<7`5<5:>o69<>;%61a??c12d?>i47;|q:`f<72;q65h65e29>71b=<8?0(9m<0n?63<4e874==#<;o15i74n50g>d=z{0n<6=4={<;f0?c4349?h7=ia:&76`<>l01e8?j5b:p025=838p1999:22e?820<39<;6s|9cg94?4|V0hn70=80;614>"3:l02ni5a43f94>{t1ki1<7:i6*;2d8:fa=i<;n1=6s|9c`94?4|V0hi70=80;62`>"3:l02ni5a43f96>{t1kk1<7:o6*;2d8:fa=i<;n1?6s|9c;94?4|V0h270=80;62f>"3:l02ni5a43f90>{t1k21<7:m6*;2d8:fa=i<;n196s|9c594?4|V0h<70=80;62=>"3:l02ni5a43f92>{t1k<1<7:46*;2d8:fa=i<;n1;6s|9c794?4|V0h>70=80;623>"3:l02ni5a43f9<>{t1k>1<7::6*;2d8:fa=i<;n156s|9c094?4|V0h970=80;627>"3:l02ni5a43f9e>{t1k;1<7:>6*;2d8:fa=i<;n1n6s|9c294?4|V0h;70=80;625>"3:l02ni5a43f9g>{t1hl1<7:<6*;2d8:fa=i<;n1h6s|9`g94?4|V0kn70=80;63b>"3:l02ni5a43f9a>{t1hn1<7;i6*;2d8:fa=i<;n1j6s|9`a94?4|V0kh70=80;63`>"3:l02ni5a43f955=z{0ki6=4={_;bf>;4?90?6;%61a??el2d?>i4>3:p=d1=838pR4o8;<144?27?2.?>h46be9m07b=9=1v4o9:181[?f>278;=4;079'07c=1kn0b9vP6a49>726=<9?0(97}Y1h>01>9?:520?!25m33ih6`;2e823>{t1h91<7;>6*;2d8:fa=i<;n1=55rs8c1>5<5sW3j>63<718745=#<;o15oj4n50g>4?52z\:e4=:;>:1?kh4$50f>9h7?n;|q:e5<72;qU5l>4=253>6`b3->9i77md:l76a<6j2wx54h50;0xZjm1e8?j51b9~wk<16?:>543c8 14b20ho7c:=d;3f?xu>k=0;6?uQ9b6896172=837):=e;;a`>h3:m0:j6s|9b194?4|V0i870=80;613>"3:l02ni5a43f965=z{0i96=4={_;`6>;4?90?>85+43g9=gbi4=3:p=g`=838pR4li;<144?2592.?>h46be9m07b=:=1v4l<:181[?e;278;=4;149'07c=1kn0b9vP6a99>726=<920(97}Y10n01>9?:2db?!25m33ih6`;2e813>{t<>31<761034>7dg=;>=0q~6i2;296~;>5=z{1l;6=4={<;7f?c4349=i7:?b:&76`h3:m097p}7ed83>7}:1=31i>5237g905?<,=8n65h>;o61`?552z?:02;:6*;2d8;b4=i<;n196s|8dc94?4|50>>6h=4=24f>1623->9i76i1:l76a<13ty3i44?:3y>=12=m:16?;k54118 14b21l:7c:=d;58yv>b03:1>v36428f7>;4>l0?5<5s43?>7k<;<15a?2782.?>h47f09m07b=12wx4h850;0x9<262l901>8j:2de?!25m32m=6`;2e8b?xu?m<0;6?u29529a6=:;?o1?kk4$50f>=`63g>9h7l4}r:f0?6=:r72?k4j3:?02`<4nm1/8?k58g38j14c2j1v5k<:1818?4m3o870=9e;1ef>"3:l03j<5a43f9`>{t0ok1<7`5<5:a92d?>i4j;|q;b<<72;q658<5e29>73c=<;20(9=80n?63<6d8762=#<;o14k?4n50g>4652z?:15a>3:1>v364g8f7>;4>l0?>95+43g94252z?:0=bl3:1>v363e8f7>;4>l0?<55+43g94n50g>5=z{1nm6=4={<:eg?c4349=h7:?8:&76`h3:m097p};6g83>7}:<>:1?:94=551>66b3ty?4<4?:3y>726=<=:0196=:254?xu5;l0;6?u24749746<5;>>6?=j;|q037<72;np19:l:30:?8?613o870=80;32b>;4?90:>o52362956><5:=;6<:;;<144?73=278;=4>479>726=9==01>9?:06:?85083;?m63<71820g=:;>:1=?>4=253>445349<<7?=3:?035<6:=16?:>513789617288=70=80;313>;4?90:>552362957?<5:=;6<2e9>726=9;o01>9?:013?85083;8=63<718277=:;>:1=>=4=253>453349<<7?<5:?035<6;?16?:>512;89617289j70=80;30f>;4?90:?n52362956b<5:=;6<=j;<144?74n278;=4>419>726=9=;01>9?:060?851l3;:j63<6e826g=:;?n1=>64}r;`b2:kn70862;1ba>;11:08mh5269:97dc<5?226>oj;<4b1?25j27=m;4;2c9>2d1=<;h014?6:2cf?8?7139ji6363b80e`=:1:n1?lk4=86;>6gb343?h7=ne:?:0`<4il1659h53`g89<372:kn707:1;1ba>;>=;08mh5294197dc<509n6>oj;<;0b?5fm2728=4=17=;ho014:=:2cf?8?3;39ji6364580e`=:1=?1?lk4=865>6gb343?;7=ne:?:0<<4il1659o53`g89<2e2:kn707;c;1ba>;0i>0??i529b;972152z?5e=<4il16:l=53658yv5fj3:1?v36188``>;4>o0m<633<7=87:?0a4<49916?om5302896bd2:;;7p}7}:0oh1?lk4=2ff>6103ty8n>4?:3y>l6:254?xu4l10;6?u28gf97dc<5:ni6>98;|q734<72;?p1479:bf89b2jn0146k:bf89<>d2jn0146m:bf89<>f2jn0146>:bf89<>52jn0146?:bf89<>42jn0146;:bf89<>02jn01467:bf89<1>2jn0149n:bf89<>22jn0147?:bf892jn01478:bf89>2jn0199=:254?xu3?k0;6<7t=81`>fb<509o6nj4=86;>fb<50>o6nj4=86f>fb<50>m6nj4=873>fb<50?:6nj4=871>fb<50?86nj4=81f>fb<509m6nj4=863>fb<50>:6nj4=861>fb<50>86nj4=867>fb<50>>6nj4=865>fb<50><6nj4=86:>fb<50>j6nj4=86a>fb<50>h6nj4=55`>6103ty8hi4?:3y>7`7=;>=01>jj:233?xu4j10;6?u23ca9721<5:h26>??;|q0`d<72;q6?im5365896be2:;;7p}=3g83>7}::=:1?:94=366>75a3ty98=4?:3y>617=;>=01?:::363?x{e19;1<7=::6a9`=}K<=n1=vF<279~H12b2;q/8>>5c`9m067=9?90q):;4;;32>\d=39p4h495;:1>x\3:j0:=>==00<47sf68:94?"4090=5:5a36d94>=n>0<1<7*<8185=2=i;>l1=65f68794?"4090=5:5a36d96>=n>0>1<7*<8185=2=i;>l1?65f69694?"4090=4>5a36d94>=n>181<7*<8185<6=i;>l1=65f69394?"4090=4>5a36d96>=n1j=1<75f6`c94?=n>031<75f79;94?=n><21<75`9cg94?"40902ni5a36d94>=h1ki1<7*<818:fa=i;>l1=65`9c`94?"40902ni5a36d96>=h1kk1<7*<818:fa=i;>l1?65`9c;94?"40902ni5a36d90>=h1k21<7*<818:fa=i;>l1965`9c594?"40902ni5a36d92>=h1k<1<7*<818:fa=i;>l1;65`9c794?"40902ni5a36d9<>=h1k>1<7*<818:fa=i;>l1565`9c094?"40902ni5a36d9e>=h1k;1<7*<818:fa=i;>l1n65`9c294?"40902ni5a36d9g>=h1hl1<7*<818:fa=i;>l1h65`9`g94?"40902ni5a36d9a>=h1hn1<7*<818:fa=i;>l1j65`9`a94?"40902ni5a36d955=3:9l=d1=83.84=46be9m72`=9=10c4o9:18'7=6=1kn0b>9i:078?j?f=3:1(>6?:8`g?k50n3;=76a6a583>!5?833ih6`<7g823>=h1h91<7*<818:fa=i;>l1=554o8c1>5<#;1:15oj4n25e>4?<3f3j=7>5$2:3>jm1e?:h51b98k59cf8j61a28n07b7l5;29 6>720ho7c=8f;3f?>i>k=0;6)=70;;a`>h4?o0:j65`9b194?"40902ni5a36d965=9i:378?j?f03:1(>6?:8`g?k50n38=76a69e83>!5?833ih6`<7g813>=h18k1<75m45a94?7=83:p(9:;:30b?M23j2e9>44?::a2d>=83>1<7>t$567>77a3A>?n6B;4e82!55;3:0qA:;e;3x 1572jh0qdm9:188m`5=831b?lk50;9l7db=831vn;6k:187>5<7s->?87<>f:J70g=K<=n1=v*<2283?xJ33:17dk<:188m6gb2900c>ok:188yg0?m3:187>50z&701<59o1C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>ob;3:17d=ne;29?j5fl3:17pl99383>1<729q/89:520d8L12e3E>?h7?t$200>5=zD=>n6>o4il0;66a>{e>091<7:50;2x 1232;;m7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<5<3290;w):;4;02b>N3{%604?ee3tch:7>5;hg0>5<5<54;294~"3<=09=k5G45`8H12c28q/??=50:O01c=9r.??=4lb:jg3<722cn?7>5;h1ba?6=3f9jh7>5;|`5e0<72=0;6=u+4569675<@=>i7A:;d;3x 6442>1v@9:j:0y'066=kk1ven850;9ja6<722c?>o4?::m0ea<722wi:l850;694?6|,=>?6?<<;I67f>J39;292?6=8r.?894=239K01do6{K<=o1=v*;318`f>{nk?0;66gld;29?lc42900e>oj:188m14e2900c>ok:188yg?713:197>50z&701<5:81C89l4L56g>4}#;;91<6sC45g95~"3;90hn6sfc783>>ob;3:17d=ne;29?l25j3:17b=nd;29?xd>m=0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>m<0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>m10;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>m00;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>mh0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>mk0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>mj0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>mm0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>ml0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>mo0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>m?0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>m>0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd?nk0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd?nj0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd?nm0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>1?0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl69483>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>1=0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl69283>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>1;0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl69083>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>0o0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl68d83>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>0m0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl68b83>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>0k0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl68`83>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>;j0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>;m0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><10;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>=90;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>=80;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>=;0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>=:0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>;l0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>;o0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><90;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><80;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><;0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><:0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><=0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><<0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><>0;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd><00;684?:1y'012=:;:0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>4}#<::1oo5rib494?=nkm0;66gj3;29?l5fm3:17b=nd;29?xd>000;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl68783>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>010;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl68683>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>0=0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl68283>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>090;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl68383>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>080;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl67g83>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>?l0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl67c83>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>?m0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl67b83>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>1h0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl69b83>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>1k0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl69683>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>100;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl69983>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>190;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl68483>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd>?h0;694?:1y'012=:8o0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nkm0;66gj3;29?j5fl3:17pl67883>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd0i>0;654?:1yO01c=>r.8n<46059m670=;2d9>:49;%011?01l2.??=4lb:l774<1:2w/89:57`58m<7>2900e;;7:188m`4=831b8>j50;9l66d=831d;lo50;9l3d>=831d5|,:h:64>7;%1;5?ca3-9397:8<7mm;o605?g23t.?894<6g9jb5<722c:=h4?::k705<722e8j44?::a71e=8331<7>tL56f>2}#;k;1:8h4$2:1>4c03->?:784$2:7>6`>3->?97>4$513>6143g>8=7:k9:'012=;=i0Vn;53z41>35=>k0ve9i:398m4`3290/?5>51g78j61a2810e9i:198m766290/?5>521g8j61a2;10e?>k:18'7=6=:9o0b>9i:098m76a290/?5>521g8j61a2910c>hn:18'7=6=<8>0b>9i:398k16?290/?5>54068j61a2810c9?::18'7=6=<8>0b>9i:198yg53l3:1>94?:1yO01c=?r.8n<495g9'7=4=9l=0(9:9:29'7=2=;o30(9:::19'066=;>90b9=>:5f:?x"3<=088i5Uc4804`=:909=7sf1d:94?"4090:il5a36d9f>=n9o>1<7*<8182ad=i;>l1m65f1g494?"4090:il5a36d9=>=n9o=1<7*<8182ad=i;>l1465f1g:94?"4090:il5a36d93>=n9ok1<7*<8182ad=i;>l1:65f1g`94?"4090:il5a36d91>=n9oi1<7*<8182ad=i;>l1865f1gf94?"4090:il5a36d97>=n9ol1<7*<8182ad=i;>l1>65f1d;94?"4090:il5a36d95>=n9lh1<7*<8182ad=i;>l1<65f21394?"40909<>5a36d9f>=n:9n1<7*<818146=i;>l1m65f21d94?"40909<>5a36d9=>=n:8:1<7*<818146=i;>l1465f20394?"40909<>5a36d93>=n:891<7*<818146=i;>l1:65f20694?"40909<>5a36d91>=n:8?1<7*<818146=i;>l1865f20494?"40909<>5a36d97>=n:821<7*<818146=i;>l1>65f21094?"40909<>5a36d95>=n:9>1<7*<818146=i;>l1<65`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb253>5<013:1"40<0??h5+39697c??6>9?;[a6>6}0i3=i65?5}hd2>5<#;1:1=<:4n25e>71<3`lo6=4+3929542159m72`=::10e0b>9i:308?l7613:1(>6?:037?k50n38:76g>1c83>!5?83;:86`<7g814>=n98i1<7*<818251=i;>l1=k54i03g>5<#;1:1=<:4n25e>4c<3`l96=4+39295425<#;1:1=<:4n25e>4e<3`l>6=4+39295425<#;1:1=<:4n25e>4g<3`l<6=4+39295425<#;1:1=<:4n25e>4><3`l26=4+39295425<#;1:1=<:4n25e>40<3`li6=4+39295425<#;1:1=<:4n25e>42<3`ln6=4+39295425<#;1:1=<:4n25e>44<3`;;<7>5$2:3>4733g9;:k247<72-93<7?>4:l03c<6821b===50;&0<5<69=1e?:h5f:9j552=83.84=4>159m72`=m21b==;50;&0<5<69=1e?:h5d:9j550=83.84=4>159m72`=k21b==950;&0<5<69=1e?:h5b:9j55>=83.84=4>159m72`=i21b==l50;&0<5<69=1e?:h59:9j55e=83.84=4>159m72`=021b==j50;&0<5<69=1e?:h57:9j55c=83.84=4>159m72`=>21b==h50;&0<5<69=1e?:h55:9j546=83.84=4>159m72`=<21b=159m72`=:21b=<=50;&0<5<69=1e?:h51:9j543=83.84=4>159m72`=821b=51508j61a2;<07d?<8;29 6>728>97c=8f;06?>o6<=0;6)=70;376>h4?o09865f15794?"4090:8?5a36d966==6=4+3929514439m72`=9o10e<:m:18'7=6=9=80b>9i:0g8?l7583:1(>6?:061?k50n3;o76g>2383>!5?83;?>6`<7g82g>=n9;91<7*<818207=i;>l1=o54i007>5<#;1:1=9<4n25e>4g<3`;997>5$2:3>4253g951508j61a28<07d?=9;29 6>728>97c=8f;36?>o6:h0;6)=70;376>h4?o0:865f13a94?"4090:8?5a36d956=0:9j567=83.84=4>439m72`=n21b=><50;&0<5<6<;1e?:h5e:9j565=83.84=4>439m72`=l21b=>:50;&0<5<6<;1e?:h5c:9j563=83.84=4>439m72`=j21b=>850;&0<5<6<;1e?:h5a:9j56?=83.84=4>439m72`=121b=>o50;&0<5<6<;1e?:h58:9j56d=83.84=4>439m72`=?21b=>m50;&0<5<6<;1e?:h56:9j56b=83.84=4>439m72`==21b=>k50;&0<5<6<;1e?:h54:9j56`=83.84=4>439m72`=;21b=9>50;&0<5<6<;1e?:h52:9j517=83.84=4>439m72`=921b=9=50;&0<5<6<;1e?:h50:9j016=831d?ko50;&0<5<39o1e?:h52698k16?290/?5>540d8j61a2;<07b:>5;29 6>72=;m7c=8f;06?>i3:80;6)=70;62b>h4?o09865`43094?"4090?=k5a36d966=:4?:%1;4?26n2d8;k4=0:9l07>=83.84=4;1g9m72`=9o10c99i:0g8?j5aj3:1(>6?:53e?k50n3;o76a!5?83>:j6`<7g82g>=h;oo1<7*<81875c=i;>l1=o54o2de>5<#;1:184g<3f>;<7>5$2:3>17a3g9f:l03c<6021d8==50;&0<5<39o1e?:h51698k162290/?5>540d8j61a28<07b:?6;29 6>72=;m7c=8f;36?>i38>0;6)=70;62b>h4?o0:865`41;94?"4090?=k5a36d956=0:9l05c=83.84=4;1g9m72`=n21d8=h50;&0<5<39o1e?:h5e:9l046=83.84=4;1g9m72`=l21d8=83.84=4;1g9m72`=?21d8<750;&0<5<39o1e?:h56:9l04g=83.84=4;1g9m72`==21d850;&0<5<39o1e?:h50:9~f60b290on7>50zN70`>5cc9m067=kh1v(9:;:24f?_e22hq><7;>:40916<2<3?>688556850?3?2tcm=7>5$2:3>4663g9>;o14b?7132c:5:9j540=83.84=4>009m72`=9=10e9i:018?l7603:1(>6?:022?k50n3;976g>1883>!5?83;;=6`<7g825>=n98h1<7*<818244=i;>l1==54i03`>5<#;1:1==?4n25e>c==nn=0;6)=70;335>h4?o0h76gi5;29 6>728::7c=8f;`8?l`1290/?5>51138j61a2h10ek950;&0<5<6881e?:h59:9jb=<72-93<7??1:l03c5$2:3>4663g95<#;1:1==?4n25e>3=l1965ffb83>!5?83;;=6`<7g87?>oam3:1(>6?:022?k50n3907dhi:18'7=6=99;0b>9i:398m467290/?5>51138j61a2810e<>=:18'7=6=99;0b>9i:198m47a290/?5>513d8j61a28=07d?=b;29 6>7288m7c=8f;35?>o6;10;6)=70;31b>h4?o0:965f15694?"4090:>k5a36d951=>6=4+392957`1:9j51?=83.84=4>2g9m72`=9910e<:n:18'7=6=9;l0b>9i:g98m42e290/?5>513d8j61a2l10e<9i:e98m445290/?5>513d8j61a2j10e<<<:18'7=6=9;l0b>9i:c98m443290/?5>513d8j61a2h10e<<::18'7=6=9;l0b>9i:898m441290/?5>513d8j61a2110e<<8:18'7=6=9;l0b>9i:698m44?290/?5>513d8j61a2?10e<<6:18'7=6=9;l0b>9i:498m44f290/?5>513d8j61a2=10e<9i:298m44c290/?5>513d8j61a2;10e<9i:098m457290/?5>513d8j61a2910e<:l:18'7=6=99i:058?l72?3:1(>6?:07a?k50n3;=76g>5e83>!5?83;>n6`<7g821>=n9l1=954i07e>5<#;1:1=8l4n25e>45<3`;=<7>5$2:3>43e3g9514`8j61a2o10e<8::18'7=6=99i:d98m42c290/?5>514`8j61a2m10e<:j:18'7=6=99i:b98m42a290/?5>514`8j61a2k10e<;?:18'7=6=99i:`98m436290/?5>514`8j61a2010e<;=:18'7=6=99i:998m434290/?5>514`8j61a2>10e<;;:18'7=6=99i:798m432290/?5>514`8j61a2<10e<;9:18'7=6=99i:598m43?290/?5>514`8j61a2:10e<;6:18'7=6=99i:398m43f290/?5>514`8j61a2810e<;l:18'7=6=99i:198m401290/?5>51678j61a28=07d?81;29 6>728=>7c=8f;35?>o6?>0;6)=70;341>h4?o0:965f16:94?"4090:;85a36d951=1:9j52b=83.84=4>749m72`=9910e<9j:18'7=6=9>?0b>9i:g98m41a290/?5>51678j61a2l10e<88:18'7=6=9>?0b>9i:e98m40?290/?5>51678j61a2j10e<86:18'7=6=9>?0b>9i:c98m40f290/?5>51678j61a2h10e<8m:18'7=6=9>?0b>9i:898m40d290/?5>51678j61a2110e<8k:18'7=6=9>?0b>9i:698m40b290/?5>51678j61a2?10e<8i:18'7=6=9>?0b>9i:498m417290/?5>51678j61a2=10e<9=:18'7=6=9>?0b>9i:298m414290/?5>51678j61a2;10e<9;:18'7=6=9>?0b>9i:098m411290/?5>51678j61a2910e<6?:18'7=6=91l0b>9i:058?l7?j3:1(>6?:0:e?k50n3;=76g>9083>!5?83;3j6`<7g821>=n9081<7*<8182l1=954i0;0>5<#;1:1=5h4n25e>45<3`;287>5$2:3>4>a3g9519d8j61a2o10e<76:18'7=6=91l0b>9i:d98m4>6290/?5>519d8j61a2m10e<6=:18'7=6=91l0b>9i:b98m4>4290/?5>519d8j61a2k10e<6;:18'7=6=91l0b>9i:`98m4>2290/?5>519d8j61a2010e<69:18'7=6=91l0b>9i:998m4>0290/?5>519d8j61a2>10e<67:18'7=6=91l0b>9i:798m4>>290/?5>519d8j61a2<10e<6n:18'7=6=91l0b>9i:598m4>d290/?5>519d8j61a2:10e<6k:18'7=6=91l0b>9i:398m4>b290/?5>519d8j61a2810e<7?:18'7=6=91l0b>9i:198m4?f290/?5>51`;8j61a28=07d?n5;29 6>728k27c=8f;35?>o6ik0;6)=70;3b=>h4?o0:965f1`a94?"4090:m45a36d951=1:9j5g7=83.84=4>a89m72`=9910e9i:g98m4d4290/?5>51`;8j61a2l10e<7m:18'7=6=9h30b>9i:e98m4?d290/?5>51`;8j61a2j10e<7k:18'7=6=9h30b>9i:c98m4?b290/?5>51`;8j61a2h10e<7i:18'7=6=9h30b>9i:898m4g7290/?5>51`;8j61a2110e:18'7=6=9h30b>9i:698m4g5290/?5>51`;8j61a2?10e9i:498m4g3290/?5>51`;8j61a2=10e9i:298m4g0290/?5>51`;8j61a2;10e9i:098m4gf290/?5>51`;8j61a2910e9i:058?l7en3:1(>6?:0a0?k50n3;=76g>c483>!5?83;h?6`<7g821>=n9j<1<7*<8182g6=i;>l1=954i0a4>5<#;1:1=n=4n25e>45<3`;h47>5$2:3>4e43g951b18j61a2o10e9i:d98m4d2290/?5>51b18j61a2m10e9i:b98m4d0290/?5>51b18j61a2k10e9i:`98m4d>290/?5>51b18j61a2010e9i:998m4de290/?5>51b18j61a2>10e9i:798m4dc290/?5>51b18j61a2<10e9i:598m4e7290/?5>51b18j61a2:10e:18'7=6=9j90b>9i:398m4e5290/?5>51b18j61a2810e9i:198m4eb290/?5>51ef8j61a28=07d?k9;29 6>728no7c=8f;35?>o6lo0;6)=70;3g`>h4?o0:965f1d294?"4090:hi5a36d951=4?:%1;4?7cl2d8;k4>1:9j5`2=83.84=4>de9m72`=9910e9i:g98m4c1290/?5>51ef8j61a2l10e9i:e98m4b7290/?5>51ef8j61a2j10e:18'7=6=9mn0b>9i:c98m4b5290/?5>51ef8j61a2h10e9i:898m4b3290/?5>51ef8j61a2110e9i:698m4b1290/?5>51ef8j61a2?10e9i:498m4b?290/?5>51ef8j61a2=10e9i:298m4be290/?5>51ef8j61a2;10e9i:098m4bb290/?5>51ef8j61a2910e9:?:18'7=6=<:l0b>9i:198m126290/?5>542d8j61a2810e9:=:18'7=6=<:l0b>9i:398k6`f290/?5>541a8j61a28=07b:?8;29 6>72=:h7c=8f;35?>i39<0;6)=70;63g>h4?o0:965`43394?"4090?l;o14b?7532e?>84?:%1;4?27k2d8;k4>1:9l071=83.84=4;0b9m72`=9910c9<7:18'7=6=<9i0b>9i:g98k14f290/?5>541a8j61a2l10c>hm:18'7=6=<9i0b>9i:e98k6`c290/?5>541a8j61a2j10c>hj:18'7=6=<9i0b>9i:c98k6`a290/?5>541a8j61a2h10c9>?:18'7=6=<9i0b>9i:898k165290/?5>541a8j61a2110c9><:18'7=6=<9i0b>9i:698k162290/?5>541a8j61a2?10c9>9:18'7=6=<9i0b>9i:498k160290/?5>541a8j61a2=10c9>6:18'7=6=<9i0b>9i:298k16f290/?5>541a8j61a2;10c9>m:18'7=6=<9i0b>9i:098k16c290/?5>541a8j61a2910qo=9d;29e?6=8rF?8h47{%1a5??702.84<4jf:&0<0<3;l1/?5:53g;8j14a2;1e89753:&77537=>k0vek?50;&0<5<6801e?:h52:9jba<72-93<7??9:l03c<632c:o4?:%1;4?74?2d8;k4>;:k27=<72-93<7?<7:l03c<732c?8=4?::m0bd<72-93<7:>4:l03c<532e?<54?:%1;4?26<2d8;k4>;:m750<72-93<7:>4:l03c<732wi5n750;094?6|,=>?6><;;I67f>o48l0;66a<7683>>{e5;n143?6=3th?:n4?:283>5}#<=>1no5G45`8m66a2900e>??:188k6102900qo:86;297?6=8r.?894<799K01d5<N3=1<75rb54;>5<4290;w):;4;``?M23j2c8=8391<7>t$567>gd<@=>i7d=?f;29?l5683:17b=87;29?xd3>l0;6>4?:1y'012=jj1C89l4i22e>5<5<<87>53;294~"3<=0ih6F;4c9j75`=831b?<>50;9l721=831vn99n:180>5<7s->?87=89:J70g=n;9l1<75f30294?=h;>=1<75rb55f>5<4290;w):;4;14=>N3?6><;;I67f>o48l0;66a<7683>>{e>h91<7=50;2x 1232:=27E:;b:k04c<722c8==4?::m032<722wi85>50;194?6|,=>?6>96;I67f>o48o0;66g<1183>>i4?>0;66sm46094?4=83:p(9:;:207?M23j2c8?6><;;I67f>o48l0;66a<7683>>{e;hk1<7:50;2x 1232ko0D9:m;h13b?6=3`9:<7>5;h123?6=3f9<;7>5;|`105<72<0;6=u+4569g4=O<=h0e>>i:188m6772900e>?8:188m67?2900c>98:188yg4393:197>50z&701>6=48:28:!23<38?96g=3g83>>o5<90;66g6d083>>o>kl0;66g6cg83>>o>kh0;66a=3d83>>d5<;0;6>4?:1y'012=jk1C89l4i22e>5<5<55;294~"3<=0h86F;4c9j75`=831b?<>50;9j741=831b?<650;9l721=831vn?:;:180>5<7s->?87lm;I67f>o48o0;66g<1183>>i4?>0;66s|22d94?4|V;9m70<;2;13b>{t:=:1<7?6>>i;<076?50?2wx5i?50;0xZ7:p=fg=838pR4mn;<077?5602wx>9<50;0x97232:;;70<;3;143>{t::o1<75<4290;w):;4;`a?M23j2c8t$567>61>3A>?n6g<0g83>>o4990;66a<7683>>{e;ki1<7=50;2x 1232kh0D9:m;h13b?6=3`9:<7>5;n143?6=3th8n44?:283>5}#<=>1?:74H56a?l57n3:17d=>0;29?j50?3:17pl6<729q/89:5bc9K01d5<>i:188m6772900c>98:188yv0>03:1>vP9999>726=98?0@9:k:0y'775=82w/8?k56858j14c291v;79:181[0>>278;=4>129O01b=9r.8>>4?;|&76`<11>1e8?j51:p2<3=838pR;7:;<144?76:2F?8i4>{%117?69i7867:l76a<53ty=594?:3y]2<2<5:=;6;M67`?7|,:886=5r$50f>3?03g>9h7=4}r4;5?6=:rT=4<5269:9a6=#<;o1:5=4n50g>7=z{?296=4={_4;6>;10m0n?6*;2d85<6=i<;n1=6s|69694?4|V?2?70862;g0?!25m3<3?6`;2e83?xu>k>0;6;uQ9b5892::n708n3;13b>;4ll08>i;|q5ed<72<3pR;on;<4b7m9;<4:7?e134<347m9;<4;=?e1347m9;<;:5?e13433j7m9;<;;a?e13433h7m9;<;;g?e13433n7m9;<;;e?e13438o7m9;<;0`?e1343?47m9;<;7`?e1343?i7m9;<;7b?e1343><7m9;<;65?e1343>>7m9;<;67?e13438i7m9;<;0b?e1343?<7m9;<;75?e1343?>7m9;<;77?e1343?87m9;<;71?e1343?:7m9;<;73?e1343?57m9;<;7e?e1343?n7m9;<;7g?e1343357m9;<;;2?e1343347m9;<;;3?e1343387m9;<;;7?e13433<7m9;<;;6?e13433=7m9;<;4b?e1343`5513y]3=?<50o?6nj4=8g6>fb<50o36nj4=8g:>fb<50oj6nj4=8ga>fb<50oh6nj4=8gg>fb<50on6nj4=8ge>fb<50o=6nj4=8g4>fb<5:020=;8:0199;:22e?xu1=10;6?uQ64:892g02??37p}98`83>7}:>1n1?lj4=7:f>`5<,=8n6;6m;o61`?759z?5<`<4im16?;k51128960b288j70=9e;367>;4>l0::l5237g95=7<5:;<15a?7d?278:h4>d89'07c=>1h0b9a2909w0862;1b`>;11:0n?6*;2d85=5=i<;n1=6s|68394??|5?386>ok;<15a?77:278:h4>2b9>73c=9<>01>8j:04a?851m3;3>63<6d82f7=:;?o1=n64=24f>4ba3->9i7860:l76a<73ty=m54?:5y>2d>=;hn0198n:233?821039;j63;758055=z{?2>6=4={<4;;4>l0:9?5237g953?<5:c79>73c=9jo0(9h=1i>5+43g92d75<5s4h49a09m07b=:2wx:l<50;0x93g02:ko708n3;124>"3:l0=m<5a43f94>{t1931<79t=82:>6gc34>=o7=?f:?17a<48l168;653028911?2::m70:8a;13b>;3?l085<>s43?o7=nd:?:<<319>73c=98j:055?851m3;2<63<6d82ed=:;?o1=n:4=24f>4bb3->9i77<2:l76a<73ty2?<4?:8y>=1d=;hn01467:d18960b288n70=9e;36e>;4>l0:;95237g95=c<5:db9'07c=1:80b9;>0>0n?63<6d826a=:;?o1=874=24f>414349=i7?7d:?02`<6i>16?;k51b38960b28ni7):=e;;06>h3:m097p}62g83><}:1=31?lj4=8:5>`5<5:43?349=i7?82:?02`<60j16?;k51`48960b28i;70=9e;3ge>"3:l02??5a43f97>{t1;n1<77t=864>6gc343387k<;<15a?`d349=i7?:6:?02`<6?916?;k519c8960b28k?70=9e;3aa>;4>l0:h55+43g9=645<>s43?:7=nd:?:<6;4>l0:ni5237g95a1<,=8n64==;o61`?359z?:00<4im1655<5e29>73c=nh16?;k513:8960b28;4>l0:m?5237g95ge<5:i49;|q:6d<720q659:53`f89<>62l901>8j:g;8960b288<70=9e;35`>;4>l0:4:5237g95d7<5:h46339m07b=?2wx5?750;;x9<242:ko70770;g0?851m3l370=9e;312>;4>l0::n5237g95=0<5:d59'07c=1:80b9;>?o0n?63<6d8e3>;4>l0:>85237g9507<5:n278:h4>b89>73c=9m90(9<808mi5296g9a6=:;?o1j;5237g9572<5:9d9>73c=9k201>8j:0f1?!25m338>6`;2e8b?xu>:?0;64u295297db<50=o6h=4=24f>c3<5:829>73c=90n01>8j:0`4?851m3;o=6*;2d8:77=i<;n1n6s|93794??|509m6>ok;<;4g?c4349=i7h;;<15a?75:278:h4>4d9>73c=9?201>8j:0;`?851m3;i:63<6d82`5=#<;o15><4n50g>f=z{08?6=46{<;0a?5fl272;o4j3:?02`219>73c=9=n01>8j:044?851m3;2n63<6d82f0=:;?o1=nh4$50f><553g>9h7j4}r;0f?6=1r729>4=i70=9e;351>;4>l0:;k5237g95g5<5:2.?>h46339m07b=m2wx5>o50;;x9<352:ko7076b;g0?851m3;:o63<6d820d=:;?o1=;:4=24f>41b349=i7?68:?02`<6kj16?;k51d78 14b20997c:=d;d8yv?413:15v365080ea=:10k1i>5237g954d<5:7e9>73c=90=01>8j:0aa?851m3;n86*;2d8:77=i<;n1==5rs81;>5<>s43><7=nd:?:=<189>73c=9==01>8j:042?851m3;4c43->9i77<2:l76a<692wx5>950;;x9<2a2:ko70768;g0?851m3;:463<6d8203=:;?o1=;>4=24f>41f349=i7?64:?02`<6il16?;k51d08 14b20997c:=d;31?xu>;?0;64u295g97db<503<6h=4=24f>470349=i7?;5:?02`<6=o16?;k516;8960b283870=9e;3b`>;4>l0:i<5+43g9=64=<6=m:16?;k51048960b28>?70=9e;36a>;4>l0:;55237g95<4<5:h46339m07b=9=1v4=;:18:8?3039jh636848f7>;4>l0:<5:909>73c=9hh01>8j:0a6?!25m338>6`;2e821>{t1;o1<77t=81g>6gc34316?;k51638960b282i70=9e;3b1>;4>l0:nk5+43g9=64=2?=m:16?;k5f09>73c=98l01>8j:06`?851m3;=:63<6d82<5=:;?o1=4o4=24f>4d33->9i77<2:l76a<6?2wx5<750;1x9<7>2:ko709n7;;2=>;3>l085<3s42mh7=nd:?00f<6n?16?;k5452896gf2::m7):=e;:g<>h3:m0;7p}7d683>1}:0oi1?lj4=26`>4`3349=i7:;1:?0ed<4991/8?k58e:8j14c281v5j9:1878>aj39jh63<4b82a==:;?o189<4=2cb>6703->9i76k8:l76a<53ty9?o4?:2y>3d1=::h0198j:233?820839;j6s|7`c94?4|5>k<6:on;<6;6?57n2.?>h48a89m07b=82wx;l650;0x92g02>k370:72;124>"3:l0{t18k1<7ok;<144?77:2wx5;<50;0x9<>?2:ko70=80;334>{t1?;1<76gc349<<7hi;|q:25<72;q655853`f896172oo0q~7:e;296~;>0=08mi523629bf=z{0?o6=4={<;;7?5fl278;=4ib:p=0e=838p146=:2cg?85083lj7p}65c83>7}:11;1?lj4=253>c?m7>52z?:<5<4im16?:>5f99~w<3>2909w078f;1b`>;4?90m;6s|94:94?4|50=n6>ok;<144?`13ty29:4?:3y>=2b=;hn01>9?:g78yv?2>3:1>v367b80ea=:;>:1j95rs876>5<5s43{t1>=1<76gc349<<7?>c:p=20=838p147n:2cg?85083;:n6s|96794?4|50326>ok;<144?7612wx5::50;0x9{t1>91<76gc349<<7?>7:p=24=838p147?:2cg?85083;::6s|96394?4|502>6>ok;<144?77i2wx58h50;0x9<1f2:ko70=80;dg?xu>==0;6?u296;97db<5:=;6k?4}r;``?6===g=m:16?9j51d`897262:;;7):=e;;`g>h3:m0;7p}6cc83>1}:1l<1?lj4=8:a>`5<5:>o6h46cb9m07b=92wx5i850;6x97=z{0n>6=4;{<;fa?5fl2724i4j3:?00a<6nm16>9>53058 14b20ih7c:=d;18yv?c<3:18v36ee80ea=:11o1i>5235f95ce<5;>;6>??;%61a??dk2d?>i4;;|q:`6<72=q65hm53`f89<>a2l901>:k:0da?843839:46*;2d8:gf=i<;n196s|9e094?2|50oi6>ok;<;:5?c4349?h7?ia:?104<4911/8?k59ba8j14c2?1v4j>:1878?bi39jh636938f7>;454z?:a<<4im1654=5e29>71b=9o=01?:>:234?!25m33ho6`;2e8;?xu>ko0;69u29d:97db<503?6h=4=26g>4`1348?977lf:&76`<>kj1e8?j59:p=fc=83>p14k::2cg?8?>=3o870=;d;3e0>;5<<02oh5+43g9=fe5<3s43n87=nd:?:=3e99>613=1jk0(90h08mi523629546<,=8n6489;o61`?652z?:511d8 14b20<=7c:=d;38yv?083:1>v368b80ea=:;>:1==k4$50f><013g>9h7<4}r;5b?6=:r724i4726=99n0(90l08mi52362955e<,=8n6489;o61`?252z?:511`8 14b20<=7c:=d;78yv?1k3:1>v369080ea=:;>:1==64$50f><013g>9h784}r;5f?6=:r725?4726=99=0(91:08mi523629550<,=8n6489;o61`?>52z?:=1<4im16?:>51178 14b20<=7c:=d;;8yv?103:1>v369480ea=:;>:1==:4$50f><013g>9h7o4}r;50?6=:r725;4726=9990(9m=08mh529d797dc<50o36>oj;<;f=?5fm272il4=`d=;ho014kl:2cf?8?bl39ji636ed80e`=:1ll1?lk4=8g5>6gb343n;7=ne:?723<4?>1v98m:186821k39<;63;818055=:;l;1?=h4=2``>66a349oo7=?f:p023=838p1999:254?820039:<6s|91c94?4|50:26h=4=24e>6`>3E>?h7?t$200>5=zuz>=57>52z?:5<<3:k168;o53658yv44k3:1>v3<6g8705=:::n1?:94}r653?6=;r72<44;2c9>03>=;>=0199j:233?xu3?>0;6?u246:9721<5==j6>??;|q;`a<72;q6?9m54078960c28:j7):=e;:gg>h3:m0;7p}7dc83>7}:;=i18=64=24g>cb<,=8n65jl;o61`?752z?00f<4nh16?;j5f09'07c=0mi0b9;3?908==5rs8fb>5<5s43n;7k<;<17`?5al2.?>h46d89m07b=82wx5i650;0x9:k:2da?!25m33o56`;2e82?xu>m:0;6?u29dd9a6=:;=n18?o4$50f>3g>9h7<4}r;f6?6=:r72ih4j3:?00a<3:11/8?k59e;8j14c2:1v4k>:1818?bl3o870=;d;613>"3:l02h45a43f90>{t1l:1<7`5<5:>o69<:;%61a??c12d?>i4:;|q:`c<72;q65hl5e29>71b=<;>0(9mh0n?63<4e8767=#<;o15i74n50g>2=z{0no6=4={<;f=?c4349?h7:=1:&76`<>l01e8?j58:p=ae=838p14k7:d18962c2=;>7):=e;;g=>h3:m027p}6dc83>7}:1l?1i>5235f905><,=8n64j6;o61`?g52z?:a1;3?=08;:5rs8`f>5<5sW3ii63<718765=#<;o15oj4n50g>5=z{0hh6=4={_;ag>;4?90?=h5+43g9=gb5<5sW3in63<71875a=#<;o15oj4n50g>7=z{0hj6=4={_;ae>;4?90?=n5+43g9=gb5rs8`:>5<5sW3i563<71875g=#<;o15oj4n50g>1=z{0h36=4={_;a<>;4?90?=l5+43g9=gb5<5sW3i;63<71875<=#<;o15oj4n50g>3=z{0h=6=4={_;a2>;4?90?=55+43g9=gb5<5sW3i963<718752=#<;o15oj4n50g>==z{0h?6=4={_;a0>;4?90?=;5+43g9=gb5<5sW3i>63<718756=#<;o15oj4n50g>d=z{0h:6=4={_;a5>;4?90?=?5+43g9=gb5<5sW3i<63<718754=#<;o15oj4n50g>f=z{0km6=4={_;bb>;4?90?==5+43g9=gb5<5sW3ji63<71874c=#<;o15oj4n50g>`=z{0ko6=4={_;b`>;4?90?5<5sW3jo63<71874a=#<;o15oj4n50g>4652z\:eg=:;>:18=l4$50f>9h7?>;|q:ed<72;qU5lo4=253>16f3->9i77md:l76a<6:2wx5l750;0xZ349<<7:?9:&76`<>jm1e8?j5129~w1/8?k59cf8j14c28>0q~7n6;296~X>i?16?:>54148 14b20ho7c:=d;36?xu>i<0;6?uQ9`7896172=:>7):=e;;a`>h3:m0::6s|9`694?4|V0k?70=80;637>"3:l02ni5a43f952=z{0k86=4={_;b7>;4?90?3ty2m<4?:3y]=d7<5:=;6>hi;%61a??el2d?>i4>a:p=d6=838pR4o?;<144?5am2.?>h46be9m07b=9k1v47i:181[?>n278;=4m3:1>vP69d9>726=;oh0(97}Y1j?01>9?:50b?!25m33ih6`;2e82a>{t1j>1<7946*;2d8:fa=i<;n1=k5rs8a0>5<5sW3h?63<718762=#<;o15oj4n50g>767>52z\:g7=:;>:18?;4$50f>9h7<>;|q:g4<72;qU5n?4=253>1433->9i77md:l76a<5:2wx5n>50;0xZjm1e8?j5229~w0q~7m3;296~X>j:16?:>54078 14b20ho7c:=d;06?xu>i10;6?uQ9`:896172=:37):=e;;a`>h3:m09:6s|98f94?4|V03o70=80;1ee>"3:l02ni5a43f962=z{==26=4={<64e?50?27?;n4<0d9~w11c2909w0:8e;143>;309085<3s49=h7:;0:?72d<48o16?lm531g896gf2:=<7p}7f383>7}:1=i1i>5237g905b<,=8n65h>;o61`?652z?:0g;m6*;2d8;b4=i<;n1>6s|8dg94?4|50>26h=4=24f>16>3->9i76i1:l76a<43ty3in4?:3y>=11=m:16?;k54158 14b21l:7c:=d;68yv>bj3:1>v36478f7>;4>l0?<;5+43g95<5s43?97k<;<15a?27=2.?>h47f09m07b=>2wx4h750;0x9<232l901>8j:520?!25m32m=6`;2e84?xu?m10;6?u29519a6=:;?o18=<4$50f>=`63g>9h764}r:f3?6=:r728?4j3:?02`<3891/8?k58g38j14c201v5k9:1818?393o870=9e;1eb>"3:l03j<5a43f9e>{t0l?1<7`5<5:hj;%61a?>a92d?>i4m;|q;a1<72;q65>h5e29>73c=;on0(9;l0n?63<6d80bg=#<;o14k?4n50g>a=z{1lj6=4={<;67?c4349=i7:=a:&76`h3:m0m7p}7f983>7}:1<;1i>5237g9071<,=8n65h>;o61`?773ty3j:4?:3y>=06=m:16?;k54378 14b21l:7c:=d;32?xu?n?0;6?u295d9a6=:;?o18?:4$50f>=`63g>9h7?=;|q;b0<72;q659k5e29>73c=<;80(97}:1=n1i>5237g9077<,=8n65h>;o61`?733ty3j>4?:3y>=1>=m:16?;k54078 14b21l:7c:=d;36?xu?mm0;6?u292f9a6=:;?o18=64$50f>=`63g>9h7?9;|q;a7<72;q65>m5e29>73c=;ok0(97}:0on1i>5237f9043<,=8n65k?;o61`?652z?;bf6s|47d94?4|5==;6>98;<646?57m2wx85?50;0x96172=>;70:72;143>{t::o1<7677348?97<;>900n?63<71825c=:;>:1=?l4=253>45?349<<7?;4:?035<6<<16?:>51548961728><70=80;37=>;4?90:8l52362951d<5:=;6<229>726=9;>01>9?:006?85083;9:63<718262=:;>:1=?64=253>44>349<<7?=a:?035<6:j16?:>513f89617288n70=80;304>;4?90:?<523629564<5:=;6<=<;<144?74<278;=4>349>726=9:<01>9?:01:?85083;8m63<71827g=:;>:1=>m4=253>45c349<<7?51528961728>:70=80;377>;4>m0:=k5237f957d<5:091?lk4=7:;>6gb34<357=ne:?5e0<3:k16:l8543`893g02=8i707>9;1ba>;>8008mh5292a97dc<509o6>oj;<;7=1c=;ho014:i:2cf?8?2839ji6365080e`=:1<81?lk4=870>6gb3438i7=ne:?:7c<4il1659>53`g89<262:kn707;2;1ba>;><:08mh5295697dc<50>>6>oj;<;72?5fm2728:4=1?=;ho014:n:2cf?8?3j39ji6364b80e`=:?h=18>j4=8a:>6103ty=m94?:3y>2d>=;ho01;o<:254?xu4ik0;6>u290;9ga=:;?l1j=523`a972157z?;bg7`7=;8:01>ll:233?85ck39:<6s|3c094?4|51li6>oj;<1ga?50?2wx?o=50;0x9=`d2:kn70=m9;143>{t;m21<76gb349on7=87:p027=838>w0766;ag?8?>=3io70764;ag?8?>;3io70762;ag?8?>93io7077f;ag?8??m3io7077d;ag?8??k3io7077b;ag?8??i3io70771;ag?8??:3io70770;ag?8??;3io70774;ag?8???3io70778;ag?8?013io7078a;ag?8??=3io70760;ag?8?>03io70776;ag?8?>13io70767;ag?8?>j3io7076c;ag?8?>i3io7078c;ag?8?0l3io7078b;ag?8?0m3io7078f;ag?8??13io70:82;143>{t<>h1<7?6{<;0g?ec3438h7mk;<;7=7mk;<;66?ec343>?7mk;<;0a?ec3438j7mk;<;74?ec343?=7mk;<;76?ec343??7mk;<;70?ec343?97mk;<;72?ec343?;7mk;<;7=?ec343?m7mk;<;7f?ec343?o7mk;<64g?50?2wx?ij50;0x96c62:=<70=ke;124>{t;k21<7610349i57=>0:p7ag=838p1>jl:254?85cj39:<6s|22d94?4|5;>;6>98;<071?44n2wx>9>50;0x97262:=<70<;5;074>{zj0:96=4<5;5`>a>|D=>o60e==m0>n78k:4c933<2m3>:69>576876?>?2<31;54ri7;;>5<#;1:1:494n25e>5=5<#;1:1:494n25e>7=54i7:7>5<#;1:1:5=4n25e>5=5<#;1:1:5=4n25e>7=5<5<5<#;1:15oj4n25e>5=5<#;1:15oj4n25e>7=54o8`:>5<#;1:15oj4n25e>1=5<#;1:15oj4n25e>3=5<#;1:15oj4n25e>==5<#;1:15oj4n25e>d=5<#;1:15oj4n25e>f=5<#;1:15oj4n25e>`=5<#;1:15oj4n25e>46<3f3jn7>5$2:3>;:m:ed<72-93<77md:l03c<6:21d5l750;&0<5<>jm1e?:h51298k59cf8j61a28>07b7n6;29 6>720ho7c=8f;36?>i>i<0;6)=70;;a`>h4?o0::65`9`694?"40902ni5a36d952=32e2m<4?:%1;4??el2d8;k4>a:9l=d6=83.84=46be9m72`=9k10c47i:18'7=6=1kn0b>9i:0a8?j?>m3:1(>6?:8`g?k50n3;o76a6c483>!5?833ih6`<7g82a>=h1j>1<7*<818:fa=i;>l1=k54o8a0>5<#;1:15oj4n25e>76<3f3h>7>5$2:3>;:m:g4<72-93<77md:l03c<5:21d5n>50;&0<5<>jm1e?:h52298k59cf8j61a2;>07b7m3;29 6>720ho7c=8f;06?>i>i10;6)=70;;a`>h4?o09:65`98f94?"40902ni5a36d962=5<6290;w):;4;01e>N3?750;9~f3g?290?6=4?{%670?46n2B?8o5C45f95~"4::0;7pB;4d82!2483ii7pgl6;29?lc42900e>oj:188k6gc2900qo87d;290?6=8r.?894=1g9K01do6{K<=o1=v*;318`f>{nk?0;66gj3;29?l5fm3:17b=nd;29?xd10l0;694?:1y'012=:8l0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nm:0;66g>i4im0;66sm68094?2=83:p(9:;:33e?M23j2F?8i4>{%117?6?i7?t$513>fd>4?;|N70`<6s->8<7mm;|k`2?6=3`o86=44i2cf>5<5;n1b`?6=3th=444?:583>5}#<=>1><<:19~H12b28q/8>>5cc9~mf0=831bi>4?::k0e`<722e8mi4?::a2d3=83>1<7>t$567>7443A>?n6B;4e82!55;3=0qA:;e;3x 1572jh0qdm9:188m`5=831b8?l50;9l7db=831vn;o9:187>5<7s->?87<=3:J70g=K<=n1=v*<2284?xJ33:17dk<:188m14e2900c>ok:188yg0f?3:187>50z&701<5::1C89l4L56g>4}#;;91;6sC45g95~"3;90hn6sfc783>>ob;3:17d:=b;29?j5fl3:17pl61883>3<729q/89:52308L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?l25j3:17b=nd;29?xd>800;684?:1y'012=:;;0D9:m;M67`?7|,:886=5rL56f>4}#<::1oo5rib494?=nm:0;66g>o3:k0;66a>{e1l>1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1l?1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1l21<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1l31<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1lk1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1lh1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1li1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1ln1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1lo1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1ll1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1l<1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1l=1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e0oh1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e0oi1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e0on1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e10<1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm98794?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e10>1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm98194?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e1081<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm98394?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e11l1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm99g94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e11n1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm99a94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e11h1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm99c94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e1:i1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1:n1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=21<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=n1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=o1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=l1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1<:1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1<;1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1<81<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1<91<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1:o1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1:l1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=:1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=;1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=81<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=91<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=>1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=?1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=<1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1==1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=31<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=k1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=h1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1=i1<7;50;2x 1232;8;7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>o4il0;66a>{e1131<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm99494?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e1121<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm99594?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e11>1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm99194?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e11:1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm99094?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e11;1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm96d94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e1>o1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm96`94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e1>n1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm96a94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e10k1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm98a94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e10h1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm98594?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e1031<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm98:94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e10:1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm99794?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e1>k1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6nl4}ha5>5<>i4im0;66sm96;94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fd>{e?h=1<7650;2xH12b2?q/?o?59168j7412:1e>?956:&160<1>m1/8>>5cc9m067=>;1v(9:;:6c4?l?613:17d8:8;29?lc52900e9=k:188k75e2900c:on:188k2g?2900c4?n:188yg51n3:187>50zN70`h7Wm::2y56?042?h1qd?j8;29 6>728l>7c=8f;08?l7a<3:1(>6?:0d6?k50n3;07d?i6;29 6>728l>7c=8f;28?l4793:1(>6?:32f?k50n3807d72;:n7c=8f;38?l47n3:1(>6?:32f?k50n3:07b=ia;29 6>72=;?7c=8f;08?j2703:1(>6?:537?k50n3;07b:>5;29 6>72=;?7c=8f;28?xd4q/?o?564d8 6>528o<7):;6;18 6>32:l27):;5;28 1572:=87c:<1;6g=>{#<=>1?9j4Zb797~7a2;:1><4ri0g;>5<#;1:1=ho4n25e>g=5<#;1:1=ho4n25e><=5<#;1:1=ho4n25e>2=5<#;1:1=ho4n25e>0=5<#;1:1=ho4n25e>6=5<#;1:1=ho4n25e>4=5<#;1:1>==4n25e>g=5<#;1:1>==4n25e><=5<#;1:1>==4n25e>2=5<#;1:1>==4n25e>0=6=4+39296555<#;1:1>==4n25e>6=5<#;1:1>==4n25e>4=5<#;1:1?km4n25e>g=5<#;1:1?km4n25e><=5<#;1:1?km4n25e>2=5<#;1:1?km4n25e>0=5<#;1:1?km4n25e>6=5<#;1:1?km4n25e>4=k4$2:7>6`>3g>9j7<4n56:>7><,=9;6nl4n512>6`?87=80:X`1?5|?h0:|ke5?6=,:2;65$2:3>4733g94:l03c<5=21b=<850;&0<5<69=1e?:h52598m470290/?5>51068j61a2;907d?>8;29 6>728;?7c=8f;01?>o6900;6)=70;320>h4?o09=65f10`94?"4090:=95a36d965=7>5$2:3>4733g95$2:3>4733g95$2:3>4733g95$2:3>4733g95$2:3>4733g95$2:3>4733g91:9j554=83.84=4>159m72`=9910e<><:18'7=6=98>0b>9i:g98m463290/?5>51068j61a2l10e<>::18'7=6=98>0b>9i:e98m461290/?5>51068j61a2j10e<>8:18'7=6=98>0b>9i:c98m46?290/?5>51068j61a2h10e<>m:18'7=6=98>0b>9i:898m46d290/?5>51068j61a2110e<>k:18'7=6=98>0b>9i:698m46b290/?5>51068j61a2?10e<>i:18'7=6=98>0b>9i:498m477290/?5>51068j61a2=10e:18'7=6=98>0b>9i:298m475290/?5>51068j61a2;10e0b>9i:098m472290/?5>51068j61a2910e9i:358?l75j3:1(>6?:061?k50n38=76g>3983>!5?83;?>6`<7g811>=n9=>1<7*<818207=i;>l1>954i066>5<#;1:1=9<4n25e>75<3`;?:7>5$2:3>4253g951508j61a28l07d?;b;29 6>728>97c=8f;3f?>o6:90;6)=70;376>h4?o0:h65f13094?"4090:8?5a36d95f=84?:%1;4?73:2d8;k4>9:9j570=83.84=4>439m72`=9110e<<8:18'7=6=9=80b>9i:058?l7503:1(>6?:061?k50n3;=76g>2883>!5?83;?>6`<7g821>=n9;k1<7*<818207=i;>l1=954i00`>5<#;1:1=9<4n25e>45<3`;9h7>5$2:3>4253g9>50;&0<5<6<;1e?:h51198m456290/?5>51508j61a2o10e<==:18'7=6=9=80b>9i:d98m454290/?5>51508j61a2m10e<=;:18'7=6=9=80b>9i:b98m452290/?5>51508j61a2k10e<=9:18'7=6=9=80b>9i:`98m45>290/?5>51508j61a2010e<=n:18'7=6=9=80b>9i:998m45e290/?5>51508j61a2>10e<=l:18'7=6=9=80b>9i:798m45c290/?5>51508j61a2<10e<=j:18'7=6=9=80b>9i:598m45a290/?5>51508j61a2:10e<:?:18'7=6=9=80b>9i:398m426290/?5>51508j61a2810e<:<:18'7=6=9=80b>9i:198m1272900c>hn:18'7=6=<8l0b>9i:358?j2703:1(>6?:53e?k50n38=76a;1483>!5?83>:j6`<7g811>=h<;;1<7*<81875c=i;>l1>954o501>5<#;1:1875<3f>987>5$2:3>17a3g9f:l03c<5921d8?950;&0<5<39o1e?:h52198k14?290/?5>540d8j61a28l07b:=a;29 6>72=;m7c=8f;3f?>i4nk0;6)=70;62b>h4?o0:h65`3gf94?"4090?=k5a36d95f=9:9l054=83.84=4;1g9m72`=9110c9><:18'7=6=<8l0b>9i:058?j27=3:1(>6?:53e?k50n3;=76a;0783>!5?83>:j6`<7g821>=h<9=1<7*<81875c=i;>l1=954o52:>5<#;1:1845<3f>;m7>5$2:3>17a3g9f:l03c<6921d8=j50;&0<5<39o1e?:h51198k16b290/?5>540d8j61a2o10c9>i:18'7=6=<8l0b>9i:d98k177290/?5>540d8j61a2m10c9?>:18'7=6=<8l0b>9i:b98k175290/?5>540d8j61a2k10c9?<:18'7=6=<8l0b>9i:`98k171290/?5>540d8j61a2010c9?8:18'7=6=<8l0b>9i:998k17?290/?5>540d8j61a2>10c9?6:18'7=6=<8l0b>9i:798k17f290/?5>540d8j61a2<10c9?m:18'7=6=<8l0b>9i:598k17d290/?5>540d8j61a2:10c9?k:18'7=6=<8l0b>9i:398k17b290/?5>540d8j61a2810c99i:198yg51m3:1ho4?:1yO01c=0r.8n<46099'7=7=mo1/?5;542g8 6>32:l27c:=f;:8j12>2820(9=?:b`8j1562jk0q):;4;15a>\d=3kp9=4:1;71>05===0>97;9:45921<203wbj<4?:%1;4?7792d8;k4>7:9jba<72-93<7??1:l03c<6>21b==o50;&0<5<6881e?:h51498m471290/?5>51138j61a28>07d?>7;29 6>728::7c=8f;30?>o6910;6)=70;335>h4?o0:>65f10;94?"4090:<<5a36d954=4;h32g?6=,:2;6<>>;o14b?`<3`;:h7>5$2:3>4663g95<#;1:1==?4n25e>a=1<7*<818244=i;>l1o65ff483>!5?83;;=6`<7g8a?>oa>3:1(>6?:022?k50n3k07dh8:18'7=6=99;0b>9i:898mc>=83.84=4>009m72`=021bj44?:%1;4?7792d8;k48;:kee?6=,:2;6<>>;o14b?0<3`li6=4+3929557=nnl0;6)=70;335>h4?o0876gif;29 6>728::7c=8f;08?l7783:1(>6?:022?k50n3;07d??2;29 6>728::7c=8f;28?l76n3:1(>6?:00e?k50n3;<76g>2c83>!5?83;9j6`<7g822>=n9:21<7*<81826c=i;>l1=854i067>5<#;1:1=?h4n25e>42<3`;?97>5$2:3>44a3g9290/?5>513d8j61a28:07d?;a;29 6>7288m7c=8f;d8?l73j3:1(>6?:00e?k50n3o07d?=0;29 6>7288m7c=8f;f8?l75:3:1(>6?:00e?k50n3i07d?=3;29 6>7288m7c=8f;`8?l75<3:1(>6?:00e?k50n3k07d?=5;29 6>7288m7c=8f;;8?l75>3:1(>6?:00e?k50n3207d?=7;29 6>7288m7c=8f;58?l7503:1(>6?:00e?k50n3<07d?=9;29 6>7288m7c=8f;78?l75i3:1(>6?:00e?k50n3>07d?=c;29 6>7288m7c=8f;18?l75l3:1(>6?:00e?k50n3807d?=e;29 6>7288m7c=8f;38?l7483:1(>6?:00e?k50n3:07d?;c;29 6>728?i7c=8f;34?>o6=>0;6)=70;36f>h4?o0::65f14f94?"4090:9o5a36d950=2:9j537=83.84=4>5c9m72`=9810e<8<:18'7=6=99i:028?l71<3:1(>6?:07a?k50n3l07d?95;29 6>728?i7c=8f;g8?l73l3:1(>6?:07a?k50n3n07d?;e;29 6>728?i7c=8f;a8?l73n3:1(>6?:07a?k50n3h07d?:0;29 6>728?i7c=8f;c8?l7293:1(>6?:07a?k50n3307d?:2;29 6>728?i7c=8f;:8?l72;3:1(>6?:07a?k50n3=07d?:4;29 6>728?i7c=8f;48?l72=3:1(>6?:07a?k50n3?07d?:6;29 6>728?i7c=8f;68?l7203:1(>6?:07a?k50n3907d?:9;29 6>728?i7c=8f;08?l72i3:1(>6?:07a?k50n3;07d?:c;29 6>728?i7c=8f;28?l71>3:1(>6?:056?k50n3;<76g>7083>!5?83;<96`<7g822>=n9>=1<7*<818230=i;>l1=854i05;>5<#;1:1=:;4n25e>42<3`;<57>5$2:3>4123g951678j61a28:07d?8e;29 6>728=>7c=8f;d8?l70n3:1(>6?:056?k50n3o07d?97;29 6>728=>7c=8f;f8?l7103:1(>6?:056?k50n3i07d?99;29 6>728=>7c=8f;`8?l71i3:1(>6?:056?k50n3k07d?9b;29 6>728=>7c=8f;;8?l71k3:1(>6?:056?k50n3207d?9d;29 6>728=>7c=8f;58?l71m3:1(>6?:056?k50n3<07d?9f;29 6>728=>7c=8f;78?l7083:1(>6?:056?k50n3>07d?82;29 6>728=>7c=8f;18?l70;3:1(>6?:056?k50n3807d?84;29 6>728=>7c=8f;38?l70>3:1(>6?:056?k50n3:07d?70;29 6>7282m7c=8f;34?>o60k0;6)=70;3;b>h4?o0::65f18394?"4090:4k5a36d950=2:9j5<3=83.84=4>8g9m72`=9810e<78:18'7=6=91l0b>9i:028?l7>03:1(>6?:0:e?k50n3l07d?69;29 6>7282m7c=8f;g8?l7?93:1(>6?:0:e?k50n3n07d?72;29 6>7282m7c=8f;a8?l7?;3:1(>6?:0:e?k50n3h07d?74;29 6>7282m7c=8f;c8?l7?=3:1(>6?:0:e?k50n3307d?76;29 6>7282m7c=8f;:8?l7??3:1(>6?:0:e?k50n3=07d?78;29 6>7282m7c=8f;48?l7?13:1(>6?:0:e?k50n3?07d?7a;29 6>7282m7c=8f;68?l7?k3:1(>6?:0:e?k50n3907d?7d;29 6>7282m7c=8f;08?l7?m3:1(>6?:0:e?k50n3;07d?60;29 6>7282m7c=8f;28?l7>i3:1(>6?:0c:?k50n3;<76g>a483>!5?83;j56`<7g822>=n9hh1<7*<8182e<=i;>l1=854i0c`>5<#;1:1=l74n25e>42<3`;jh7>5$2:3>4g>3g951`;8j61a28:07d?m2;29 6>728k27c=8f;d8?l7e;3:1(>6?:0c:?k50n3o07d?6b;29 6>728k27c=8f;f8?l7>k3:1(>6?:0c:?k50n3i07d?6d;29 6>728k27c=8f;`8?l7>m3:1(>6?:0c:?k50n3k07d?6f;29 6>728k27c=8f;;8?l7f83:1(>6?:0c:?k50n3207d?n1;29 6>728k27c=8f;58?l7f:3:1(>6?:0c:?k50n3<07d?n3;29 6>728k27c=8f;78?l7f<3:1(>6?:0c:?k50n3>07d?n6;29 6>728k27c=8f;18?l7f?3:1(>6?:0c:?k50n3807d?n8;29 6>728k27c=8f;38?l7fi3:1(>6?:0c:?k50n3:07d?m4;29 6>728i87c=8f;34?>o6jo0;6)=70;3`7>h4?o0::65f1b794?"4090:o>5a36d950=2:9j5f?=83.84=4>c29m72`=9810e9i:028?l7dk3:1(>6?:0a0?k50n3l07d?ld;29 6>728i87c=8f;g8?l7e=3:1(>6?:0a0?k50n3n07d?m6;29 6>728i87c=8f;a8?l7e?3:1(>6?:0a0?k50n3h07d?m8;29 6>728i87c=8f;c8?l7e13:1(>6?:0a0?k50n3307d?ma;29 6>728i87c=8f;:8?l7ej3:1(>6?:0a0?k50n3=07d?mc;29 6>728i87c=8f;48?l7el3:1(>6?:0a0?k50n3?07d?me;29 6>728i87c=8f;68?l7d83:1(>6?:0a0?k50n3907d?l1;29 6>728i87c=8f;08?l7d:3:1(>6?:0a0?k50n3;07d?l4;29 6>728i87c=8f;28?l7dm3:1(>6?:0fg?k50n3;<76g>d883>!5?83;oh6`<7g822>=n9ml1<7*<8182`a=i;>l1=854i0g3>5<#;1:1=ij4n25e>42<3`;n=7>5$2:3>4bc3g951ef8j61a28:07d?j5;29 6>728no7c=8f;d8?l7b>3:1(>6?:0fg?k50n3o07d?lf;29 6>728no7c=8f;f8?l7c83:1(>6?:0fg?k50n3i07d?k1;29 6>728no7c=8f;`8?l7c:3:1(>6?:0fg?k50n3k07d?k3;29 6>728no7c=8f;;8?l7c<3:1(>6?:0fg?k50n3207d?k5;29 6>728no7c=8f;58?l7c>3:1(>6?:0fg?k50n3<07d?k7;29 6>728no7c=8f;78?l7c03:1(>6?:0fg?k50n3>07d?ka;29 6>728no7c=8f;18?l7cj3:1(>6?:0fg?k50n3807d?kc;29 6>728no7c=8f;38?l7cm3:1(>6?:0fg?k50n3:07d:;0;29 6>72=9m7c=8f;28?l2393:1(>6?:51e?k50n3;07d:;2;29 6>72=9m7c=8f;08?j5ai3:1(>6?:52`?k50n3;<76a;0983>!5?83>;o6`<7g822>=h<8?1<7*<81874f=i;>l1=854o502>5<#;1:18=m4n25e>42<3f>9>7>5$2:3>16d3g9541a8j61a28:07b:=8;29 6>72=:h7c=8f;d8?j25i3:1(>6?:52`?k50n3o07b=ib;29 6>72=:h7c=8f;f8?j5al3:1(>6?:52`?k50n3i07b=ie;29 6>72=:h7c=8f;`8?j5an3:1(>6?:52`?k50n3k07b:?0;29 6>72=:h7c=8f;;8?j27:3:1(>6?:52`?k50n3207b:?3;29 6>72=:h7c=8f;58?j27=3:1(>6?:52`?k50n3<07b:?6;29 6>72=:h7c=8f;78?j27?3:1(>6?:52`?k50n3>07b:?9;29 6>72=:h7c=8f;18?j27i3:1(>6?:52`?k50n3807b:?b;29 6>72=:h7c=8f;38?j27l3:1(>6?:52`?k50n3:07pl<6e83>d<729qG89k58z&0f4<>811/?5?5eg9'7=3=<:o0(>6;:2d:?k25n380b9:6:29'066=kk1e8>?5c`9~ 1232::18'7=6=9930b>9i:398mcb=83.84=4>089m72`=921b==o50;&0<5<6801e?:h50:9j54`=83.84=4>369m72`=:21b=?l50;&0<5<6;>1e?:h51:9j56>=83.84=4>369m72`=821b89>50;9l7cg=83.84=4;159m72`=:21d8=650;&0<5<39=1e?:h51:9l043=83.84=4;159m72`=821vn4m6:181>5<7s->?87==4:J70g=n;9o1<75`36594?=zj=<=6=4<:183!23<3hi7E:;b:k04c<722c8==4?::m032<722wi8;m50;194?6|,=>?6ol4H56a?l57n3:17d=>0;29?j50?3:17pl;7783>6<729q/89:536:8L12e3`9;j7>5;h124?6=3f9<;7>5;|`72d<72:0;6=u+4569fg=O<=h0e>>i:188m6772900c>98:188yg44l3:1>7>50z&701<4:=1C89l4i22f>5<N3?n6g<0g83>>o4990;66a<7683>>{e5;n143?6=3th?;94?:283>5}#<=>1ni5G45`8m66a2900e>??:188k6102900qo:8a;297?6=8r.?894<789K01d5<>i:188m6772900c>98:188yg2083:1?7>50z&701<4?01C89l4i22e>5<5<3>7>53;294~"3<=0ih6F;4c9j75`=831b?<>50;9l721=831vn>ol:181>5<7s->?87==4:J70g=n;9o1<75`36594?=zj?k86=4<:183!23<39<56F;4c9j75`=831b?<>50;9l721=831vn96?:180>5<7s->?87=89:J70g=n;9l1<75f30294?=h;>=1<75rb551>5<5290;w):;4;110>N35<7s->?87==4:J70g=n;9o1<75`36594?=zj:kj6=4;:183!23<3hn7E:;b:k04c<722c8==4?::k052<722e8;:4?::a616=83?1<7>t$567>f7<@=>i7d=?f;29?l5683:17d=>7;29?l5603:17b=87;29?xd5<80;684?:1y'012=k:1C89l4i22e>5<5<5<57;19=~"3<=09885f22d94?=n:=:1<75f9e394?=n1jo1<75f9bd94?=n1jk1<75`22g94?=e:=81<7=50;2x 1232kh0D9:m;h13b?6=3`9:<7>5;n143?6=3th98>4?:483>5}#<=>1o95G45`8m66a2900e>??:188m6702900e>?7:188k6102900qo<;4;297?6=8r.?894mb:J70g=n;9l1<75f30294?=h;>=1<75rs31e>5<5sW88j63=43804c=z{;>;6=4={_074>;5<;08==5rs362>5<5s48?87=?f:?107<4?>1v4j>:181[?c92798>4<0g9~w4<169~w;5<=08;:5r}c1f5?6=;3:1N3?6>96;I67f>o48o0;66g<1183>>i4?>0;66sm3ea94?5=83:p(9:;:c`8L12e3`9;j7>5;h124?6=3f9<;7>5;|`0`g<72:0;6=u+456972?<@=>i7d=?f;29?l5683:17b=87;29?xu1110;6?uQ68:8961728;>7A:;d;3x 644291v(951018H12c28q/??=50:'07c=>0=0b9h49969m07b=:2wx:4:50;0xZ3?3349<<7?>1:N70a<6s-99?7>4}%61a?0>?2d?>i4<;|q5<4<72;qU:5?4=7:;>`5<,=8n6;6<;o61`?47>52z\5<7=:>1n1i>5+43g92=55<5sW<38639938f7>"3:l0=4>5a43f94>{t1j=1<78t^8a4?8?d139;i639a2804c=:;mo1?=h4=2`:>66a349on7=?f:p2dg=83?2wS8na:?5e=27=4i4l6:?5<`27=5?4l6:?5=627=454l6:?5<<27=m84l6:?5e327=m:4l6:?:5<272<44l6:?:a1272i84l6:?:a=272i44l6:?:ad272io4l6:?:af272ii4l6:?:a`272ik4l6:?:a3272i:4l6:?;bg273jn4l6:?;ba2725;4l6:?:=0272594l6:?:=62725?4l6:?:=42724k4l6:?:<`2724i4l6:?:2724o4l6:?:272?n4l6:?:7a272854l6:?:0a2728h4l6:?:0c2729=4l6:?:142729?4l6:?:16272?h4l6:?:7c2728=4l6:?:042728?4l6:?:06272894l6:?:002728;4l6:?:02272844l6:?:0d2728o4l6:?:0f272444l6:?:<3272454l6:?:<2272494l6:?:<62724=4l6:?:<72724<4l6:?:3c272;h4l6:?:3g272;i4l6:?:3f2725l4l6:?:=f2725o4l6:?:=2272544l6:?:==2725=4l6:?:<0272;l4l6:?:3<27>343n87mk;<;f1?ec343n47mk;<;f=?ec343nm7mk;<;ff?ec343no7mk;<;f`?ec343ni7mk;<;fb?ec343n:7mk;<;f3?ec349=j7?>e:?723<48o168;m5302891112:;;70:84;13b>{t><21<746s|69c94?4|5?2o6>ok;<4;a?c43->9i787b:l76a<63ty=4n4?:8y>2=c=;hn01>8j:023?851m3;9m63<6d8216=:;?o1=;o4=24f>4>6349=i7?m1:?02`<6k>16?;k51e;8 14b2?2i7c:=d;28yv0?n3:1>v399380ea=:>091i>5+43g92<65<>s4<2?7=nd:?02`<68;16?;k513a8960b28??70=9e;35f>;4>l0:4?5237g95g4<5:h49919m07b=82wx:l650;6x93g?2:ko70:9a;124>;3>10852z?5<=<4im16:575e29'07c=>1<0b902902w0879;1b`>;4>l0mj63<6d826<=:;?o1=8<4=24f>40>349=i7?69:?02`<6io16?;k51b48960b28in7):=e;4;2>h3:m0;7p}9a183>6}:>h21i>526`497db<5?k<6h=4$50f>3g63g>9h7?4}r4:b?6=:r7=m842d0=m:1/8?k56`38j14c2;1v;o=:18180f?39jh639a28055=#<;o1:l?4n50g>5=z{0:26=48{<;3=?5fl27?:n4<0g9>66b=;9o01987:233?820039;j63;7`804c=:<>o1?=h4}r;07?6=1r728n4==?=m:16?;k51228960b28?h70=9e;342>;4>l0:5=5237g95dg<5:h46339m07b=82wx5>?50;;x9<2e2:ko70778;g0?851m3;9i63<6d821d=:;?o1=::4=24f>4>b349=i7?n8:?02`<6k;16?;k51ea8 14b20997c:=d;38yv?483:15v364`80ea=:11=1i>5237g957b<5:8e9>73c=9h=01>8j:0a2?851m3;on6*;2d8:77=i<;n1>6s|93d94??|50>26>ok;<;;2?c4349=i7hj;<15a?720278:h4>739>73c=91i01>8j:0c5?851m3;h<63<6d82`d=#<;o15><4n50g>6=z{08o6=46{<;73?5fl272494j3:?02`579>73c=9>:01>8j:0:b?851m3;j863<6d82f`=:;?o1=i64$50f><553g>9h7:4}r;1g?6=1r728;4==5=m:16?;k5fc9>73c=98j:04e?851m3;3563<6d82e6=:;?o1=oj4=24f>4b03->9i77<2:l76a<23ty2>o4?:8y>=13=;hn0146=:d18960b2ok01>8j:00;?851m3;=i63<6d82<==:;?o1=l<4=24f>4dd349=i7?k6:&76`<>;;1e8?j56:p=7g=833p14:;:2cg?8??93o870=9e;d:?851m3;9;63<6d822a=:;?o1=594=24f>4g6349=i7?mb:?02`<6l<1/8?k59208j14c2>1v4<6:18:8?3;39jh636818f7>;4>l0m463<6d8263=:;?o1=;m4=24f>4>1349=i7?n0:?02`<6jh16?;k51e68 14b20997c:=d;:8yv?503:15v364380ea=:1>l1i>5237g9b2=:;?o1=?;4=24f>436349=i7?75:?02`<61o16?;k51c;8960b28n87):=e;;06>h3:m027p}62683><}:1=;1?lj4=85f>`5<5:443349=i7?:0:?02`<60=16?;k518g8960b28h370=9e;3g6>"3:l02??5a43f9e>{t1;<1<77t=863>6gc343;4>l0:h<5+43g9=645<>s438j7=nd:?:3f;4>l0:n;5237g95a6<,=8n64==;o61`?e59z?:7`<4im165:l5e29>73c=n;16?;k51328960b28>o70=9e;353>;4>l0:5o5237g95g3<5:i4k;|q:7g<720q658=53`f898j:03g?851m3;?n63<6d8220=:;?o1=:h4=24f>4d4349=i7?ld:?02`<6m?1/8?k59208j14c2l1v4=n:18:8?2:39jh6369c8f7>;4>l0:=n5237g951g<5:999>73c=9ji01>8j:0g6?!25m338>6`;2e8e?xu>;00;64u294397db<503j6h=4=24f>47e349=i7?;9:?02`<6>:16?;k516f8960b283<70=9e;3`f>;4>l0:i95+43g9=644}r;0=<70=9e;355>;4>l0:;o5237g95<3<5:h46339m07b=981v4=8:18:8?3n39jh636998f7>;4>l0:=55237g9510<5:959>73c=9ho01>8j:0g1?!25m338>6`;2e826>{t1:<1<77t=86f>6gc3432;7k<;<15a?76?278:h4>449>73c=98j:05:?851m3;2?63<6d82ea=:;?o1=h?4$50f><553g>9h7?<;|q:70<720q659j53`f898j:035?851m3;?863<6d821`=:;?o1=:64=24f>4?5349=i7?nc:?02`<6m91/8?k59208j14c28>0q~7<4;29=~;><108mi529979a6=:;?o1==o4=24f>45?349=i7?:d:?02`<6?>16?;k51838960b28ki70=9e;3`1>"3:l02??5a43f950=z{08n6=46{<;0`?5fl272;l4j3:?02`2c9>73c=9<=01>8j:052?851m3;3n63<6d82e0=:;?o1=oh4$50f><553g>9h7?9;|q:66<720q65>m53`f89<1>2l901>8j:g38960b28;m70=9e;37g>;4>l0::;5237g95=6<5:h46339m07b=9>1v4?6:1808?6139jh638a68:5<=:71e=9o<01>8j:563?85fi39;j6*;2d8;`==i<;n1<6s|8e594?2|51lh6>ok;<17g?7a<278:h4;409>7dg=;8:0(9<5:h47d99m07b=:2wx>>l50;1x92g02;9i70:9e;124>;3?9085<5s4=j;79na:?7<7<48o1/8?k57`;8j14c291v:o7:18181f?3=j463;838055=#<;o1;l74n50g>4=z{0;j6=4={_;2e>;0i>02=l5rs840>5<5s43357=nd:?035<68;1v48=:1818??039jh63<718245=z{0<:6=4={<;;3?5fl278;=4if:p=36=838p1469:2cg?85083ln7p}65d83>7}:11>1?lj4=253>ceh7>52z?:<6<4im16?:>5fc9~w<3d2909w0772;1b`>;4?90mm6s|94`94?4|502:6>ok;<144?`>3ty29l4?:3y>==6=;hn01>9?:g:8yv?213:1>v367g80ea=:;>:1j:5rs87;>5<5s432wx58950;0x9<1c2:ko70=80;d6?xu>=?0;6?u296a97db<5:=;6k:4}r;61?6=:r72;o4726=n;1v497:1818?>k39jh63<71825a=z{0=<6=4={<;:f?5fl278;=4>1b9~w<112909w076a;1b`>;4?90:=o5rs856>5<5s43257=nd:?035<6901v49;:1818?>039jh63<71825==z{0=86=4={<;:3?5fl278;=4>169~w<152909w0760;1b`>;4?90:=;5rs852>5<5s43397=nd:?035<68h1v4;i:1818?0i39jh63<718e`>{t1<>1<76gc349<<7h>;|q:ga<72=q65h953`f89<>f2l901>:k:0ga?843939:<6*;2d8:gf=i<;n1<6s|9b`94?2|50o=6>ok;<;;f?c4349?h7?j9:?104<48o1/8?k59ba8j14c281v4j9:1878?bn39jh6368b8f7>;454z?:a`<4im1655j5e29>71b=9on01?:?:234?!25m33ho6`;2e80?xu>l=0;69u29df97db<502n6h=4=26g>4`d348?<7=>0:&76`<>kj1e8?j54:p=a5=83>p14kl:2cg?8??n3o870=;d;3ef>;5<908=55+43g9=fe5<3s43nn7=nd:?:=4f`9>617=;820(9mh08mi529809a6=:;=n1=k64=366>9i77lc:l76a<03ty2h=4?:5y>=`?=;hn0147<:d18962c28l<70<;1;123>"3:l02on5a43f9<>{t1jl1<7:t=8g;>6gc343287k<;<17`?7a>2798846cg9'07c=1ji0b9;>1<0n?63<4e82b1=::=?15nk4$50f>9h7o4}r;`e?6==<0=m:16?9j51d:8972220ij7):=e;;`g>h3:m0i7p}66683>7}:11k1?lj4=253>4773->9i7796:l76a<73ty2:84?:3y>==d=;hn01>9?:02e?!25m33=:6`;2e82?xu>?90;6?u299a97db<5:=;6<>j;%61a??1>2d?>i4=;|q:2c<72;q655j53`f8961728:o7):=e;;52>h3:m087p}66d83>7}:11o1?lj4=253>46d3->9i7796:l76a<33ty2:i4?:3y>==`=;hn01>9?:02a?!25m33=:6`;2e86?xu>>j0;6?u298397db<5:=;6<>7;%61a??1>2d?>i49;|q:2g<72;q654<53`f8961728:<7):=e;;52>h3:m0<7p}66`83>7}:1091?lj4=253>4613->9i7796:l76a=<2=;hn01>9?:026?!25m33=:6`;2e8:?xu>>10;6?u298797db<5:=;6<>;;%61a??1>2d?>i4n;|q:21<72;q654853`f8961728:87):=e;;52>h3:m0i7p};6483>a}:1l>1?lk4=8g6>6gb343n47=ne:?:a<<4il165ho53`g89;>mm08mh529dg97dc<50om6>oj;<;f2?5fm272i:4030=;>=0q~:9b;291~;3>j08;:524929746<5:o:6>>i;<1ag?57n278hn4<0g9~w1122909w0:86;143>;3?108==5rs82b>5<5s43;57k<;<15b?5a12F?8i4>{%117?6=4?=<;h0198n:254?xu5;j0;6?u237d9016<5;9o6>98;|q722<72:q65=7543`8910?2:=<70:8e;124>{t<>=1<761034>0:p:l:536?851l3;;m6*;2d8;`f=i<;n1<6s|8e`94?4|5:>h69>7;<15`?`c3->9i76kc:l76a<63ty3hl4?:3y>71e=;ok01>8k:g38 14b21nh7c:=d;08yv21l3:1>v3;6d8032=:<>:1?<>4}r;ge?6=:r72i:4j3:?00a<4nm1/8?k59e;8j14c291v4j7:1818?b>3o870=;d;1ef>"3:l02h45a43f95>{t1l91<7`5<5:>o69i4=;|q:a7<72;q65hk5e29>71b=<;20(9mm0n?63<4e8762=#<;o15i74n50g>1=z{0o;6=4={<;fg?c4349?h7:=5:&76`<>l01e8?j55:p=a`=838p14km:d18962c2=8?7):=e;;g=>h3:m0=7p}6dd83>7}:1lk1i>5235f9074<,=8n64j6;o61`?152z?:a<:96*;2d8:`<=i<;n156s|9e`94?4|50o>6h=4=26g>16?3->9i77k9:l76a=`2=m:16?9j53gc8 14b20n27c:=d;`8yv20;3:1>v3;77804c=:<>>1?:94}r;aa?6=:rT2nh523629076<,=8n64lk;o61`?652z\:ff=:;>:189h7?4}r;af?6=:rT2no52362904b<,=8n64lk;o61`?452z\:fd=:;>:189h7=4}r;a=?6=:rT2n452362904d<,=8n64lk;o61`?252z\:f==:;>:189h7;4}r;a3?6=:rT2n:52362904?<,=8n64lk;o61`?052z\:f3=:;>:18<64$50f>9h794}r;a1?6=:rT2n8523629041<,=8n64lk;o61`?>52z\:f1=:;>:18<84$50f>9h774}r;a6?6=:rT2n?523629045<,=8n64lk;o61`?g52z\:f4=:;>:18<<4$50f>9h7l4}r;a4?6=:rT2n=523629047<,=8n64lk;o61`?e52z\:ec=:;>:18<>4$50f>9h7j4}r;ba?6=:rT2mh52362905`<,=8n64lk;o61`?c52z\:ea=:;>:18=k4$50f>9h7h4}r;bg?6=:rT2mn52362905b<,=8n64lk;o61`?773ty2mo4?:3y]=dd<5:=;69>m;%61a??el2d?>i4>1:p=dg=838pR4on;<144?27i2.?>h46be9m07b=9;1v4o6:181[?f1278;=4;089'07c=1kn0b9vP6a69>726=<9=0(97}Y1h<01>9?:525?!25m33ih6`;2e821>{t1h?1<7;96*;2d8:fa=i<;n1=;5rs8c7>5<5sW3j863<718746=#<;o15oj4n50g>4152z\:e6=:;>:18=<4$50f>9h7?7;|q:e7<72;qU5l<4=253>1673->9i77md:l76a<612wx5l?50;0xZjm1e8?j51`9~w1o16?:>53gf8 14b20ho7c:=d;3`?xu>1l0;6?uQ98g896172:li7):=e;;a`>h3:m0:h6s|9b794?4|V0i>70=80;61e>"3:l02ni5a43f95`=z{0i?6=4={_;`0>;4?90?>55+43g9=gb523629071<,=8n64lk;o61`?473ty2o?4?:3y]=f4<5:=;69<:;%61a??el2d?>i4=1:p=f7=838pR4m>;<144?25<2.?>h46be9m07b=:;1v4m?:181[?d8278;=4;239'07c=1kn0b9vP6bg9>726=<;;0(97}Y1k901>9?:536?!25m33ih6`;2e811>{t1h21<7;46*;2d8:fa=i<;n1>;5rs8;g>5<5sW32h63<7180bd=#<;o15oj4n50g>71<57>52z?73d<4?>168:m531g8yv20l3:1>v3;7d8032=:<1:1?=h4}r1b=?6=03g=;9l01>ol:22f?85fi39<;6s|8g094?4|50>h6h=4=24f>16c3->9i76i1:l76a<73ty3j=4?:3y>=1d=m:16?;k541`8 14b21l:7c:=d;38yv>bn3:1>v364`8f7>;4>l0?5<5s43?57k<;<15a?2712.?>h47f09m07b=;2wx4hm50;0x9<202l901>8j:524?!25m32m=6`;2e87?xu?mk0;6?u29549a6=:;?o18=84$50f>=`63g>9h7;4}r:fe?6=:r72884j3:?02`<38<1/8?k58g38j14c2?1v5k6:1818?3<3o870=9e;637>"3:l03j<5a43f93>{t0l21<7`5<5:=;%61a?>a92d?>i47;|q;a2<72;q659<5e29>73c=<9:0(9<80n?63<6d80bc=#<;o14k?4n50g>d=z{1o>6=4={<;74?c4349=i7=ie:&76`h3:m0h7p}7e283>7}:1:o1i>5237g97cd<,=8n65h>;o61`?b52z?:162909w07:2;g0?851m3>946*;2d8;b4=i<;n1j6s|8g:94?4|50?:6h=4=24f>1403->9i76i1:l76a<682wx4k950;0x9<372l901>8j:506?!25m32m=6`;2e825>{t0o<1<7`5<5:a92d?>i4>2:ph3:m0:?6s|8g694?4|50>o6h=4=24f>1463->9i76i1:l76a<6<2wx4k=50;0x9<2?2l901>8j:536?!25m32m=6`;2e821>{t0ln1<7`5<5:7;%61a?>a92d?>i4>6:p<`4=838p14=l:d18960b2:lj7):=e;:e5>h3:m0:;6s|8d394?4|51lo6h=4=24g>1723->9i76j0:l76a<73ty3hk4?:3y>cm3:1>v37fc8f7>;4>m08jl5+43g9<`65<5s4><<7=87:?737<48l1v96>:18185083>?<63;838032=z{;9n6=4={<652?568279884=3d9~w6152909hv3;4b816<=:1831i>52362954`<5:=;6<459>726=9=?01>9?:065?85083;?;63<71820<=:;>:1=9o4=253>42e349<<7?=0:?035<6:;16?:>513189617288?70=80;311>;4?90:>;523629571<5:=;6<<7;<144?751278;=4>2`9>726=9;i01>9?:00g?85083;9i63<718275=:;>:1=>?4=253>455349<<7?<3:?035<6;=16?:>512789617289=70=80;30=>;4?90:?l52362956d<5:=;6<=l;<144?74l278;=4>3d9>726=9:l01>9?:063?85083;?=63<718206=:;?n1=44e349=h7?<8:p=f>=838>w087d;1ba>;10l08mh5268097dc<5?386>oj;<4;2d3=<;h01;o9:50a?80f?3>9n6361880e`=:1931?lk4=81`>6gb3438h7=ne:?:0=<4il1659j53`g89<2b2:kn707;f;1ba>;>=908mh5294397dc<50?96>oj;<;67?5fm272?h4=6`=;ho014:?:2cf?8?3939ji6364380e`=:1=91?lk4=867>6gb343?97=ne:?:03<4il1659953`g89<2>2:kn707;a;1ba>;>k<69=k;<;`=?50?2wx:l:50;0x93g?2:kn708n3;143>{t;hh1<7=t=83:>fb<5:4=2c`>6103ty?;k4?:6y>5365896c62:;;70=mc;124>;4lj08==5rs2`1>5<5s42mn7=ne:?0``<4?>1v>l<:1818>ak39ji63;>1<0hh636958``>;>1:0hh636938``>;>180hh6368g8``>;>0l0hh6368e8``>;>0j0hh6368c8``>;>0h0hh636808``>;>0;0hh636818``>;>0:0hh636858``>;>0>0hh636898``>;>?00hh6367`8``>;>0<0hh636918``>;>110hh636878``>;>100hh636968``>;>1k0hh6369b8``>;>1h0hh6367b8``>;>?m0hh6367c8``>;>?l0hh6367g8``>;>000hh63;738032=z{==i6=4>9z?:7f4ld:?:7`4ld:?:011v>jk:18185b939<;63;4lk08==5rs31e>5<5s48?<7=87:?100<5;o1v?:?:181843939<;63=448105=zuk3;97>54;75>25|D=>o6l:188k2ge2900n4??:187>5<7s->?87<>f:J70g=K<=n1=v*<2283?xJ33:17dk<:188m6gb2900c>ok:188yg?693:187>50z&701<59o1C89l4L56g>4}#;;91<6sC45g95~"3;90h46sfc783>>ob;3:17d=ne;29?j5fl3:17pl61383>1<729q/89:520g8L12e3E>?h7?t$200>5=zD=>n6>ob;3:17b=nd;29?xd0j80;6;4?:1y'012=:;80D9:m;M67`?7|,:886=5rL56f>4}#<::1o55rib494?=nkm0;66gj3;29?l5fm3:17d:=b;29?j5fl3:17pl87g83>0<729q/89:52368L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d:=b;29?j5fl3:17pl88183>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl88083>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl88383>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl88283>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl88583>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl88483>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl88783>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl88683>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl88983>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84283>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84583>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84e83>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84d83>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84g83>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl85183>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl85083>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl85383>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl85283>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl85583>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84483>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84783>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84683>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84983>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84883>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84`83>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84c83>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl84b83>0<729q/89:52328L12e3E>?h7?t$200>5=zD=>n6>ob;3:17d=ne;29?j5fl3:17pl<4c83>4c=83:p@9:j:6y'7g7=>6=:0g4?!23>3>0(>6;:2d:?!23=3:0(9=?:250?k2493>o56s+456971d6?:0df?k50n3307d?i4;29 6>728ln7c=8f;:8?l7a>3:1(>6?:0df?k50n3=07d?i7;29 6>728ln7c=8f;48?l7a03:1(>6?:0df?k50n3?07d?ia;29 6>728ln7c=8f;68?l7aj3:1(>6?:0df?k50n3907d?ic;29 6>728ln7c=8f;08?l7al3:1(>6?:0df?k50n3;07d?if;29 6>728ln7c=8f;28?l4793:1(>6?:334?k50n3307d72;;<7c=8f;:8?l47n3:1(>6?:334?k50n3=07d<>0;29 6>72;;<7c=8f;48?l4693:1(>6?:334?k50n3?07d<>3;29 6>72;;<7c=8f;68?l46<3:1(>6?:334?k50n3907d<>5;29 6>72;;<7c=8f;08?l46>3:1(>6?:334?k50n3;07d<>8;29 6>72;;<7c=8f;28?j5ai3:1(>6?:50:?k50n3307b:?8;29 6>72=827c=8f;:8?j26=3:1(>6?:50:?k50n3=07b:=1;29 6>72=827c=8f;48?j25:3:1(>6?:50:?k50n3?07b:=4;29 6>72=827c=8f;68?j25=3:1(>6?:50:?k50n3907b:=7;29 6>72=827c=8f;08?j2503:1(>6?:50:?k50n3;07b:=a;29 6>72=827c=8f;28?xd4<00;6>850;2xH12b2>q/?o?564d8 6>528o<7):;6;38 6>32:l27):;5;28 1572:=87c:<1;6g=>{#<=>1?974Zb797~2>2=k18o4ri0g;>5<#;1:1=k<4n25e>47<3`;m87>5$2:3>4`53g932c:jk4?:%1;4?7a:2d8;k47;:k2a<<72-93<7?i2:l03c<032c:io4?:%1;4?7a:2d8;k49;:k2af<72-93<7?i2:l03c<232c:ii4?:%1;4?7a:2d8;k4;;:k2a`<72-93<7?i2:l03c<432c:j=4?:%1;4?7a:2d8;k4=;:k2b4<72-93<7?i2:l03c<632c:j>4?:%1;4?7a:2d8;k4?;:k144<72-93<7=j50;&0<5<58k1e?:h51198m76a290/?5>521`8j61a2o10e???:18'7=6=:9h0b>9i:d98m776290/?5>521`8j61a2m10e??<:18'7=6=:9h0b>9i:b98m773290/?5>521`8j61a2k10e??::18'7=6=:9h0b>9i:`98m771290/?5>521`8j61a2010e??7:18'7=6=:9h0b>9i:998m765290/?5>521`8j61a2>10e?>;:18'7=6=:9h0b>9i:798m762290/?5>521`8j61a2<10e?>9:18'7=6=:9h0b>9i:598m760290/?5>521`8j61a2:10e?>6:18'7=6=:9h0b>9i:398m76f290/?5>521`8j61a2810e?>l:18'7=6=:9h0b>9i:198k6`f290/?5>54168j61a28;07b:?8;29 6>72=:?7c=8f;33?>i39<0;6)=70;630>h4?o0m76a;2083>!5?83>;86`<7g8f?>i3:;0;6)=70;630>h4?o0o76a;2583>!5?83>;86`<7g8`?>i3:<0;6)=70;630>h4?o0i76a;2683>!5?83>;86`<7g8b?>i3:10;6)=70;630>h4?o0276a;2`83>!5?83>;86`<7g8;?>i4nk0;6)=70;630>h4?o0<76a!5?83>;86`<7g85?>i4nl0;6)=70;630>h4?o0>76a!5?83>;86`<7g87?>i3890;6)=70;630>h4?o0876a;0383>!5?83>;86`<7g81?>i38:0;6)=70;630>h4?o0:76a;0483>!5?83>;86`<7g83?>{e::k1<7=50;2x 1232ki0D9:m;h13b?6=3`9:<7>5;n143?6=3th?9;4?:283>5}#<=>1nn5G45`8m66a2900e>??:188k6102900qo:92;297?6=8r.?894<799K01d5<N3h86=4=:183!23<39986F;4c9j75c=831d?:950;9~f10729086=4?{%670?dc3A>?n6g<0g83>>o4990;66a<7683>>{e1;81<7<50;2x 1232:8?7E:;b:k04`<722e8;:4?::a00c=8381<7>t$567>6433A>?n6g<0d83>>i4?>0;66sm47694?4=83:p(9:;:207?M23j2c8?6>96;I67f>o48o0;66g<1183>>i4?>0;66sm3`494?d=;3np(9:;:2c5?l1093:17d983;29?l1083:17d99f;29?l11l3:17d99e;29?l11k3:17d999;29?l11j3:17d99a;29?j5f93:17o=n3;291?6=8r.?894l1:J70g=n;9l1<75f30294?=n;8=1<75f30:94?=h;>=1<75rb2c7>5<2290;w):;4;a1?M23j2c8t$567>f5<@=>i7d=?f;29?l5683:17d=>7;29?l5603:17b=87;29?xu0?80;6?uQ763896g42::m7p}87283>7}Y?>901>o<:233?xu0?90;6?uQ762896g42:;<7p}86g83>7}Y??l01>o<:23;?xu4i;0;6?u23`79741<5:k86>98;|q42a<72;qU;;j4=2c7>66a3ty<:h4?:3y]33c<5:k?6>??;|q42f<72;qU;;m4=2c7>6703ty<:44?:3y]33?<5:k?6>?7;|q0e6<72;q6?l;530:896g32:=<7p}86c83>7}Y??h01>o::22e?xu0>h0;6?uQ77c896g22:;;7p}7}Y;h;01>o::254?x{e;h:1<7?<:4823~"3<=08m=5f73694?=n?;<1<75f73194?=n?;;1<75f73094?=n?;:1<75f70d94?=n?;o1<75f70g94?=n?;l1<75f73f94?=n?;i1<75f73`94?=n?;k1<75f73;94?=n?;21<75f73594?=n?8n1<75`38;94?=e;0h1<7;50;2x 1232j;0D9:m;h13b?6=3`9:<7>5;h123?6=3`9:47>5;n143?6=3th85n4?:483>5}#<=>1o>5G45`8m66a2900e>??:188m6702900e>?7:188k6102900qo=6d;297?6=8r.?894mb:J70g=n;9l1<75f30294?=h;>=1<75rb2;f>5<>290;w):;4;142>N3=831b?<750;9j74g=831b?6=4?{%670?e43A>?n6g<0g83>>o4990;66g<1683>>o4910;66a<7683>>{t?;>1<7j39;j6s|73494?4|V>8=70=6b;124>{t?;91<7j39:;6s|73394?4|V>8:70=6b;12<>{t;0k1<766a3492n7=87:p374=838pR:<=;<1:g?57n2wx;?>50;0xZ2473492o7=>0:p34`=838pR:?i;<1:g?56?2wx;?k50;0xZ24b3492o7=>8:p77k:233?85>k39<;6s|38a94?4|5:3m6>?8;<1:`?50?2wx;7:p37e=838pR:9:p37g=838pR:3492i7=>b:p37>=838pR:<7;<1:a?56k2wx?4j50;0x96?a2:;370=6e;143>{t?;=1<7n39;j6s|70f94?4|V>;o70=6f;124>{t;031<7n39<;6srb311>5<6;3?1=:u+45696648?6=44i600>5<896=44i603>5<8=6=44i63f>5<8o6=44i60`>5<8:6=44i63e>5<8m6=44i60f>5<8i6=44i60b>5<826=44i60;>5<8<6=44i63g>5<5<2290;w):;4;a2?M23j2c8t$567>f4<@=>i7d=?f;29?l5683:17d=>7;29?l5603:17b=87;29?xd5:o0;6>4?:1y'012=jk1C89l4i22e>5<5<59;294~"3<=08;;5G45`8m66a2900e>??:188m6702900e>?7:188m67>2900e>?n:188m67e2900e>?l:188k6102900qo<<1;291?6=8r.?894l3:J70g=n;9l1<75f30294?=n;8=1<75f30:94?=h;>=1<75rs607>5<5sW=9863=2e804c=z{>886=4={_517>;5:m08==5rs601>5<5sW=9>63=2e8052=z{>8;6=4={_514>;5:m08=55rs30`>5<5s489j7=?f:?16a<4?>1v:<9:181[15>279>h4<0g9~w27b2909wS9>e:?16`<4991v:h4<169~w24d2909wS9=c:?16`<4911v?k4<769~w2462909wS9=1:?175<48o1v:?i:181[16n279?=4<119~w24a2909wS9=f:?175<49>1v:2909wS9=9:?175<49k1v:<7:181[150279?=4<1b9~w74a2909w0<<1;12<>;5;908;:5rs604>5<5sW=9;63=30804c=z{>;o6=4={_52`>;5;808==5rs30a>5<5sW89n63=308032=zuk8847>51280>43|,=>?6?=7;h510?6=3`=9:7>5;h517?6=3`=9>7>5;h515?6=3`=9<7>5;h52b?6=3`=:i7>5;h51b?6=3`=9h7>5;h51a?6=3`=9o7>5;h51f?6=3`=9m7>5;h51=?6=3`=947>5;h513?6=3`=:h7>5;n007?6=3k8897>51083>5}#<=>1?:;4H56a?l57n3:17d=>0;29?l56?3:17d=>8;29?l5613:17d=>a;29?l56j3:17d=>c;29?l56l3:17d=>e;29?l5693:17d=>2;29?l56;3:17d=>4;29?l56=3:17d=>6;29?j50?3:17pl=3783>6<729q/89:5be9K01d5<N3279?84<119~w2442909wS9=3:?170<49>1v:<=:181[15:279?84<199~w2462909wS9=1:?170<4901v:f:?170<49k1v:?j:181[16m279?84<1b9~w24a2909wS9=f:?170<49m1v:2909wS9=9:?170<49<1v:<7:181[150279?84<179~w7532909w0<<7;13b>;5;<08;:5rs604>5<5sW=9;63=37804c=z{>;o6=4={_52`>;5;?08==5rs316>5<5s488;7=>0:?173<4?>1v?=<:181[44;279?:4<769~yg22i3:187>50z&701=1<75rb2f:>5<4290;w):;4;`a?M23j2c8t$567>61?3A>?n6g<0g83>>o4990;66a<7683>>{e;m>1<7=50;2x 1232kh0D9:m;h13b?6=3`9:<7>5;n143?6=3th8h:4?:283>5}#<=>1?:64H56a?l57n3:17d=>0;29?j50?3:17pl6<729q/89:5bc9K01d5<>i:188m6772900c>98:188yg5b83:1?7>50z&70150;9l721=831vn>k;:180>5<7s->?87lm;I67f>o48o0;66g<1183>>i4?>0;66sm3d194?5=83:p(9:;:25;?M23j2c8=8391<7>t$567>gd<@=>i7d=?f;29?l5683:17b=87;29?xd4m>0;6>4?:1y'012=;>20D9:m;h13b?6=3`9:<7>5;n143?6=3th8in4?:283>5}#<=>1no5G45`8m66a2900e>??:188k6102900qo=jb;297?6=8r.?894<799K01d5<N3?6>97;I67f>o48o0;66g<1183>>i4?>0;66sm3g:94?5=83:p(9:;:c`8L12e3`9;j7>5;h124?6=3f9<;7>5;|`0b2<72:0;6=u+456972><@=>i7d=?f;29?l5683:17b=87;29?xd4j>0;6>4?:1y'012=jk1C89l4i22e>5<5<53;294~"3<=08;55G45`8m66a2900e>??:188k6102900qo=mb;297?6=8r.?894mb:J70g=n;9l1<75f30294?=h;>=1<75rb2`b>5<4290;w):;4;14<>N3?n6g<0g83>>o4990;66a<7683>>{e;ko1<7=50;2x 1232:=37E:;b:k04c<722c8==4?::m032<722wi?n=50;194?6|,=>?6ol4H56a?l57n3:17d=>0;29?j50?3:17pl6<729q/89:536:8L12e3`9;j7>5;h124?6=3f9<;7>5;|`0g2<72:0;6=u+4569fg=O<=h0e>>i:188m6772900c>98:188yg5d>3:1?7>50z&701<4?11C89l4i22e>5<5<53;294~"3<=0in6F;4c9j75`=831b?<>50;9l721=831vn>mn:180>5<7s->?87=88:J70g=n;9l1<75f30294?=h;>=1<75rb2ae>5<4290;w):;4;`a?M23j2c8t$567>61?3A>?n6g<0g83>>o4990;66a<7683>>{e;m91<7=50;2x 1232kh0D9:m;h13b?6=3`9:<7>5;n143?6=3th8h?4?:283>5}#<=>1?:64H56a?l57n3:17d=>0;29?j50?3:17p}96d83>77|V?0;a5?8?693i=707>2;a5?81e93i=7098f;a5?81?83i=70971;a5?81?:3i=70973;a5?81?<3i=70975;a5?81?>3i=70977;a5?81?03i=709;3;a5?813<3i=709;d;a5?813m3i=709;f;a5?81283i=709:1;a5?812:3i=709:3;a5?812<3i=709;5;a5?813>3i=709;7;a5?81303i=709;9;a5?813i3i=709;b;a5?813k3i=7p}62183>6}Y1;:014?=:bf89<452::n7p}60b83>7}Y19i014??:d18yv?7l3:1?v361180ea=:18;1i>5222c9746<,=8n64>j;o61`?752z?:54<4im16>>o531d8 14b20:n7c:=d;28yv?6:3:1=ku290097db<5>h:693=7=;ho01:6=:2cf?81?;39ji6388580e`=:?1?1?lk4=6:5>6gb34=3;7=ne:?4<=<4il16888531d896b22:;;70=k7;124>;4lk08==523ed9746<5:o86>??;<1f3?568278io4<119>7``=;8:01>h<:233?85a?39:<634=2`f>677349h>7=>0:?0g3<49916?no5302896eb2:;;70=k2;124>{t?k;1<7236>ok;<17f?7an278m;48729'07c=?>80b9;453z?4<3<4im16?9l51ga896g12>=;7):=e;546>h3:m097p}86g83>6}:?1?1?lj4=26a>4`e349j:799f:&76`<0?;1e8?j53:p33c=839p1:6;:2cg?853j3;mm631=z{>f99>7d0=??n0(9i49;|q42g<72:q6;5?53`f8962e28l=70=n6;55f>"3:l0<;?5a43f93>{t??k1<7=t=6:3>6gc349?n7?i4:?0e3<0>h1/8?k57608j14c211v:86:180810n39jh63<4c82a==:;h<1;;74$50f>2153g>9h774}r512?6==r7<8n471?=9o901>o?:605?844:3=9:63=398463=#<;o1;?;4n50g>5=z{>8?6=4:{<57f?5fl278844>f09>7d6=?;>01?==:607?84403=986*;2d8460=i<;n1=6s|73194?3|5>>j6>ok;<17=?7a8278m=48229>664=?;901?=7:600?!25m3=996`;2e81?xu0:;0;68u275;97db<5:>2666>=?;80(9;<006?159279?548209'07c=?;?0b9w09;7;1b`>;4<00:in523`29376<5;996:h48249m07b==2wx;;4i90<=k52220934`<5;936:?i;%61a?15=2d?>i49;|q45`<7228o270=n0;52a>;5;;0<=h5222:934c<,=8n6:<:;o61`?155z?411<4im16?9751gd896g72>8m70<<2;51b>;5;10<>k5+43g93735<2s4=>?7=nd:?00<<6nm16?l>573g897552>8n70<<8;51a>"3:l0<>85a43f9=>{t?;n1<7;t=671>6gc349?57?ic:?0e5<0:m16>><573f8975?2>8o7):=e;511>h3:m0j7p}82b83>0}:?<;1?lj4=26:>4`e349j<79=c:?177<0:j16>>6573a8 14b2>8>7c:=d;`8yv15j3:19v385180ea=:;=31=ko4=2c3>24e3488>79=b:?17=<0:k1/8?k57378j14c2j1v:24f3488479=a:&76`<0:<1e8?j5d:p37?=83?p1::j:2cg?85313;m;6324>3->9i79=5:l76a54?:4y>31b=;hn01>:6:0d5?85f83=9463=33846==:::21;?64$50f>2423g>9h7h4}r513?6==r7<89471?=9o>01>o?:604?844:3=9;63=398462=#<;o1;?;4n50g>4655z?406<4im16?9751d:896g72>;o70<<2;52`>;5;10<=i5+43g9373"3:l0<;i5a43f95>{t?>h1<7`5<5:>i69<8;%61a?10l2d?>i4=;|q43d<72;q6;5;5e29>71d=<;?0(91=z{>=36=4={<5;7?c4349?n7:=2:&76`<0?m1e8?j55:p321=838p1:6=:d18962e2=8:7):=e;54`>h3:m0=7p}87783>7}:?1;1i>5235`9043<,=8n6:9k;o61`?152z?4<5n0b9>h6h=4=26:>1623->9i79<8:l76a<73ty31d=m:16?9754118 14b2>937c:=d;38yv14>3:1>v384`8f7>;4<00?5<5s4=?57k<;<17=?2782.?>h48399m07b=;2wx;>:50;0x922?2l901>:6:2de?!25m3=846`;2e87?xu0;:0;6?u27559a6=:;=31?kk4$50f>25?3g>9h7;4}r506?6=:r7<8;4j3:?00<<4nm1/8?k572:8j14c2?1v:=>:181813=3o870=;9;1ef>"3:l0{t?=81<7`5<5:>269i47;|q404<72;q6;8=5e29>71?=<;20(964n50g>d=z{>9m6=4={<565?c4349?57:=5:&76`<0;11e8?j5b:p36c=838p1:;?:d18962>2=8?7):=e;50<>h3:m0h7p}83e83>7}:?=l1i>5235;9074<,=8n6:=7;o61`?b52z?40`:96*;2d847==i<;n1j6s|72c94?4|5>>?6h=4=26:>16?3->9i79<8:l76a<682wx;>>50;0x92242l901>:6:2db?!25m3=846`;2e825>{t::31<7`5<5;9j6>98;|q710<72;q6;o?53`g891312:=<7p}3}:<<<1?<>4=541>67734>>47=?f:?725<48o16?l6531d896g12:k:7p}<9883>0}:<<21?<>4=57`>67734>=<7=>0:?0e5<4101688o53058yv45j3:1?v38b2804`=:::81>?l4=57b>6773ty?:<4?:3y>034=;>=0198;:22f?xu3=>0;6<:t=57;>610349j47=>0:?0`<<48o16?i:531d896bd2::m70=j0;13b>;4m=08>i;<1e4?57n278j94<0g9>7c>=;9l01>l8:22e?85ej39;j6366a349hn7=?f:?0gc<48o16?i=531d8yv22j3:1>v3;5b8032=:<00g=;9l0q~9m2;296~;0j80n?638b28032=z{=?m6=4={<656?57n27?:=4<769~w13>2909w0::c;13b>;3=h08;:5rs802>5<4s43:<7=ne:?:54<4il165?<53658yv22l3:1>v38b08``>;3=l08;:5rs540>5=87=87:p7d1=838>w09;3;ag?813<3io709;d;ag?813m3io709;f;ag?81283io709:1;ag?812:3io709:3;ag?812<3io709;5;ag?813>3io709;7;ag?81303io709;9;ag?813i3io709;b;ag?813k3io70=n8;143>;4l008==523e69746<5:nh6>??;<1f4?568278i94<119>7`>=;8:01>kl:233?85a839:<634=2`4>677349in7=>0:?0fc<49916?n=5302896e02:;;70=lb;124>;4ko08==523e197467>52z?406<4il16?i;53658yv5e;3:1>v384580e`=:;m=1?:94}r1g7ad=;>=0q~=kd;296~;052z?40c<4il16?h=53658yv5b=3:1>v385180e`=:;l=1?:94}r1f=?6=:r7<9<47`d=;>=0q~=jd;296~;0=;08mh523dd972152z?416<4il16?k=53658yv5a=3:1>v385580e`=:;o=1?:94}r1a0?6=:r7<8847g0=;>=0q~=m8;296~;052z?402<4il16?ok53658yv5d83:1>v384980e`=:;j81?:94}r1`0?6=:r7<8447f0=;>=0q~=l8;296~;052z?40g<4il16?nk53658yv5c83:1>v384b80e`=:;m81?:94}r1g0?6=:r78h44<769>7a3=;9l0q~=k6;296~;4l=08;:523e5975`52z?0`f<4?>16?il531d8yv5cm3:1>v37`5=;9l0q~=j6;296~;4m108;:523d5975`52z?0af<4?>16?hl531d8yv5bm3:1>v37c5=;9l0q~=i6;296~;4n108;:523g5975`52z?0f2<4?>16?o8531d8yv5e13:1>v37gc=;9l0q~=l1;296~;4k:08;:523b0975`52z?0g2<4?>16?n8531d8yv5d13:1>v37fc=;9l0q~=k1;296~;4l:08;:523e0975`n6?u+4229gd=i<:;1=5<4}%670?46i2Ph977t9384g?1c2>o1;k470;;0>74=i<0vV93c=1002=76i:`d9f53`=1h08j7l;:2c97`953880f?5c2:<1?544e=910:57?n:0`966<5=38=6?652`81g?4b2::1??4<4;35>41=m3l1==4>1;31>45=9=0:97<;:3596<<5j38o6?h530807?522tc=4=4?:%1;4?00n2d8;k4?;:k53`<72-93<788f:l03c<632c=;i4?:%1;4?00n2d8;k4=;:k53f<72-93<788f:l03c<432c=;o4?:%1;4?00n2d8;k4;;:k4`0<72-93<79k4:l03c<732c4?:%1;4?1c<2d8;k4>;:k4`7<72-93<79k4:l03c<532c57e68j61a28807d9l0;29 6>72>n?7c=8f;30?>o0jl0;6)=70;5g0>h4?o0:865f7cf94?"4090hh6=4+39293a28:9j3g?=83.84=48d59m72`=9010e:l7:18'7=6=?m>0b>9i:0c8?l1e?3:1(>6?:6f7?k50n3;i76g8b783>!5?83=o86`<7g82g>=n?k?1<7*<8184`1=i;>l1=i54i6f`>5<#;1:1;i:4n25e>4c<3`=on7>5$2:3>2b33g957e68j61a2;807d9k7;29 6>72>n?7c=8f;00?>o0l?0;6)=70;5g0>h4?o09865f7bc94?"4090hm6=4+39293a27m2d8;k4?;:k;4a<72-93<76?e:l03c<632c37m2d8;k4=;:k;4g<72-93<76?e:l03c<432c37m2d8;k4;;:k;4<<72-93<76?e:l03c<232c3<54?:%1;4?>7m2d8;k49;:k;42<72-93<76?e:l03c<032c3<;4?:%1;4?>7m2d8;k47;:k;40<72-93<76?e:l03c<>32c3<>4?:%1;4?>7m2d8;k4n;:k;47<72-93<76?e:l03c7m2d8;k4l;:k;45<72-93<76?e:l03c7m2d8;k4j;:k4b`<72-93<76?e:l03c7m2d8;k4>0:9j3ce=83.84=470d9m72`=9810e:hm:18'7=6=09o0b>9i:008?l1ai3:1(>6?:92f?k50n3;876g8f983>!5?832;i6`<7g820>=n?o=1<7*<818;4`=i;>l1=854i6d5>5<#;1:14=k4n25e>40<3`=m97>5$2:3>=6b3g9581g8j61a28k07d9i1;29 6>721:n7c=8f;3a?>o0n90;6)=70;:3a>h4?o0:o65f7dd94?"40903j;o14b?7a32c3=94?:%1;4?>7m2d8;k4=0:9j<45=83.84=470d9m72`=:810e5?=:18'7=6=09o0b>9i:308?l>693:1(>6?:92f?k50n38876g71183>!5?832;i6`<7g810>=n09>1<7*<818;4`=i;>l1>854i6d:>5<#;1:14=k4n25e>70<3`=ni7>5$2:3>=6b3g9302d8;k4>;:k;03<72-93<76;8:l03c<532c3884?:%1;4?>302d8;k4<;:k;01<72-93<76;8:l03c<332c38>4?:%1;4?>302d8;k4:;:k;07<72-93<76;8:l03c<132c38<4?:%1;4?>302d8;k48;:k;05<72-93<76;8:l03c302d8;k46;:k;7a<72-93<76;8:l03c302d8;k4m;:k;7g<72-93<76;8:l03c302d8;k4k;:k;7<<72-93<76;8:l03c302d8;k4i;:k;72<72-93<76;8:l03c<6821b4>850;&0<5585:8j61a28807d6<4;29 6>721>37c=8f;30?>o?;;0;6)=70;:7<>h4?o0:865f82394?"40903855a36d950=h4?:%1;4?>302d8;k4>8:9j<7b=83.84=47499m72`=9010e59i:0c8?l>5j3:1(>6?:96;?k50n3;i76g72`83>!5?832?46`<7g82g>=n0;31<7*<818;0==i;>l1=i54i973>5<#;1:14964n25e>4c<3`2?j7>5$2:3>=2?3g9585:8j61a2;807d6;b;29 6>721>37c=8f;00?>o?h4?o09865f82g94?"40903855a36d960=4?:%1;4?>?:2d8;k4?;:k;<4<72-93<7672:l03c<632c34=4?:%1;4?>?:2d8;k4=;:k;3c<72-93<7672:l03c<432c3;h4?:%1;4?>?:2d8;k4;;:k;3a<72-93<7672:l03c<232c3;n4?:%1;4?>?:2d8;k49;:k;3g<72-93<7672:l03c<032c3;l4?:%1;4?>?:2d8;k47;:k;3<<72-93<7672:l03c<>32c3;:4?:%1;4?>?:2d8;k4n;:k;33<72-93<7672:l03c?:2d8;k4l;:k;31<72-93<7672:l03c4?:%1;4?>?:2d8;k4j;:k;37<72-93<7672:l03c?:2d8;k4>0:9j<26=83.84=47839m72`=9810e58i:18'7=6=0180b>9i:008?l>1m3:1(>6?:9:1?k50n3;876g76b83>!5?8323>6`<7g820>=n0?h1<7*<818;<7=i;>l1=854i94b>5<#;1:145<4n25e>40<3`2=57>5$2:3>=>53g958908j61a28k07d695;29 6>721297c=8f;3a?>o?>=0;6)=70;:;6>h4?o0:o65f87194?"409034?5a36d95a=?:2d8;k4=0:9j<=1=83.84=47839m72`=:810e569:18'7=6=0180b>9i:308?l>?=3:1(>6?:9:1?k50n38876g78583>!5?8323>6`<7g810>=n0>21<7*<818;<7=i;>l1>854i94g>5<#;1:145<4n25e>70<3`2=>7>5$2:3>=>53g9ek2d8;k4>;:k;fd<72-93<76mc:l03c<532c3n44?:%1;4?>ek2d8;k4<;:k;f=<72-93<76mc:l03c<332c3n:4?:%1;4?>ek2d8;k4:;:k;f3<72-93<76mc:l03c<132c3n84?:%1;4?>ek2d8;k48;:k;f1<72-93<76mc:l03c4?:%1;4?>ek2d8;k46;:k;f4<72-93<76mc:l03cek2d8;k4m;:k;ec<72-93<76mc:l03cek2d8;k4k;:k;ea<72-93<76mc:l03cek2d8;k4i;:k;eg<72-93<76mc:l03c<6821b4lo50;&0<5290/?5>58ca8j61a28807d6n8;29 6>721hh7c=8f;30?>o?i?0;6)=70;:ag>h4?o0:865f8`794?"40903nn5a36d950=ek2d8;k4>8:9j9i:0c8?l>>n3:1(>6?:9``?k50n3;i76g79d83>!5?832io6`<7g82g>=n00n1<7*<818;ff=i;>l1=i54i9a7>5<#;1:14om4n25e>4c<3`2h?7>5$2:3>=dd3g958ca8j61a2;807d6mf;29 6>721hh7c=8f;00?>o?jl0;6)=70;:ag>h4?o09865f8c094?"40903nn5a36d960=;:m534<72-93<7883:l03c<532e=;=4?:%1;4?00;2d8;k4<;:m52c<72-93<7883:l03c<332e=:>4?:%1;4?01:2d8;k4?;:m524<72-93<7892:l03c<632e=:n4?:%1;4?01:2d8;k4=;:m52g<72-93<7892:l03c<432e=:l4?:%1;4?01:2d8;k4;;:m52<<72-93<7892:l03c<232e=:54?:%1;4?01:2d8;k49;:m522<72-93<7892:l03c<032e=:;4?:%1;4?01:2d8;k47;:m520<72-93<7892:l03c<>32e=:94?:%1;4?01:2d8;k4n;:m525<72-93<7892:l03c92d8;k4?;:m4=5<72-93<7961:l03c<632e<4k4?:%1;4?1>92d8;k4=;:m4<`<72-93<7961:l03c<432e<4i4?:%1;4?1>92d8;k4;;:m492d8;k49;:m44?::m:g3<722h?8k4?:083>5}#<=>18994H56a?j50j3:17pl;4b83>4<729q/89:523c8L12e3f8957>5;|`:g3<72<0;6=u+4569676<@=>i7A:;e;3x 1572j30qdm9:188mfb=831bi>4?::k0e`<722e8mi4?::a=c6=83>1<7>t$567>77b3A>?n6B;4e82!55;3:0qA:;e;3x 1572j30qdm9:188mfb=831bi>4?::m0ea<722wi;;=50;494?6|,=>?6?<=;I67f>J3t$567>7453A>?n6B;4e82!55;3:0qA:;e;3x 1572j30qdm9:188mfb=831bi>4?::k0e`<722c?>o4?::m0ea<722wi;;;50;494?6|,=>?6?<=;I67f>J3t$567>7453A>?n6B;4e82!55;3:0qA:;e;3x 1572j30qdm9:188mfb=831bi>4?::k0e`<722c?>o4?::m0ea<722wi;;950;494?6|,=>?6?<=;I67f>J3=83<1<7>t$567>7453A>?n6B;4e82!55;3:0qA:;e;3x 1572j30qdm9:188mfb=831bi>4?::k0e`<722c?>o4?::m0ea<722wi;?6?J3?6?J3?6?J3?6??i;I67f>J3oj:188k6gc2900qo6:c;290?6=8r.?894=1g9K01do6{K<=o1=v*;318`=>{nk?0;66gj3;29?l5fm3:17b=nd;29?xd?=m0;694?:1y'012=:8l0D9:m;M67`?7|,:886=5rL56f>4}#<::1o45rib494?=nm:0;66g>i4im0;66sm84g94?2=83:p(9:;:33e?M23j2F?8i4>{%117?6?i7?t$513>f?>4?;|N70`<6s->8<7m6;|k`2?6=3`o86=44i2cf>5<5;n1b`?6=3th3:<4?:583>5}#<=>1><<:19~H12b28q/8>>5c89~mf0=831bi>4?::k0e`<722e8mi4?::a3`0=83>1<7>t$567>77a3A>?n6B;4e82!55;3:0qA:;e;3x 1572j30qdm9:188m`5=831b?lk50;9l7db=831vn:k8:187>5<7s->?87<>f:J70g=K<=n1=v*<2283?xJ33:17dk<:188m6gb2900c>ok:188yg1b03:187>50z&701<59o1C89l4L56g>4}#;;91<6sC45g95~"3;90h56sfc783>>ob;3:17d=ne;29?j5fl3:17pl8e883>1<729q/89:520d8L12e3E>?h7?t$200>5=zD=>n6>o4il0;66a>{e?lk1<7:50;2x 1232;;m7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6n74}ha5>5<5<3290;w):;4;02b>N3{%604?e>3tch:7>5;hg0>5<5<54;294~"3<=09=k5G45`8H12c28q/??=50:O01c=9r.??=4l9:jg3<722cn?7>5;h1ba?6=3f9jh7>5;|`4aa<72=0;6=u+456964`<@=>i7A:;d;3x 644291v@9:j:0y'066=k01ven850;9ja6<722c8mh4?::m0ea<722wi4?>50;694?6|,=>?6??i;I67f>J3oj:188k6gc2900qo6=2;290?6=8r.?894=1g9K01do6{K<=o1=v*;318`=>{nk?0;66gj3;29?l5fm3:17b=nd;29?xd?::0;694?:1y'012=:8l0D9:m;M67`?7|,:886=5rL56f>4}#<::1o45rib494?=nm:0;66g>i4im0;66sm83694?2=83:p(9:;:33e?M23j2F?8i4>{%117?6?i7?t$513>f?6=4;:183!23<38:j6F;4c9O01b=9r.8>>4?;|N70`<6s->8<7m6;|k`2?6=3`o86=44i2cf>5<5;n1b`?6=3th3>:4?:583>5}#<=>1><<:19~H12b28q/8>>5c89~mf0=831bi>4?::k0e`<722e8mi4?::a<<2=83>1<7>t$567>77a3A>?n6B;4e82!55;3:0qA:;e;3x 1572j30qdm9:188m`5=831b?lk50;9l7db=831vn57::187>5<7s->?87<>f:J70g=K<=n1=v*<2283?xJ33:17dk<:188m6gb2900c>ok:188yg>>>3:187>50z&701<59o1C89l4L56g>4}#;;91<6sC45g95~"3;90h56sfc783>>ob;3:17d=ne;29?j5fl3:17pl79683>1<729q/89:520d8L12e3E>?h7?t$200>5=zD=>n6>o4il0;66a>{e0021<7:50;2x 1232;;m7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6n74}ha5>5<5<3290;w):;4;02b>N3{%604?e>3tch:7>5;hg0>5<5<54;294~"3<=09=k5G45`8H12c28q/??=50:O01c=9r.??=4l9:jg3<722cn?7>5;h1ba?6=3f9jh7>5;|`;=g<72=0;6=u+456964`<@=>i7A:;d;3x 644291v@9:j:0y'066=k01ven850;9ja6<722c8mh4?::m0ea<722wi4nk50;694?6|,=>?6??i;I67f>J3oj:188k6gc2900qo6k0;290?6=8r.?894=1g9K01do6{K<=o1=v*;318`=>{nk?0;66gj3;29?l5fm3:17b=nd;29?xd?l80;694?:1y'012=:8l0D9:m;M67`?7|,:886=5rL56f>4}#<::1o45rib494?=nm:0;66g>i4im0;66sm8e094?2=83:p(9:;:33e?M23j2F?8i4>{%117?6?i7?t$513>f?>4?;|N70`<6s->8<7m6;|k`2?6=3`o86=44i2cf>5<5;n1b`?6=3th3h84?:583>5}#<=>1><<:19~H12b28q/8>>5c89~mf0=831bi>4?::k0e`<722e8mi4?::a=45=83;<6=4?{M67a?0|,:h:64>;;o012?773g89;7?l;%011?01l2.??=4l9:l774<112w/89:59018m31f2900e;97:188m3102900e;99:188m6>f2900eh<50;9j06b=831d5<650;9l=40=831d5<;50;9l=42=831d?=l50;9l75e=831d?=j50;9l7<4=831d?4=50;9l7<2=831d94?50;9l1<6=831d95h50;9l1=c=831d95j50;9l1=e=831vn;9::1824?6=8rF?8h49{%1a5??7<2d9>;4m;o013?723-899789d:&775>?0e;9m:188m66d2900e;9l:188m66c2900e;9k:188m6?52900e;9j:188m6?42900e;6?:188m6?32900eh<50;9j06b=831d::o50;9l22>=831d::950;9l220=831vn>88:1877?6=8rF?8h47{%1a5??702.84<4jf:&0<0<3;l1/?5:53g;8j14a2>1e89758:&775d4=>=0j?7sff083>!5?83;:m6`<7g84?>oal3:1(>6?:03b?k50n3<07d??a;29 6>728;j7c=8f;78?l76>3:1(>6?:03b?k50n3>07d?>7;29 6>728;j7c=8f;18?l7603:1(>6?:03b?k50n3807d?>9;29 6>728;j7c=8f;38?l76j3:1(>6?:03b?k50n3:07d?>f;29 6>728>37c=8f;58?l75j3:1(>6?:06;?k50n3<07d?<8;29 6>728>37c=8f;78?l73<3:1(>6?:06;?k50n3>07d?;5;29 6>728>37c=8f;18?l73>3:1(>6?:06;?k50n3807d?;7;29 6>728>37c=8f;38?l7313:1(>6?:06;?k50n3:07d?;c;29 6>728<97c=8f;58?l72?3:1(>6?:041?k50n3<07d?:d;29 6>728<97c=8f;78?l72m3:1(>6?:041?k50n3>07d?:f;29 6>728<97c=8f;18?l7183:1(>6?:041?k50n3807d?91;29 6>728<97c=8f;38?l71;3:1(>6?:041?k50n3:07d?96;29 6>728=h7c=8f;58?l7093:1(>6?:05`?k50n3<07d?87;29 6>728=h7c=8f;78?l7003:1(>6?:05`?k50n3>07d?89;29 6>728=h7c=8f;18?l70i3:1(>6?:05`?k50n3807d?8b;29 6>728=h7c=8f;38?l70l3:1(>6?:05`?k50n3:07d?70;29 6>7283=7c=8f;58?l7?j3:1(>6?:0;5?k50n3<07d?61;29 6>7283=7c=8f;78?l7>:3:1(>6?:0;5?k50n3>07d?63;29 6>7283=7c=8f;18?l7><3:1(>6?:0;5?k50n3807d?65;29 6>7283=7c=8f;38?l7>?3:1(>6?:0;5?k50n3:07d?6a;29 6>728h;7c=8f;58?l7f=3:1(>6?:0`3?k50n3<07d?nb;29 6>728h;7c=8f;78?l7fk3:1(>6?:0`3?k50n3>07d?nd;29 6>728h;7c=8f;18?l7fm3:1(>6?:0`3?k50n3807d?nf;29 6>728h;7c=8f;38?l7e93:1(>6?:0`3?k50n3:07d?m4;29 6>728ij7c=8f;58?l7en3:1(>6?:0ab?k50n3<07d?l5;29 6>728ij7c=8f;78?l7d>3:1(>6?:0ab?k50n3>07d?l7;29 6>728ij7c=8f;18?l7d03:1(>6?:0ab?k50n3807d?l9;29 6>728ij7c=8f;38?l7dj3:1(>6?:0ab?k50n3:07d:;0;29 6>72=9m7c=8f;28?l2393:1(>6?:51e?k50n3;07d:;2;29 6>72=9m7c=8f;08?j5ai3:1(>6?:505?k50n3=07b:?8;29 6>72=8=7c=8f;48?j26=3:1(>6?:505?k50n3?07b:=1;29 6>72=8=7c=8f;68?j25:3:1(>6?:505?k50n3907b:=4;29 6>72=8=7c=8f;08?j25=3:1(>6?:505?k50n3;07b:=7;29 6>72=8=7c=8f;28?xd4>10;69=50;2xH12b21q/?o?591:8 6>62ll0(>6::51f?!5?<39m56`;2g84?k231320(9=?:b;8j1562;9?7p*;45802==]k<02w4m59e8:a??a2h:1m<4n2;47>d5=u`l:6=4+392954g=n99k1<7*<81825d=i;>l1965f10494?"4090:=l5a36d90>=n98=1<7*<81825d=i;>l1?65f10:94?"4090:=l5a36d96>=n9831<7*<81825d=i;>l1=65f10`94?"4090:=l5a36d94>=n98l1<7*<81820==i;>l1;65f13`94?"4090:855a36d92>=n9:21<7*<81820==i;>l1965f15694?"4090:855a36d90>=n9=?1<7*<81820==i;>l1?65f15494?"4090:855a36d96>=n9==1<7*<81820==i;>l1=65f15;94?"4090:855a36d94>=n9=i1<7*<818227=i;>l1;65f14594?"4090::?5a36d92>=n9l1965f14g94?"4090::?5a36d90>=n9l1?65f17294?"4090::?5a36d96>=n9?;1<7*<818227=i;>l1=65f17194?"4090::?5a36d94>=n9?<1<7*<81823f=i;>l1;65f16394?"4090:;n5a36d92>=n9>=1<7*<81823f=i;>l1965f16:94?"4090:;n5a36d90>=n9>31<7*<81823f=i;>l1?65f16c94?"4090:;n5a36d96>=n9>h1<7*<81823f=i;>l1=65f16f94?"4090:;n5a36d94>=n91:1<7*<8182=3=i;>l1;65f19`94?"4090:5;5a36d92>=n90;1<7*<8182=3=i;>l1965f18094?"4090:5;5a36d90>=n9091<7*<8182=3=i;>l1?65f18694?"4090:5;5a36d96>=n90?1<7*<8182=3=i;>l1=65f18594?"4090:5;5a36d94>=n90k1<7*<8182f5=i;>l1;65f1`794?"4090:n=5a36d92>=n9hh1<7*<8182f5=i;>l1965f1`a94?"4090:n=5a36d90>=n9hn1<7*<8182f5=i;>l1?65f1`g94?"4090:n=5a36d96>=n9hl1<7*<8182f5=i;>l1=65f1c394?"4090:n=5a36d94>=n9k>1<7*<8182gd=i;>l1;65f1cd94?"4090:ol5a36d92>=n9j?1<7*<8182gd=i;>l1965f1b494?"4090:ol5a36d90>=n9j=1<7*<8182gd=i;>l1?65f1b:94?"4090:ol5a36d96>=n9j31<7*<8182gd=i;>l1=65f1b`94?"4090:ol5a36d94>=n<=:1<7*<81877c=i;>l1<65f45394?"4090??k5a36d95>=n<=81<7*<81877c=i;>l1>65`3gc94?"4090?>;5a36d93>=h<921<7*<818763=i;>l1:65`40794?"4090?>;5a36d91>=h<;;1<7*<818763=i;>l1865`43094?"4090?>;5a36d97>=h<;>1<7*<818763=i;>l1>65`43794?"4090?>;5a36d95>=h<;=1<7*<818763=i;>l1<65rb24:>5<3;3:1"40<0??h5+39697c?"3;90h56`;30810f=z,=>?6>86;[a6><}>k33o64k59g8b4?g62h81:94n3;jb4<72-93<7?>a:l03c<032cmh7>5$2:3>47f3g95$2:3>47f3g95$2:3>47f3g95$2:3>47f3g94;h32b?6=,:2;6<:7;o14b?1<3`;9n7>5$2:3>42?3g95$2:3>42?3g95$2:3>42?3g95$2:3>42?3g94;h37g?6=,:2;6<8=;o14b?1<3`;>;7>5$2:3>4053g9i7>5$2:3>4053g95$2:3>4053g95$2:3>4053g94;h352?6=,:2;6<9l;o14b?1<3`;<=7>5$2:3>41d3g95$2:3>41d3g95$2:3>41d3g95$2:3>41d3g94;h3;4?6=,:2;6<79;o14b?1<3`;3n7>5$2:3>4?13g97>5$2:3>4?13g95$2:3>4?13g95$2:3>4?13g94;h3:e?6=,:2;65$2:3>4d73g95$2:3>4d73g95$2:3>4d73g95$2:3>4d73g94;h3a0?6=,:2;65$2:3>4ef3g95$2:3>4ef3g95$2:3>4ef3g95$2:3>4ef3g94;h674?6=,:2;69=i;o14b?6<3`>?=7>5$2:3>15a3g95$2:3>1413g9:97>5$2:3>1413g99>7>5$2:3>1413g9997>5$2:3>1413g9n65u+3c39=5><,:2:6hh4$2:6>15b3-9387=i9:l76c<03g>?5764$513>f?h1Qo846{8a9=a<>m33m6l>5a08b6?032h91qdh>:18'7=6=98k0b>9i:698mcb=83.84=4>1`9m72`=>21b==o50;&0<5<69h1e?:h55:9j540=83.84=4>1`9m72`=<21b=<950;&0<5<69h1e?:h53:9j54>=83.84=4>1`9m72`=:21b=<750;&0<5<69h1e?:h51:9j54d=83.84=4>1`9m72`=821b=499m72`=>21b=>650;&0<5<6<11e?:h55:9j512=83.84=4>499m72`=<21b=9;50;&0<5<6<11e?:h53:9j510=83.84=4>499m72`=:21b=9950;&0<5<6<11e?:h51:9j51?=83.84=4>499m72`=821b=9m50;&0<5<6>;1e?:h57:9j501=83.84=4>639m72`=>21b=8j50;&0<5<6>;1e?:h55:9j50c=83.84=4>639m72`=<21b=8h50;&0<5<6>;1e?:h53:9j536=83.84=4>639m72`=:21b=;?50;&0<5<6>;1e?:h51:9j535=83.84=4>639m72`=821b=;850;&0<5<6?j1e?:h57:9j527=83.84=4>7b9m72`=>21b=:950;&0<5<6?j1e?:h55:9j52>=83.84=4>7b9m72`=<21b=:750;&0<5<6?j1e?:h53:9j52g=83.84=4>7b9m72`=:21b=:l50;&0<5<6?j1e?:h51:9j52b=83.84=4>7b9m72`=821b=5>50;&0<5<61?1e?:h57:9j5=d=83.84=4>979m72`=>21b=4?50;&0<5<61?1e?:h55:9j5<4=83.84=4>979m72`=<21b=4=50;&0<5<61?1e?:h53:9j5<2=83.84=4>979m72`=:21b=4;50;&0<5<61?1e?:h51:9j5<1=83.84=4>979m72`=821b=4o50;&0<5<6j91e?:h57:9j5d3=83.84=4>b19m72`=>21b=ll50;&0<5<6j91e?:h55:9j5de=83.84=4>b19m72`=<21b=lj50;&0<5<6j91e?:h53:9j5dc=83.84=4>b19m72`=:21b=lh50;&0<5<6j91e?:h51:9j5g7=83.84=4>b19m72`=821b=o:50;&0<5<6kh1e?:h57:9j5g`=83.84=4>c`9m72`=>21b=n;50;&0<5<6kh1e?:h55:9j5f0=83.84=4>c`9m72`=<21b=n950;&0<5<6kh1e?:h53:9j5f>=83.84=4>c`9m72`=:21b=n750;&0<5<6kh1e?:h51:9j5fd=83.84=4>c`9m72`=821b89>50;&0<5<3;o1e?:h50:9j017=83.84=4;3g9m72`=921b89<50;&0<5<3;o1e?:h52:9l7cg=83.84=4;279m72`=?21d8=650;&0<5<3:?1e?:h56:9l043=83.84=4;279m72`==21d8??50;&0<5<3:?1e?:h54:9l074=83.84=4;279m72`=;21d8?:50;&0<5<3:?1e?:h52:9l073=83.84=4;279m72`=921d8?950;&0<5<3:?1e?:h50:9~f60e290??7>50zN70`h4?o0<76gid;29 6>728;j7c=8f;48?l77i3:1(>6?:03b?k50n3?07d?>6;29 6>728;j7c=8f;68?l76?3:1(>6?:03b?k50n3907d?>8;29 6>728;j7c=8f;08?l7613:1(>6?:03b?k50n3;07d?>b;29 6>728;j7c=8f;28?l76n3:1(>6?:06;?k50n3=07d?=b;29 6>728>37c=8f;48?l7403:1(>6?:06;?k50n3?07d?;4;29 6>728>37c=8f;68?l73=3:1(>6?:06;?k50n3907d?;6;29 6>728>37c=8f;08?l73?3:1(>6?:06;?k50n3;07d?;9;29 6>728>37c=8f;28?l73k3:1(>6?:041?k50n3=07d?:7;29 6>728<97c=8f;48?l72l3:1(>6?:041?k50n3?07d?:e;29 6>728<97c=8f;68?l72n3:1(>6?:041?k50n3907d?90;29 6>728<97c=8f;08?l7193:1(>6?:041?k50n3;07d?93;29 6>728<97c=8f;28?l71>3:1(>6?:05`?k50n3=07d?81;29 6>728=h7c=8f;48?l70?3:1(>6?:05`?k50n3?07d?88;29 6>728=h7c=8f;68?l7013:1(>6?:05`?k50n3907d?8a;29 6>728=h7c=8f;08?l70j3:1(>6?:05`?k50n3;07d?8d;29 6>728=h7c=8f;28?l7?83:1(>6?:0;5?k50n3=07d?7b;29 6>7283=7c=8f;48?l7>93:1(>6?:0;5?k50n3?07d?62;29 6>7283=7c=8f;68?l7>;3:1(>6?:0;5?k50n3907d?64;29 6>7283=7c=8f;08?l7>=3:1(>6?:0;5?k50n3;07d?67;29 6>7283=7c=8f;28?l7>i3:1(>6?:0`3?k50n3=07d?n5;29 6>728h;7c=8f;48?l7fj3:1(>6?:0`3?k50n3?07d?nc;29 6>728h;7c=8f;68?l7fl3:1(>6?:0`3?k50n3907d?ne;29 6>728h;7c=8f;08?l7fn3:1(>6?:0`3?k50n3;07d?m1;29 6>728h;7c=8f;28?l7e<3:1(>6?:0ab?k50n3=07d?mf;29 6>728ij7c=8f;48?l7d=3:1(>6?:0ab?k50n3?07d?l6;29 6>728ij7c=8f;68?l7d?3:1(>6?:0ab?k50n3907d?l8;29 6>728ij7c=8f;08?l7d13:1(>6?:0ab?k50n3;07d?lb;29 6>728ij7c=8f;28?l2383:1(>6?:51e?k50n3:07d:;1;29 6>72=9m7c=8f;38?l23:3:1(>6?:51e?k50n3807b=ia;29 6>72=8=7c=8f;58?j2703:1(>6?:505?k50n3<07b:>5;29 6>72=8=7c=8f;78?j2593:1(>6?:505?k50n3>07b:=2;29 6>72=8=7c=8f;18?j25<3:1(>6?:505?k50n3807b:=5;29 6>72=8=7c=8f;38?j25?3:1(>6?:505?k50n3:07pl<5b83>4?=83:p@9:j:9y'7g7=1920(>6>:dd8 6>22=9n7)=74;1e=>h3:o097c:;9;:8 1572j30b9=>:558y!23<39>o6Tl5;1x=f<>l3k86pgi1;29 6>728;j7c=8f;58?l`c290/?5>510c8j61a2?10e<>n:18'7=6=98k0b>9i:498m471290/?5>510c8j61a2=10e9i:298m47?290/?5>510c8j61a2;10e9i:098m47e290/?5>510c8j61a2910e9i:698m44e290/?5>515:8j61a2?10e<=7:18'7=6=9=20b>9i:498m423290/?5>515:8j61a2=10e<:::18'7=6=9=20b>9i:298m421290/?5>515:8j61a2;10e<:8:18'7=6=9=20b>9i:098m42>290/?5>515:8j61a2910e9:?:188k6`f290/?5>54348j61a2>10c9>7:18'7=6=<;<0b>9i:798k172290/?5>54348j61a2<10c9<>:18'7=6=<;<0b>9i:598k145290/?5>54348j61a2:10c9<;:18'7=6=<;<0b>9i:398k142290/?5>54348j61a2810c9<8:18'7=6=<;<0b>9i:198yg52l3:1=44?:1yO01c=0r.8n<46099'7=7=mo1/?5;542g8 6>32:l27c:=f;08j12>211/8>>5c89m067=<>1v(9:;:27g?_e22:q2o77k:`19yl`6290/?5>510c8j61a2>10ekj50;&0<5<69h1e?:h56:9j55g=83.84=4>1`9m72`==21b=<850;&0<5<69h1e?:h54:9j541=83.84=4>1`9m72`=;21b=<650;&0<5<69h1e?:h52:9j54?=83.84=4>1`9m72`=921b=499m72`=?21b=?l50;&0<5<6<11e?:h56:9j56>=83.84=4>499m72`==21b=9:50;&0<5<6<11e?:h54:9j513=83.84=4>499m72`=;21b=9850;&0<5<6<11e?:h52:9j511=83.84=4>499m72`=921b=9750;&0<5<6<11e?:h50:9j016=831d?ko50;&0<5<3:?1e?:h57:9l05>=83.84=4;279m72`=>21d8<;50;&0<5<3:?1e?:h55:9l077=83.84=4;279m72`=<21d8?<50;&0<5<3:?1e?:h53:9l072=83.84=4;279m72`=:21d8?;50;&0<5<3:?1e?:h51:9l071=83.84=4;279m72`=821vn>;j:182=?6=8rF?8h47{%1a5??702.84<4jf:&0<0<3;l1/?5:53g;8j14a2;1e89758:&775a:l03c<132c:a:l03c<332c:=:4?:%1;4?76i2d8;k4<;:k25=<72-93<7?>a:l03c<532c:=44?:%1;4?76i2d8;k4>;:k25g<72-93<7?>a:l03c<732c:=k4?:%1;4?7302d8;k48;:k26g<72-93<7?;8:l03c<132c:?54?:%1;4?7302d8;k4:;:k201<72-93<7?;8:l03c<332c:884?:%1;4?7302d8;k4<;:k203<72-93<7?;8:l03c<532c:8:4?:%1;4?7302d8;k4>;:k20<<72-93<7?;8:l03c<732c?8=4?::m0bd<72-93<7:=6:l03c<032e?<54?:%1;4?25>2d8;k49;:m750<72-93<7:=6:l03c<232e?><4?:%1;4?25>2d8;k4;;:m767<72-93<7:=6:l03c<432e?>94?:%1;4?25>2d8;k4=;:m760<72-93<7:=6:l03c<632e?>:4?:%1;4?25>2d8;k4?;:a70`=83;26=4?{M67a?>|,:h:64>7;%1;5?ca3-9397:8<7m6;o605?203t.?894<5g9Yg0<4s0i15i4n3;jb4<72-93<7?>a:l03c<032cmh7>5$2:3>47f3g95$2:3>47f3g95$2:3>47f3g95$2:3>47f3g94;h32b?6=,:2;6<:7;o14b?1<3`;9n7>5$2:3>42?3g95$2:3>42?3g95$2:3>42?3g95$2:3>42?3g94;h674?6=3f9mm7>5$2:3>1413g9:97>5$2:3>1413g99>7>5$2:3>1413g9997>5$2:3>1413g9n65u+3c39=5><,:2:6hh4$2:6>15b3-9387=i9:l76c<53g>?5764$513>f?5$2:3>47f3g95<#;1:1=3=5<#;1:1=1=54i03;>5<#;1:1=7=5<#;1:1=5=5<#;1:1=964n25e>3=5<#;1:1=964n25e>1=>6=4+392951>54i065>5<#;1:1=964n25e>7=<6=4+392951>5<#;1:1=964n25e>5=;6=44o2db>5<#;1:18?84n25e>2=5<#;1:18?84n25e>0=5<#;1:18?84n25e>6=5<#;1:18?84n25e>4=k4$2:7>6`>3g>9j7<4n56:>==#<::1o45a423902=z,=>?6>8=;[a6>6}>k33o6l=5}hd2>5<#;1:1=2=l1:65f11c94?"4090:=l5a36d91>=n98<1<7*<81825d=i;>l1865f10594?"4090:=l5a36d97>=n9821<7*<81825d=i;>l1>65f10;94?"4090:=l5a36d95>=n98h1<7*<81825d=i;>l1<65f10d94?"4090:855a36d93>=n9;h1<7*<81820==i;>l1:65f12:94?"4090:855a36d91>=n9=>1<7*<81820==i;>l1865f15794?"4090:855a36d97>=n9=<1<7*<81820==i;>l1>65f15594?"4090:855a36d95>=n9=31<7*<81820==i;>l1<65f45294?=h;ok1<7*<818763=i;>l1;65`41:94?"4090?>;5a36d92>=h<8?1<7*<818763=i;>l1965`43394?"4090?>;5a36d90>=h<;81<7*<818763=i;>l1?65`43694?"4090?>;5a36d96>=h<;?1<7*<818763=i;>l1=65`43594?"4090?>;5a36d94>=zj:<86=4>9;294~J3"4080nj6*<84877`=#;1>1?k74n50e>7=i<=3146*;318`=>h3;80?;6s+4569735l1;65ffe83>!5?83;:m6`<7g85?>o68h0;6)=70;32e>h4?o0>76g>1783>!5?83;:m6`<7g87?>o69>0;6)=70;32e>h4?o0876g>1983>!5?83;:m6`<7g81?>o6900;6)=70;32e>h4?o0:76g>1c83>!5?83;:m6`<7g83?>o69o0;6)=70;37<>h4?o0<76g>2c83>!5?83;?46`<7g85?>o6;10;6)=70;37<>h4?o0>76g>4583>!5?83;?46`<7g87?>o6<<0;6)=70;37<>h4?o0876g>4783>!5?83;?46`<7g81?>o6<>0;6)=70;37<>h4?o0:76g>4883>!5?83;?46`<7g83?>o3<90;66a!5?83>9:6`<7g84?>i3810;6)=70;612>h4?o0=76a;1483>!5?83>9:6`<7g86?>i3:80;6)=70;612>h4?o0?76a;2383>!5?83>9:6`<7g80?>i3:=0;6)=70;612>h4?o0976a;2483>!5?83>9:6`<7g82?>i3:>0;6)=70;612>h4?o0;76sm35:94??=83:p@9:j:6y'7g7=>6=:0g4?!23>3<0(>6;:2d:?!23=3:0(9=?:250?k2493>o56s+456971>5<#;1:1=k;4n25e>4=5<#;1:1>=k4n25e>7=5<#;1:1>=k4n25e>5=5<#;1:18<:4n25e>4=6=4+3929042=685+39697c?<,=>>6=5+422972503wb=h650;&0<5<6n01e?:h55:9j5c2=83.84=4>f89m72`=<21b=k850;&0<5<6n01e?:h53:9j5c1=83.84=4>f89m72`=:21b=k650;&0<5<6n01e?:h51:9j5cg=83.84=4>f89m72`=821b>=?50;&0<5<59;1e?:h55:9j65b=83.84=4=139m72`=<21b>=h50;&0<5<59;1e?:h53:9j646=83.84=4=139m72`=:21b>=83.84=4;229m72`=<21d8<;50;&0<5<3::1e?:h53:9l077=83.84=4;229m72`=:21d8?<50;&0<5<3::1e?:h51:9l072=83.84=4;229m72`=821vn>8::1811?6=8rF?8h47{%1a5??702.84<4jf:&0<0<3;l1/?5:53g;8j14a2;1e8975c:&7754c=:80vek?50;&0<5d=l1565f10494?"4090m?6`<7g8;?>o69>0;6)=70;d0?k50n3=07d?>8;29 6>72o90b>9i:798m47>290/?5>5f29m72`==21b=5$2:3>c5oa<3:1(>6?:g18j61a2910e9i:c98m44e290/?5>51338j61a2h10e<=7:18'7=6=9;;0b>9i:898m423290/?5>51338j61a2110e<:::18'7=6=9;;0b>9i:698m421290/?5>51338j61a2?10e<:8:18'7=6=9;;0b>9i:498m42>290/?5>51338j61a2=10e<:n:18'7=6=9;;0b>9i:298m42e290/?5>51338j61a2;10e<9i:098m445290/?5>51338j61a2910e9:?:188k6`f290/?5>53ga8j61a2k10c9>7:18'7=6=;oi0b>9i:`98k172290/?5>53ga8j61a2010c9<>:18'7=6=;oi0b>9i:998k145290/?5>53ga8j61a2>10c9<;:18'7=6=;oi0b>9i:798k142290/?5>53ga8j61a2<10c9<8:18'7=6=;oi0b>9i:598k14?290/?5>53ga8j61a2:10c99i:398k6`e290/?5>53ga8j61a2810c>hk:18'7=6=;oi0b>9i:198yg51k3:1=44?:1yO01c=0r.8n<46099'7=7=mo1/?5;542g8 6>32:l27c:=f;08j12>211/8>>5c89m067=<>1v(9:;:24`?_e22:q2o77k:`19yl`6290/?5>510c8j61a2>10ekj50;&0<5<69h1e?:h56:9j55g=83.84=4>1`9m72`==21b=<850;&0<5<69h1e?:h54:9j541=83.84=4>1`9m72`=;21b=<650;&0<5<69h1e?:h52:9j54?=83.84=4>1`9m72`=921b=499m72`=?21b=?l50;&0<5<6<11e?:h56:9j56>=83.84=4>499m72`==21b=9:50;&0<5<6<11e?:h54:9j513=83.84=4>499m72`=;21b=9850;&0<5<6<11e?:h52:9j511=83.84=4>499m72`=921b=9750;&0<5<6<11e?:h50:9j016=831d?ko50;&0<5<3:?1e?:h57:9l05>=83.84=4;279m72`=>21d8<;50;&0<5<3:?1e?:h55:9l077=83.84=4;279m72`=<21d8?<50;&0<5<3:?1e?:h53:9l072=83.84=4;279m72`=:21d8?;50;&0<5<3:?1e?:h51:9l071=83.84=4;279m72`=821vn>;8:1811?6=8rF?8h47{%1a5??702.84<4jf:&0<0<3;l1/?5:53g;8j14a2;1e8975c:&7754c=:80vek?50;&0<5d=l1565f10494?"4090m?6`<7g8;?>o69>0;6)=70;d0?k50n3=07d?>8;29 6>72o90b>9i:798m47>290/?5>5f29m72`==21b=5$2:3>c5oa<3:1(>6?:g18j61a2910e9i:c98m44e290/?5>51338j61a2h10e<=7:18'7=6=9;;0b>9i:898m423290/?5>51338j61a2110e<:::18'7=6=9;;0b>9i:698m421290/?5>51338j61a2?10e<:8:18'7=6=9;;0b>9i:498m42>290/?5>51338j61a2=10e<:n:18'7=6=9;;0b>9i:298m42e290/?5>51338j61a2;10e<9i:098m445290/?5>51338j61a2910e9:?:188k6`f290/?5>53ga8j61a2k10c9>7:18'7=6=;oi0b>9i:`98k172290/?5>53ga8j61a2010c9<>:18'7=6=;oi0b>9i:998k145290/?5>53ga8j61a2>10c9<;:18'7=6=;oi0b>9i:798k142290/?5>53ga8j61a2<10c9<8:18'7=6=;oi0b>9i:598k14?290/?5>53ga8j61a2:10c99i:398k6`e290/?5>53ga8j61a2810c>hk:18'7=6=;oi0b>9i:198yg52j3:1>84?:1yO01c=0r.8n<46099'7=7=mo1/?5;542g8 6>32:l27c:=f;08j12>2j1/8>>5c89m067=5f29m72`=j21bji4?:%1;4?`43g9<=l1465f10594?"4090m?6`<7g84?>o6910;6)=70;d0?k50n3<07d?>9;29 6>72o90b>9i:498m47e290/?5>5f29m72`=<21b=l1=65ff583>!5?83l87c=8f;28?l76n3:1(>6?:002?k50n3h07d?=b;29 6>7288:7c=8f;c8?l7403:1(>6?:002?k50n3307d?;4;29 6>7288:7c=8f;:8?l73=3:1(>6?:002?k50n3=07d?;6;29 6>7288:7c=8f;48?l73?3:1(>6?:002?k50n3?07d?;9;29 6>7288:7c=8f;68?l73i3:1(>6?:002?k50n3907d?;b;29 6>7288:7c=8f;08?l7583:1(>6?:002?k50n3;07d?=2;29 6>7288:7c=8f;28?l2383:17b=ia;29 6>72:lh7c=8f;`8?j2703:1(>6?:2d`?k50n3k07b:>5;29 6>72:lh7c=8f;;8?j2593:1(>6?:2d`?k50n3207b:=2;29 6>72:lh7c=8f;58?j25<3:1(>6?:2d`?k50n3<07b:=5;29 6>72:lh7c=8f;78?j25?3:1(>6?:2d`?k50n3>07b:=8;29 6>72:lh7c=8f;18?j25i3:1(>6?:2d`?k50n3807b=ib;29 6>72:lh7c=8f;38?j5al3:1(>6?:2d`?k50n3:07pl<6183>4?=83:p@9:j:9y'7g7=1920(>6>:dd8 6>22=9n7)=74;1e=>h3:o097c:;9;:8 1572j30b9=>:558y!23<39=<6Tl5;1x=f<>l3k86pgi1;29 6>728;j7c=8f;58?l`c290/?5>510c8j61a2?10e<>n:18'7=6=98k0b>9i:498m471290/?5>510c8j61a2=10e9i:298m47?290/?5>510c8j61a2;10e9i:098m47e290/?5>510c8j61a2910e9i:698m44e290/?5>515:8j61a2?10e<=7:18'7=6=9=20b>9i:498m423290/?5>515:8j61a2=10e<:::18'7=6=9=20b>9i:298m421290/?5>515:8j61a2;10e<:8:18'7=6=9=20b>9i:098m42>290/?5>515:8j61a2910e9:?:188k6`f290/?5>54348j61a2>10c9>7:18'7=6=<;<0b>9i:798k172290/?5>54348j61a2<10c9<>:18'7=6=<;<0b>9i:598k145290/?5>54348j61a2:10c9<;:18'7=6=<;<0b>9i:398k142290/?5>54348j61a2810c9<8:18'7=6=<;<0b>9i:198yg51<3:1=44?:1yO01c=0r.8n<46099'7=7=mo1/?5;542g8 6>32:l27c:=f;08j12>211/8>>5c89m067=<>1v(9:;:247?_e22:q2o77k:`19yl`6290/?5>510c8j61a2>10ekj50;&0<5<69h1e?:h56:9j55g=83.84=4>1`9m72`==21b=<850;&0<5<69h1e?:h54:9j541=83.84=4>1`9m72`=;21b=<650;&0<5<69h1e?:h52:9j54?=83.84=4>1`9m72`=921b=499m72`=?21b=?l50;&0<5<6<11e?:h56:9j56>=83.84=4>499m72`==21b=9:50;&0<5<6<11e?:h54:9j513=83.84=4>499m72`=;21b=9850;&0<5<6<11e?:h52:9j511=83.84=4>499m72`=921b=9750;&0<5<6<11e?:h50:9j016=831d?ko50;&0<5<3:?1e?:h57:9l05>=83.84=4;279m72`=>21d8<;50;&0<5<3:?1e?:h55:9l077=83.84=4;279m72`=<21d8?<50;&0<5<3:?1e?:h53:9l072=83.84=4;279m72`=:21d8?;50;&0<5<3:?1e?:h51:9l071=83.84=4;279m72`=821vn>;;:1811?6=8rF?8h47{%1a5??702.84<4jf:&0<0<3;l1/?5:53g;8j14a2;1e8975c:&7750Vn;53z3g>4c=:80vek?50;&0<5d=l1565f10494?"4090m?6`<7g8;?>o69>0;6)=70;d0?k50n3=07d?>8;29 6>72o90b>9i:798m47>290/?5>5f29m72`==21b=5$2:3>c5oa<3:1(>6?:g18j61a2910e9i:c98m44e290/?5>51338j61a2h10e<=7:18'7=6=9;;0b>9i:898m423290/?5>51338j61a2110e<:::18'7=6=9;;0b>9i:698m421290/?5>51338j61a2?10e<:8:18'7=6=9;;0b>9i:498m42>290/?5>51338j61a2=10e<:n:18'7=6=9;;0b>9i:298m42e290/?5>51338j61a2;10e<9i:098m445290/?5>51338j61a2910e9:?:188k6`f290/?5>53ga8j61a2k10c9>7:18'7=6=;oi0b>9i:`98k172290/?5>53ga8j61a2010c9<>:18'7=6=;oi0b>9i:998k145290/?5>53ga8j61a2>10c9<;:18'7=6=;oi0b>9i:798k142290/?5>53ga8j61a2<10c9<8:18'7=6=;oi0b>9i:598k14?290/?5>53ga8j61a2:10c99i:398k6`e290/?5>53ga8j61a2810c>hk:18'7=6=;oi0b>9i:198yg52>3:1>84?:1yO01c=0r.8n<46099'7=7=mo1/?5;542g8 6>32:l27c:=f;08j12>2j1/8>>5c89m067=5f29m72`=j21bji4?:%1;4?`43g9<=l1465f10594?"4090m?6`<7g84?>o6910;6)=70;d0?k50n3<07d?>9;29 6>72o90b>9i:498m47e290/?5>5f29m72`=<21b=l1=65ff583>!5?83l87c=8f;28?l76n3:1(>6?:002?k50n3h07d?=b;29 6>7288:7c=8f;c8?l7403:1(>6?:002?k50n3307d?;4;29 6>7288:7c=8f;:8?l73=3:1(>6?:002?k50n3=07d?;6;29 6>7288:7c=8f;48?l73?3:1(>6?:002?k50n3?07d?;9;29 6>7288:7c=8f;68?l73i3:1(>6?:002?k50n3907d?;b;29 6>7288:7c=8f;08?l7583:1(>6?:002?k50n3;07d?=2;29 6>7288:7c=8f;28?l2383:17b=ia;29 6>72:lh7c=8f;`8?j2703:1(>6?:2d`?k50n3k07b:>5;29 6>72:lh7c=8f;;8?j2593:1(>6?:2d`?k50n3207b:=2;29 6>72:lh7c=8f;58?j25<3:1(>6?:2d`?k50n3<07b:=5;29 6>72:lh7c=8f;78?j25?3:1(>6?:2d`?k50n3>07b:=8;29 6>72:lh7c=8f;18?j25i3:1(>6?:2d`?k50n3807b=ib;29 6>72:lh7c=8f;38?j5al3:1(>6?:2d`?k50n3:07pl<5983>73=83:p@9:j:9y'7g7=1920(>6>:dd8 6>22=9n7)=74;1e=>h3:o097c:;9;a8 1572j30b9=>:548y!23<39>46Tl5;1x5a<6m38:6pgi1;29 6>72o90b>9i:c98mcb=83.84=4i3:l03c==l1;65f10:94?"4090m?6`<7g85?>o6900;6)=70;d0?k50n3?07d?>b;29 6>72o90b>9i:598m47d290/?5>5f29m72`=;21b=4=1<7*<818e7>h4?o0;76g>1g83>!5?83;9=6`<7g8a?>o6:k0;6)=70;315>h4?o0j76g>3983>!5?83;9=6`<7g8:?>o6<=0;6)=70;315>h4?o0376g>4483>!5?83;9=6`<7g84?>o6h4?o0=76g>4683>!5?83;9=6`<7g86?>o6<00;6)=70;315>h4?o0?76g>4`83>!5?83;9=6`<7g80?>o6h4?o0976g>2183>!5?83;9=6`<7g82?>o6:;0;6)=70;315>h4?o0;76g;4183>>i4nh0;6)=70;1eg>h4?o0i76a;0983>!5?839mo6`<7g8b?>i39<0;6)=70;1eg>h4?o0276a;2083>!5?839mo6`<7g8;?>i3:;0;6)=70;1eg>h4?o0<76a;2583>!5?839mo6`<7g85?>i3:<0;6)=70;1eg>h4?o0>76a;2683>!5?839mo6`<7g87?>i3:10;6)=70;1eg>h4?o0876a;2`83>!5?839mo6`<7g81?>i4nk0;6)=70;1eg>h4?o0:76a!5?839mo6`<7g83?>{e;l>:82;?!5?93om7)=75;60a>"40=08j45a43d96>h3<00h7):<0;a:?k2493>=7p*;45801d=]k<08w32c:=;4?:%1;4?`43g92=l1:65f10;94?"4090m?6`<7g86?>o69k0;6)=70;d0?k50n3>07d?>c;29 6>72o90b>9i:298m47c290/?5>5f29m72`=:21bj?4?:%1;4?`43g95<#;1:1j>5a36d94>=n98l1<7*<818264=i;>l1n65f13`94?"4090:><5a36d9e>=n9:21<7*<818264=i;>l1565f15694?"4090:><5a36d9<>=n9=?1<7*<818264=i;>l1;65f15494?"4090:><5a36d92>=n9==1<7*<818264=i;>l1965f15;94?"4090:><5a36d90>=n9=k1<7*<818264=i;>l1?65f15`94?"4090:><5a36d96>=n9;:1<7*<818264=i;>l1=65f13094?"4090:><5a36d94>=n<=:1<75`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb270>5<5=3:1"40<0??h5+39697c?"3;90h56`;30872>{#<=>1?8=4Zb797~7c28o1><4rig394?"4090m?6`<7g8a?>oal3:1(>6?:g18j61a2h10e<>n:18'7=6=n:1e?:h59:9j540=83.84=4i3:l03c3=l1965f10`94?"4090m?6`<7g87?>o69j0;6)=70;d0?k50n3907d?>d;29 6>72o90b>9i:398mc4=83.84=4i3:l03c<632cm87>5$2:3>c55<#;1:1=??4n25e>g=5<#;1:1=??4n25e><=?6=4+39295775<#;1:1=??4n25e>2==6=4+39295775<#;1:1=??4n25e>0=26=4+39295775<#;1:1=??4n25e>6=i6=4+39295775<#;1:1=??4n25e>4=5<5<#;1:1?km4n25e>d=6=4+39297ce5<#;1:1?km4n25e>==5<#;1:1?km4n25e>3=6=4+39297ce5<#;1:1?km4n25e>1=54o50b>5<#;1:1?km4n25e>7=5<#;1:1?km4n25e>5=97>52483>5}K<=o14v*h6;o61b?426n5+4229g<=i<:;18;5r$567>6323Si>6>u>d;3f>77=u`l:6=4+3929b6=i;>l1n65ffe83>!5?83l87c=8f;c8?l77i3:1(>6?:g18j61a2010e0=l1865f10a94?"4090m?6`<7g80?>o69m0;6)=70;d0?k50n3807dh=:18'7=6=n:1e?:h51:9jb1<72-93<7h<;o14b?6<3`;:j7>5$2:3>4463g9;o14b?g<3`;847>5$2:3>4463g9;o14b?><3`;?97>5$2:3>4463g9;o14b?0<3`;?;7>5$2:3>4463g9;o14b?2<3`;?m7>5$2:3>4463g9;o14b?4<3`;9<7>5$2:3>4463g9;o14b?6<3`>?<7>5;n1ee?6=,:2;6>hl;o14b?d<3f>;47>5$2:3>6`d3g9hl;o14b??<3f>9=7>5$2:3>6`d3g9hl;o14b?1<3f>987>5$2:3>6`d3g9hl;o14b?3<3f>9;7>5$2:3>6`d3g9hl;o14b?5<3f>9m7>5$2:3>6`d3g9hl;o14b?7<3f9mh7>5$2:3>6`d3g94;|`01<<72;?1<7>tL56f>=}#;k;15=64$2:2>``<,:2>69=j;%1;0?5a12d?>k4=;o67=?e<,=9;6n74n512>10?87=:9:X`1?5|9m0:i7<>:|ke5?6=,:2;6k=4n25e>g=h4?o0j76g>0`83>!5?83l87c=8f;;8?l76>3:1(>6?:g18j61a2110e=83.84=4i3:l03c<132c:=44?:%1;4?`43g91=l1?65f10f94?"4090m?6`<7g81?>oa:3:1(>6?:g18j61a2810ek:50;&0<5o4?:%1;4?7592d8;k4n;:k27=<72-93<7?=1:l03c<>32c:894?:%1;4?7592d8;k47;:k200<72-93<7?=1:l03c<032c:8;4?:%1;4?7592d8;k49;:k202<72-93<7?=1:l03c<232c:844?:%1;4?7592d8;k4;;:k20d<72-93<7?=1:l03c<432c:8o4?:%1;4?7592d8;k4=;:k265<72-93<7?=1:l03c<632c:>?4?:%1;4?7592d8;k4?;:k705<722e8jl4?:%1;4?5ak2d8;k4m;:m74=<72-93<7=ic:l03c?4?:%1;4?5ak2d8;k48;:m761<72-93<7=ic:l03c<132e?>84?:%1;4?5ak2d8;k4:;:m762<72-93<7=ic:l03c<332e?>54?:%1;4?5ak2d8;k4<;:m76d<72-93<7=ic:l03c<532e8jo4?:%1;4?5ak2d8;k4>;:m0ba<72-93<7=ic:l03c<732wi?;850;c94?6|D=>n65u+3c39=5><,:2:6hh4$2:6>15b3-9387=i9:l76c<53g>?57=4$513>f?:;|&701<4>?1Qo84<{72924<1j3wbj<4?:%1;4?7712d8;k4=;:ke`?6=,:2;6<>6;o14b?7<3`;;m7>5$2:3>46>3g94;h32b?6=,:2;6<=8;o14b?4<3`;9n7>5$2:3>4503g9?<7>5;n1ee?6=,:2;69?;;o14b?4<3f>;47>5$2:3>1733g9n65u+3c39=5><,:2:6hh4$2:6>15b3-9387=i9:l76c<53g>?57m4$513>f?5<#;1:1j>5a36d9e>=n99k1<7*<818e7>h4?o0276g>1783>!5?83l87c=8f;:8?l76?3:1(>6?:g18j61a2>10e6=l1>65ff383>!5?83l87c=8f;38?l`3290/?5>5f29m72`=821b=209m72`=i21b=>650;&0<5<6:81e?:h59:9j512=83.84=4>209m72`=021b=9;50;&0<5<6:81e?:h57:9j510=83.84=4>209m72`=>21b=9950;&0<5<6:81e?:h55:9j51?=83.84=4>209m72`=<21b=9o50;&0<5<6:81e?:h53:9j51d=83.84=4>209m72`=:21b=?>50;&0<5<6:81e?:h51:9j574=83.84=4>209m72`=821b89>50;9l7cg=83.84=4=83.84=450zN70`5f29m72`=j21bji4?:%1;4?`43g9<=l1465f10594?"4090m?6`<7g84?>o6910;6)=70;d0?k50n3<07d?>9;29 6>72o90b>9i:498m47e290/?5>5f29m72`=<21b=l1=65ff583>!5?83l87c=8f;28?l76n3:1(>6?:002?k50n3h07d?=b;29 6>7288:7c=8f;c8?l7403:1(>6?:002?k50n3307d?;4;29 6>7288:7c=8f;:8?l73=3:1(>6?:002?k50n3=07d?;6;29 6>7288:7c=8f;48?l73?3:1(>6?:002?k50n3?07d?;9;29 6>7288:7c=8f;68?l73i3:1(>6?:002?k50n3907d?;b;29 6>7288:7c=8f;08?l7583:1(>6?:002?k50n3;07d?=2;29 6>7288:7c=8f;28?l2383:17b=ia;29 6>72:lh7c=8f;`8?j2703:1(>6?:2d`?k50n3k07b:>5;29 6>72:lh7c=8f;;8?j2593:1(>6?:2d`?k50n3207b:=2;29 6>72:lh7c=8f;58?j25<3:1(>6?:2d`?k50n3<07b:=5;29 6>72:lh7c=8f;78?j25?3:1(>6?:2d`?k50n3>07b:=8;29 6>72:lh7c=8f;18?j25i3:1(>6?:2d`?k50n3807b=ib;29 6>72:lh7c=8f;38?j5al3:1(>6?:2d`?k50n3:07pl<4g83>73=83:p@9:j:9y'7g7=1920(>6>:dd8 6>22=9n7)=74;1e=>h3:o097c:;9;a8 1572j30b9=>:05a?x"3<=088k5Uc4804b=9l09=7sff083>!5?83l87c=8f;`8?l`c290/?5>5f29m72`=i21b==o50;&0<5<3`;:;7>5$2:3>c55<#;1:1j>5a36d92>=n9831<7*<818e7>h4?o0>76g>1c83>!5?83l87c=8f;68?l76k3:1(>6?:g18j61a2:10el1<65f10d94?"4090:><5a36d9f>=n9;h1<7*<818264=i;>l1m65f12:94?"4090:><5a36d9=>=n9=>1<7*<818264=i;>l1465f15794?"4090:><5a36d93>=n9=<1<7*<818264=i;>l1:65f15594?"4090:><5a36d91>=n9=31<7*<818264=i;>l1865f15c94?"4090:><5a36d97>=n9=h1<7*<818264=i;>l1>65f13294?"4090:><5a36d95>=n9;81<7*<818264=i;>l1<65f45294?=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj:?;6=4=5;294~J3"4080nj6*<84877`=#;1>1?k74n50e>7=i<=31o6*;318`=>h3;80:m?5r$567>6373Si>6>u>d;3f>77=u`l:6=4+3929b6=i;>l1n65ffe83>!5?83l87c=8f;c8?l77i3:1(>6?:g18j61a2010e0=l1865f10a94?"4090m?6`<7g80?>o69m0;6)=70;d0?k50n3807dh=:18'7=6=n:1e?:h51:9jb1<72-93<7h<;o14b?6<3`;:j7>5$2:3>4463g9;o14b?g<3`;847>5$2:3>4463g9;o14b?><3`;?97>5$2:3>4463g9;o14b?0<3`;?;7>5$2:3>4463g9;o14b?2<3`;?m7>5$2:3>4463g9;o14b?4<3`;9<7>5$2:3>4463g9;o14b?6<3`>?<7>5;n1ee?6=,:2;6>hl;o14b?d<3f>;47>5$2:3>6`d3g9hl;o14b??<3f>9=7>5$2:3>6`d3g9hl;o14b?1<3f>987>5$2:3>6`d3g9hl;o14b?3<3f>9;7>5$2:3>6`d3g9hl;o14b?5<3f>9m7>5$2:3>6`d3g9hl;o14b?7<3f9mh7>5$2:3>6`d3g94;|`014<72;?1<7>tL56f>=}#;k;15=64$2:2>``<,:2>69=j;%1;0?5a12d?>k4=;o67=?e<,=9;6n74n512>4e>3t.?894<509Yg0<4s8n1=h4=1;jb4<72-93<7h<;o14b?d<3`lo6=4+3929b6=i;>l1m65f11c94?"4090m?6`<7g8:?>o69?0;6)=70;d0?k50n3207d?>7;29 6>72o90b>9i:698m47?290/?5>5f29m72`=>21b=<750;&0<55$2:3>c554i03g>5<#;1:1j>5a36d96>=nn;0;6)=70;d0?k50n3;07dh;:18'7=6=n:1e?:h50:9j54`=83.84=4>209m72`=j21b=?l50;&0<5<6:81e?:h5a:9j56>=83.84=4>209m72`=121b=9:50;&0<5<6:81e?:h58:9j513=83.84=4>209m72`=?21b=9850;&0<5<6:81e?:h56:9j511=83.84=4>209m72`==21b=9750;&0<5<6:81e?:h54:9j51g=83.84=4>209m72`=;21b=9l50;&0<5<6:81e?:h52:9j576=83.84=4>209m72`=921b=?<50;&0<5<6:81e?:h50:9j016=831d?ko50;&0<5<4nj1e?:h5b:9l05>=83.84=421d8?;50;&0<5<4nj1e?:h55:9l071=83.84=4=;:1810?6=8rF?8h48{%1a5?02n2.84?4>e69'7=5=:9:0(>6;:2d:?!23=3:0(9=?:b;8j156288n7p*;458071=]k<08wl1n65f1g694?"4090:il5a36d9e>=n9o<1<7*<8182ad=i;>l1565f1g594?"4090:il5a36d9<>=n9o21<7*<8182ad=i;>l1;65f1gc94?"4090:il5a36d92>=n9oh1<7*<8182ad=i;>l1965f1ga94?"4090:il5a36d90>=n9on1<7*<8182ad=i;>l1?65f1gd94?"4090:il5a36d96>=n9l31<7*<8182ad=i;>l1=65f1d`94?"4090:il5a36d94>=n:9;1<7*<818146=i;>l1n65f21f94?"40909<>5a36d9e>=n:9l1<7*<818146=i;>l1565f20294?"40909<>5a36d9<>=n:8;1<7*<818146=i;>l1;65f20194?"40909<>5a36d92>=n:8>1<7*<818146=i;>l1965f20794?"40909<>5a36d90>=n:8<1<7*<818146=i;>l1?65f20:94?"40909<>5a36d96>=n:981<7*<818146=i;>l1=65f21694?"40909<>5a36d94>=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj:9=6=4=4;294~J3"40;0:i:5+3919656<,:2?6>h6;%671?6<,=9;6n74n512>4573t.?894<379Yg0<4s8l1>=4=1;j5`>=83.84=4>e`9m72`=j21b=k:50;&0<5<6mh1e?:h5a:9j5c0=83.84=4>e`9m72`=121b=k950;&0<5<6mh1e?:h58:9j5c>=83.84=4>e`9m72`=?21b=ko50;&0<5<6mh1e?:h56:9j5cd=83.84=4>e`9m72`==21b=km50;&0<5<6mh1e?:h54:9j5cb=83.84=4>e`9m72`=;21b=kh50;&0<5<6mh1e?:h52:9j5`?=83.84=4>e`9m72`=921b=hl50;&0<5<6mh1e?:h50:9j657=83.84=4=029m72`=j21b>=j50;&0<5<58:1e?:h5a:9j65`=83.84=4=029m72`=121b><>50;&0<5<58:1e?:h58:9j647=83.84=4=029m72`=?21b><=50;&0<5<58:1e?:h56:9j642=83.84=4=029m72`==21b><;50;&0<5<58:1e?:h54:9j640=83.84=4=029m72`=;21b><650;&0<5<58:1e?:h52:9j654=83.84=4=029m72`=921b>=:50;&0<5<58:1e?:h50:9l7cg=83.84=4=83.84=450zN70`<0s-9i=78:f:&0<7<6m>1/?5=52128 6>32:l27):;5;28 1572j30b9=>:076?x"3<=08?:5Uc4804`=:909=7sf1d:94?"4090:il5a36d9f>=n9o>1<7*<8182ad=i;>l1m65f1g494?"4090:il5a36d9=>=n9o=1<7*<8182ad=i;>l1465f1g:94?"4090:il5a36d93>=n9ok1<7*<8182ad=i;>l1:65f1g`94?"4090:il5a36d91>=n9oi1<7*<8182ad=i;>l1865f1gf94?"4090:il5a36d97>=n9ol1<7*<8182ad=i;>l1>65f1d;94?"4090:il5a36d95>=n9lh1<7*<8182ad=i;>l1<65f21394?"40909<>5a36d9f>=n:9n1<7*<818146=i;>l1m65f21d94?"40909<>5a36d9=>=n:8:1<7*<818146=i;>l1465f20394?"40909<>5a36d93>=n:891<7*<818146=i;>l1:65f20694?"40909<>5a36d91>=n:8?1<7*<818146=i;>l1865f20494?"40909<>5a36d97>=n:821<7*<818146=i;>l1>65f21094?"40909<>5a36d95>=n:9>1<7*<818146=i;>l1<65`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb21:>5<5<3:1j6*<8382a2=#;191>=>4$2:7>6`>3->?97>4$513>f?e`9m72`=i21b=k850;&0<5<6mh1e?:h59:9j5c1=83.84=4>e`9m72`=021b=k650;&0<5<6mh1e?:h57:9j5cg=83.84=4>e`9m72`=>21b=kl50;&0<5<6mh1e?:h55:9j5ce=83.84=4>e`9m72`=<21b=kj50;&0<5<6mh1e?:h53:9j5c`=83.84=4>e`9m72`=:21b=h750;&0<5<6mh1e?:h51:9j5`d=83.84=4>e`9m72`=821b>=?50;&0<5<58:1e?:h5b:9j65b=83.84=4=029m72`=i21b>=h50;&0<5<58:1e?:h59:9j646=83.84=4=029m72`=021b>21b><:50;&0<5<58:1e?:h55:9j643=83.84=4=029m72`=<21b><850;&0<5<58:1e?:h53:9j64>=83.84=4=029m72`=:21b>=<50;&0<5<58:1e?:h51:9j652=83.84=4=029m72`=821d?ko50;&0<5<4nj1e?:h5b:9l05>=83.84=421d8?;50;&0<5<4nj1e?:h55:9l071=83.84=4=m:1810?6=8rF?8h48{%1a5?02n2.84?4>e69'7=5=:9:0(>6;:2d:?!23=3:0(9=?:b;8j15628=h7p*;45807g=]k<08wl1n65f1g694?"4090:il5a36d9e>=n9o<1<7*<8182ad=i;>l1565f1g594?"4090:il5a36d9<>=n9o21<7*<8182ad=i;>l1;65f1gc94?"4090:il5a36d92>=n9oh1<7*<8182ad=i;>l1965f1ga94?"4090:il5a36d90>=n9on1<7*<8182ad=i;>l1?65f1gd94?"4090:il5a36d96>=n9l31<7*<8182ad=i;>l1=65f1d`94?"4090:il5a36d94>=n:9;1<7*<818146=i;>l1n65f21f94?"40909<>5a36d9e>=n:9l1<7*<818146=i;>l1565f20294?"40909<>5a36d9<>=n:8;1<7*<818146=i;>l1;65f20194?"40909<>5a36d92>=n:8>1<7*<818146=i;>l1965f20794?"40909<>5a36d90>=n:8<1<7*<818146=i;>l1?65f20:94?"40909<>5a36d96>=n:981<7*<818146=i;>l1=65f21694?"40909<>5a36d94>=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj:9o6=4=4;294~J3"40;0:i:5+3919656<,:2?6>h6;%671?6<,=9;6n74n512>41b3t.?894<3e9Yg0<4s8l1>=4=1;j5`>=83.84=4>e`9m72`=j21b=k:50;&0<5<6mh1e?:h5a:9j5c0=83.84=4>e`9m72`=121b=k950;&0<5<6mh1e?:h58:9j5c>=83.84=4>e`9m72`=?21b=ko50;&0<5<6mh1e?:h56:9j5cd=83.84=4>e`9m72`==21b=km50;&0<5<6mh1e?:h54:9j5cb=83.84=4>e`9m72`=;21b=kh50;&0<5<6mh1e?:h52:9j5`?=83.84=4>e`9m72`=921b=hl50;&0<5<6mh1e?:h50:9j657=83.84=4=029m72`=j21b>=j50;&0<5<58:1e?:h5a:9j65`=83.84=4=029m72`=121b><>50;&0<5<58:1e?:h58:9j647=83.84=4=029m72`=?21b><=50;&0<5<58:1e?:h56:9j642=83.84=4=029m72`==21b><;50;&0<5<58:1e?:h54:9j640=83.84=4=029m72`=;21b><650;&0<5<58:1e?:h52:9j654=83.84=4=029m72`=921b>=:50;&0<5<58:1e?:h50:9l7cg=83.84=4=83.84=450zN70`<0s-9i=78:f:&0<7<6m>1/?5=52128 6>32:l27):;5;28 1572j30b9=>:0c0?x"3<=08?k5Uc4804`=:909=7sf1d:94?"4090:il5a36d9f>=n9o>1<7*<8182ad=i;>l1m65f1g494?"4090:il5a36d9=>=n9o=1<7*<8182ad=i;>l1465f1g:94?"4090:il5a36d93>=n9ok1<7*<8182ad=i;>l1:65f1g`94?"4090:il5a36d91>=n9oi1<7*<8182ad=i;>l1865f1gf94?"4090:il5a36d97>=n9ol1<7*<8182ad=i;>l1>65f1d;94?"4090:il5a36d95>=n9lh1<7*<8182ad=i;>l1<65f21394?"40909<>5a36d9f>=n:9n1<7*<818146=i;>l1m65f21d94?"40909<>5a36d9=>=n:8:1<7*<818146=i;>l1465f20394?"40909<>5a36d93>=n:891<7*<818146=i;>l1:65f20694?"40909<>5a36d91>=n:8?1<7*<818146=i;>l1865f20494?"40909<>5a36d97>=n:821<7*<818146=i;>l1>65f21094?"40909<>5a36d95>=n:9>1<7*<818146=i;>l1<65`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb262>5<5<3:1j6*<8382a2=#;191>=>4$2:7>6`>3->?97>4$513>f?e`9m72`=i21b=k850;&0<5<6mh1e?:h59:9j5c1=83.84=4>e`9m72`=021b=k650;&0<5<6mh1e?:h57:9j5cg=83.84=4>e`9m72`=>21b=kl50;&0<5<6mh1e?:h55:9j5ce=83.84=4>e`9m72`=<21b=kj50;&0<5<6mh1e?:h53:9j5c`=83.84=4>e`9m72`=:21b=h750;&0<5<6mh1e?:h51:9j5`d=83.84=4>e`9m72`=821b>=?50;&0<5<58:1e?:h5b:9j65b=83.84=4=029m72`=i21b>=h50;&0<5<58:1e?:h59:9j646=83.84=4=029m72`=021b>21b><:50;&0<5<58:1e?:h55:9j643=83.84=4=029m72`=<21b><850;&0<5<58:1e?:h53:9j64>=83.84=4=029m72`=:21b>=<50;&0<5<58:1e?:h51:9j652=83.84=4=029m72`=821d?ko50;&0<5<4nj1e?:h5b:9l05>=83.84=421d8?;50;&0<5<4nj1e?:h55:9l071=83.84=4:<:1810?6=8rF?8h48{%1a5?02n2.84?4>e69'7=5=:9:0(>6;:2d:?!23=3:0(9=?:b;8j15628ij7p*;458006=]k<08wl1n65f1g694?"4090:il5a36d9e>=n9o<1<7*<8182ad=i;>l1565f1g594?"4090:il5a36d9<>=n9o21<7*<8182ad=i;>l1;65f1gc94?"4090:il5a36d92>=n9oh1<7*<8182ad=i;>l1965f1ga94?"4090:il5a36d90>=n9on1<7*<8182ad=i;>l1?65f1gd94?"4090:il5a36d96>=n9l31<7*<8182ad=i;>l1=65f1d`94?"4090:il5a36d94>=n:9;1<7*<818146=i;>l1n65f21f94?"40909<>5a36d9e>=n:9l1<7*<818146=i;>l1565f20294?"40909<>5a36d9<>=n:8;1<7*<818146=i;>l1;65f20194?"40909<>5a36d92>=n:8>1<7*<818146=i;>l1965f20794?"40909<>5a36d90>=n:8<1<7*<818146=i;>l1?65f20:94?"40909<>5a36d96>=n:981<7*<818146=i;>l1=65f21694?"40909<>5a36d94>=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj:>>6=4=4;294~J3"40;0:i:5+3919656<,:2?6>h6;%671?6<,=9;6n74n512>4ed3t.?894<449Yg0<4s8l1>=4=1;j5`>=83.84=4>e`9m72`=j21b=k:50;&0<5<6mh1e?:h5a:9j5c0=83.84=4>e`9m72`=121b=k950;&0<5<6mh1e?:h58:9j5c>=83.84=4>e`9m72`=?21b=ko50;&0<5<6mh1e?:h56:9j5cd=83.84=4>e`9m72`==21b=km50;&0<5<6mh1e?:h54:9j5cb=83.84=4>e`9m72`=;21b=kh50;&0<5<6mh1e?:h52:9j5`?=83.84=4>e`9m72`=921b=hl50;&0<5<6mh1e?:h50:9j657=83.84=4=029m72`=j21b>=j50;&0<5<58:1e?:h5a:9j65`=83.84=4=029m72`=121b><>50;&0<5<58:1e?:h58:9j647=83.84=4=029m72`=?21b><=50;&0<5<58:1e?:h56:9j642=83.84=4=029m72`==21b><;50;&0<5<58:1e?:h54:9j640=83.84=4=029m72`=;21b><650;&0<5<58:1e?:h52:9j654=83.84=4=029m72`=921b>=:50;&0<5<58:1e?:h50:9l7cg=83.84=4=83.84=450zN70`<0s-9i=78:f:&0<7<6m>1/?5=52128 6>32:l27):;5;28 1572j30b9=>:00f?x"3<=08??5Uc4804`=:909=7sf1d:94?"4090:il5a36d9f>=n9o>1<7*<8182ad=i;>l1m65f1g494?"4090:il5a36d9=>=n9o=1<7*<8182ad=i;>l1465f1g:94?"4090:il5a36d93>=n9ok1<7*<8182ad=i;>l1:65f1g`94?"4090:il5a36d91>=n9oi1<7*<8182ad=i;>l1865f1gf94?"4090:il5a36d97>=n9ol1<7*<8182ad=i;>l1>65f1d;94?"4090:il5a36d95>=n9lh1<7*<8182ad=i;>l1<65f21394?"40909<>5a36d9f>=n:9n1<7*<818146=i;>l1m65f21d94?"40909<>5a36d9=>=n:8:1<7*<818146=i;>l1465f20394?"40909<>5a36d93>=n:891<7*<818146=i;>l1:65f20694?"40909<>5a36d91>=n:8?1<7*<818146=i;>l1865f20494?"40909<>5a36d97>=n:821<7*<818146=i;>l1>65f21094?"40909<>5a36d95>=n:9>1<7*<818146=i;>l1<65`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb210>5<5<3:1j6*<8382a2=#;191>=>4$2:7>6`>3->?97>4$513>f?e`9m72`=i21b=k850;&0<5<6mh1e?:h59:9j5c1=83.84=4>e`9m72`=021b=k650;&0<5<6mh1e?:h57:9j5cg=83.84=4>e`9m72`=>21b=kl50;&0<5<6mh1e?:h55:9j5ce=83.84=4>e`9m72`=<21b=kj50;&0<5<6mh1e?:h53:9j5c`=83.84=4>e`9m72`=:21b=h750;&0<5<6mh1e?:h51:9j5`d=83.84=4>e`9m72`=821b>=?50;&0<5<58:1e?:h5b:9j65b=83.84=4=029m72`=i21b>=h50;&0<5<58:1e?:h59:9j646=83.84=4=029m72`=021b>21b><:50;&0<5<58:1e?:h55:9j643=83.84=4=029m72`=<21b><850;&0<5<58:1e?:h53:9j64>=83.84=4=029m72`=:21b>=<50;&0<5<58:1e?:h51:9j652=83.84=4=029m72`=821d?ko50;&0<5<4nj1e?:h5b:9l05>=83.84=421d8?;50;&0<5<4nj1e?:h55:9l071=83.84=4e69'7=5=:9:0(>6;:2d:?!23=3:0(9=?:b;8j15628?>7p*;45806d=]k<08wl1n65f1g694?"4090:il5a36d9e>=n9o<1<7*<8182ad=i;>l1565f1g594?"4090:il5a36d9<>=n9o21<7*<8182ad=i;>l1;65f1gc94?"4090:il5a36d92>=n9oh1<7*<8182ad=i;>l1965f1ga94?"4090:il5a36d90>=n9on1<7*<8182ad=i;>l1?65f1gd94?"4090:il5a36d96>=n9l31<7*<8182ad=i;>l1=65f1d`94?"4090:il5a36d94>=n:9;1<7*<818146=i;>l1n65f21f94?"40909<>5a36d9e>=n:9l1<7*<818146=i;>l1565f20294?"40909<>5a36d9<>=n:8;1<7*<818146=i;>l1;65f20194?"40909<>5a36d92>=n:8>1<7*<818146=i;>l1965f20794?"40909<>5a36d90>=n:8<1<7*<818146=i;>l1?65f20:94?"40909<>5a36d96>=n:981<7*<818146=i;>l1=65f21694?"40909<>5a36d94>=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj:8i6=4=4;294~J3"40;0:i:5+3919656<,:2?6>h6;%671?6<,=9;6n74n512>4303t.?894<2c9Yg0<4s8l1>=4=1;j5`>=83.84=4>e`9m72`=j21b=k:50;&0<5<6mh1e?:h5a:9j5c0=83.84=4>e`9m72`=121b=k950;&0<5<6mh1e?:h58:9j5c>=83.84=4>e`9m72`=?21b=ko50;&0<5<6mh1e?:h56:9j5cd=83.84=4>e`9m72`==21b=km50;&0<5<6mh1e?:h54:9j5cb=83.84=4>e`9m72`=;21b=kh50;&0<5<6mh1e?:h52:9j5`?=83.84=4>e`9m72`=921b=hl50;&0<5<6mh1e?:h50:9j657=83.84=4=029m72`=j21b>=j50;&0<5<58:1e?:h5a:9j65`=83.84=4=029m72`=121b><>50;&0<5<58:1e?:h58:9j647=83.84=4=029m72`=?21b><=50;&0<5<58:1e?:h56:9j642=83.84=4=029m72`==21b><;50;&0<5<58:1e?:h54:9j640=83.84=4=029m72`=;21b><650;&0<5<58:1e?:h52:9j654=83.84=4=029m72`=921b>=:50;&0<5<58:1e?:h50:9l7cg=83.84=4=83.84=450zN70`<0s-9i=78:f:&0<7<6m>1/?5=52128 6>32:l27):;5;28 1572j30b9=>:05`?x"3<=08>n5Uc4804`=:909=7sf1d:94?"4090:il5a36d9f>=n9o>1<7*<8182ad=i;>l1m65f1g494?"4090:il5a36d9=>=n9o=1<7*<8182ad=i;>l1465f1g:94?"4090:il5a36d93>=n9ok1<7*<8182ad=i;>l1:65f1g`94?"4090:il5a36d91>=n9oi1<7*<8182ad=i;>l1865f1gf94?"4090:il5a36d97>=n9ol1<7*<8182ad=i;>l1>65f1d;94?"4090:il5a36d95>=n9lh1<7*<8182ad=i;>l1<65f21394?"40909<>5a36d9f>=n:9n1<7*<818146=i;>l1m65f21d94?"40909<>5a36d9=>=n:8:1<7*<818146=i;>l1465f20394?"40909<>5a36d93>=n:891<7*<818146=i;>l1:65f20694?"40909<>5a36d91>=n:8?1<7*<818146=i;>l1865f20494?"40909<>5a36d97>=n:821<7*<818146=i;>l1>65f21094?"40909<>5a36d95>=n:9>1<7*<818146=i;>l1<65`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb20g>5<5<3:1j6*<8382a2=#;191>=>4$2:7>6`>3->?97>4$513>f?e`9m72`=i21b=k850;&0<5<6mh1e?:h59:9j5c1=83.84=4>e`9m72`=021b=k650;&0<5<6mh1e?:h57:9j5cg=83.84=4>e`9m72`=>21b=kl50;&0<5<6mh1e?:h55:9j5ce=83.84=4>e`9m72`=<21b=kj50;&0<5<6mh1e?:h53:9j5c`=83.84=4>e`9m72`=:21b=h750;&0<5<6mh1e?:h51:9j5`d=83.84=4>e`9m72`=821b>=?50;&0<5<58:1e?:h5b:9j65b=83.84=4=029m72`=i21b>=h50;&0<5<58:1e?:h59:9j646=83.84=4=029m72`=021b>21b><:50;&0<5<58:1e?:h55:9j643=83.84=4=029m72`=<21b><850;&0<5<58:1e?:h53:9j64>=83.84=4=029m72`=:21b>=<50;&0<5<58:1e?:h51:9j652=83.84=4=029m72`=821d?ko50;&0<5<4nj1e?:h5b:9l05>=83.84=421d8?;50;&0<5<4nj1e?:h55:9l071=83.84=4e69'7=5=:9:0(>6;:2d:?!23=3:0(9=?:b;8j15628k87p*;45806`=]k<08wl1n65f1g694?"4090:il5a36d9e>=n9o<1<7*<8182ad=i;>l1565f1g594?"4090:il5a36d9<>=n9o21<7*<8182ad=i;>l1;65f1gc94?"4090:il5a36d92>=n9oh1<7*<8182ad=i;>l1965f1ga94?"4090:il5a36d90>=n9on1<7*<8182ad=i;>l1?65f1gd94?"4090:il5a36d96>=n9l31<7*<8182ad=i;>l1=65f1d`94?"4090:il5a36d94>=n:9;1<7*<818146=i;>l1n65f21f94?"40909<>5a36d9e>=n:9l1<7*<818146=i;>l1565f20294?"40909<>5a36d9<>=n:8;1<7*<818146=i;>l1;65f20194?"40909<>5a36d92>=n:8>1<7*<818146=i;>l1965f20794?"40909<>5a36d90>=n:8<1<7*<818146=i;>l1?65f20:94?"40909<>5a36d96>=n:981<7*<818146=i;>l1=65f21694?"40909<>5a36d94>=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj:8m6=4=4;294~J3"40;0:i:5+3919656<,:2?6>h6;%671?6<,=9;6n74n512>4g23t.?894<2g9Yg0<4s8l1>=4=1;j5`>=83.84=4>e`9m72`=j21b=k:50;&0<5<6mh1e?:h5a:9j5c0=83.84=4>e`9m72`=121b=k950;&0<5<6mh1e?:h58:9j5c>=83.84=4>e`9m72`=?21b=ko50;&0<5<6mh1e?:h56:9j5cd=83.84=4>e`9m72`==21b=km50;&0<5<6mh1e?:h54:9j5cb=83.84=4>e`9m72`=;21b=kh50;&0<5<6mh1e?:h52:9j5`?=83.84=4>e`9m72`=921b=hl50;&0<5<6mh1e?:h50:9j657=83.84=4=029m72`=j21b>=j50;&0<5<58:1e?:h5a:9j65`=83.84=4=029m72`=121b><>50;&0<5<58:1e?:h58:9j647=83.84=4=029m72`=?21b><=50;&0<5<58:1e?:h56:9j642=83.84=4=029m72`==21b><;50;&0<5<58:1e?:h54:9j640=83.84=4=029m72`=;21b><650;&0<5<58:1e?:h52:9j654=83.84=4=029m72`=921b>=:50;&0<5<58:1e?:h50:9l7cg=83.84=4=83.84=450zN70`<0s-9i=78:f:&0<7<6m>1/?5=52128 6>32:l27):;5;28 1572j30b9=>:0ab?x"3<=08?=5Uc4804`=:909=7sf1d:94?"4090:il5a36d9f>=n9o>1<7*<8182ad=i;>l1m65f1g494?"4090:il5a36d9=>=n9o=1<7*<8182ad=i;>l1465f1g:94?"4090:il5a36d93>=n9ok1<7*<8182ad=i;>l1:65f1g`94?"4090:il5a36d91>=n9oi1<7*<8182ad=i;>l1865f1gf94?"4090:il5a36d97>=n9ol1<7*<8182ad=i;>l1>65f1d;94?"4090:il5a36d95>=n9lh1<7*<8182ad=i;>l1<65f21394?"40909<>5a36d9f>=n:9n1<7*<818146=i;>l1m65f21d94?"40909<>5a36d9=>=n:8:1<7*<818146=i;>l1465f20394?"40909<>5a36d93>=n:891<7*<818146=i;>l1:65f20694?"40909<>5a36d91>=n:8?1<7*<818146=i;>l1865f20494?"40909<>5a36d97>=n:821<7*<818146=i;>l1>65f21094?"40909<>5a36d95>=n:9>1<7*<818146=i;>l1<65`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb212>5<5<3:1j6*<8382a2=#;191>=>4$2:7>6`>3->?97>4$513>f?e`9m72`=i21b=k850;&0<5<6mh1e?:h59:9j5c1=83.84=4>e`9m72`=021b=k650;&0<5<6mh1e?:h57:9j5cg=83.84=4>e`9m72`=>21b=kl50;&0<5<6mh1e?:h55:9j5ce=83.84=4>e`9m72`=<21b=kj50;&0<5<6mh1e?:h53:9j5c`=83.84=4>e`9m72`=:21b=h750;&0<5<6mh1e?:h51:9j5`d=83.84=4>e`9m72`=821b>=?50;&0<5<58:1e?:h5b:9j65b=83.84=4=029m72`=i21b>=h50;&0<5<58:1e?:h59:9j646=83.84=4=029m72`=021b>21b><:50;&0<5<58:1e?:h55:9j643=83.84=4=029m72`=<21b><850;&0<5<58:1e?:h53:9j64>=83.84=4=029m72`=:21b>=<50;&0<5<58:1e?:h51:9j652=83.84=4=029m72`=821d?ko50;&0<5<4nj1e?:h5b:9l05>=83.84=421d8?;50;&0<5<4nj1e?:h55:9l071=83.84=4=::1810?6=8rF?8h48{%1a5?02n2.84?4>e69'7=5=:9:0(>6;:2d:?!23=3:0(9=?:b;8j156288n7p*;458070=]k<08wl1n65f1g694?"4090:il5a36d9e>=n9o<1<7*<8182ad=i;>l1565f1g594?"4090:il5a36d9<>=n9o21<7*<8182ad=i;>l1;65f1gc94?"4090:il5a36d92>=n9oh1<7*<8182ad=i;>l1965f1ga94?"4090:il5a36d90>=n9on1<7*<8182ad=i;>l1?65f1gd94?"4090:il5a36d96>=n9l31<7*<8182ad=i;>l1=65f1d`94?"4090:il5a36d94>=n:9;1<7*<818146=i;>l1n65f21f94?"40909<>5a36d9e>=n:9l1<7*<818146=i;>l1565f20294?"40909<>5a36d9<>=n:8;1<7*<818146=i;>l1;65f20194?"40909<>5a36d92>=n:8>1<7*<818146=i;>l1965f20794?"40909<>5a36d90>=n:8<1<7*<818146=i;>l1?65f20:94?"40909<>5a36d96>=n:981<7*<818146=i;>l1=65f21694?"40909<>5a36d94>=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj:936=4=4;294~J3"40;0:i:5+3919656<,:2?6>h6;%671?6<,=9;6n74n512>4323t.?894<399Yg0<4s8l1>=4=1;j5`>=83.84=4>e`9m72`=j21b=k:50;&0<5<6mh1e?:h5a:9j5c0=83.84=4>e`9m72`=121b=k950;&0<5<6mh1e?:h58:9j5c>=83.84=4>e`9m72`=?21b=ko50;&0<5<6mh1e?:h56:9j5cd=83.84=4>e`9m72`==21b=km50;&0<5<6mh1e?:h54:9j5cb=83.84=4>e`9m72`=;21b=kh50;&0<5<6mh1e?:h52:9j5`?=83.84=4>e`9m72`=921b=hl50;&0<5<6mh1e?:h50:9j657=83.84=4=029m72`=j21b>=j50;&0<5<58:1e?:h5a:9j65`=83.84=4=029m72`=121b><>50;&0<5<58:1e?:h58:9j647=83.84=4=029m72`=?21b><=50;&0<5<58:1e?:h56:9j642=83.84=4=029m72`==21b><;50;&0<5<58:1e?:h54:9j640=83.84=4=029m72`=;21b><650;&0<5<58:1e?:h52:9j654=83.84=4=029m72`=921b>=:50;&0<5<58:1e?:h50:9l7cg=83.84=4=83.84=450zN70`<0s-9i=78:f:&0<7<6m>1/?5=52128 6>32:l27):;5;28 1572j30b9=>:074?x"3<=08?l5Uc4804`=:909=7sf1d:94?"4090:il5a36d9f>=n9o>1<7*<8182ad=i;>l1m65f1g494?"4090:il5a36d9=>=n9o=1<7*<8182ad=i;>l1465f1g:94?"4090:il5a36d93>=n9ok1<7*<8182ad=i;>l1:65f1g`94?"4090:il5a36d91>=n9oi1<7*<8182ad=i;>l1865f1gf94?"4090:il5a36d97>=n9ol1<7*<8182ad=i;>l1>65f1d;94?"4090:il5a36d95>=n9lh1<7*<8182ad=i;>l1<65f21394?"40909<>5a36d9f>=n:9n1<7*<818146=i;>l1m65f21d94?"40909<>5a36d9=>=n:8:1<7*<818146=i;>l1465f20394?"40909<>5a36d93>=n:891<7*<818146=i;>l1:65f20694?"40909<>5a36d91>=n:8?1<7*<818146=i;>l1865f20494?"40909<>5a36d97>=n:821<7*<818146=i;>l1>65f21094?"40909<>5a36d95>=n:9>1<7*<818146=i;>l1<65`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb21`>5<5<3:1j6*<8382a2=#;191>=>4$2:7>6`>3->?97>4$513>f?e`9m72`=i21b=k850;&0<5<6mh1e?:h59:9j5c1=83.84=4>e`9m72`=021b=k650;&0<5<6mh1e?:h57:9j5cg=83.84=4>e`9m72`=>21b=kl50;&0<5<6mh1e?:h55:9j5ce=83.84=4>e`9m72`=<21b=kj50;&0<5<6mh1e?:h53:9j5c`=83.84=4>e`9m72`=:21b=h750;&0<5<6mh1e?:h51:9j5`d=83.84=4>e`9m72`=821b>=?50;&0<5<58:1e?:h5b:9j65b=83.84=4=029m72`=i21b>=h50;&0<5<58:1e?:h59:9j646=83.84=4=029m72`=021b>21b><:50;&0<5<58:1e?:h55:9j643=83.84=4=029m72`=<21b><850;&0<5<58:1e?:h53:9j64>=83.84=4=029m72`=:21b>=<50;&0<5<58:1e?:h51:9j652=83.84=4=029m72`=821d?ko50;&0<5<4nj1e?:h5b:9l05>=83.84=421d8?;50;&0<5<4nj1e?:h55:9l071=83.84=4=j:1810?6=8rF?8h48{%1a5?02n2.84?4>e69'7=5=:9:0(>6;:2d:?!23=3:0(9=?:b;8j15628=n7p*;45807`=]k<08wl1n65f1g694?"4090:il5a36d9e>=n9o<1<7*<8182ad=i;>l1565f1g594?"4090:il5a36d9<>=n9o21<7*<8182ad=i;>l1;65f1gc94?"4090:il5a36d92>=n9oh1<7*<8182ad=i;>l1965f1ga94?"4090:il5a36d90>=n9on1<7*<8182ad=i;>l1?65f1gd94?"4090:il5a36d96>=n9l31<7*<8182ad=i;>l1=65f1d`94?"4090:il5a36d94>=n:9;1<7*<818146=i;>l1n65f21f94?"40909<>5a36d9e>=n:9l1<7*<818146=i;>l1565f20294?"40909<>5a36d9<>=n:8;1<7*<818146=i;>l1;65f20194?"40909<>5a36d92>=n:8>1<7*<818146=i;>l1965f20794?"40909<>5a36d90>=n:8<1<7*<818146=i;>l1?65f20:94?"40909<>5a36d96>=n:981<7*<818146=i;>l1=65f21694?"40909<>5a36d94>=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj:>;6=4=4;294~J3"40;0:i:5+3919656<,:2?6>h6;%671?6<,=9;6n74n512>4g43t.?894<419Yg0<4s8l1>=4=1;j5`>=83.84=4>e`9m72`=j21b=k:50;&0<5<6mh1e?:h5a:9j5c0=83.84=4>e`9m72`=121b=k950;&0<5<6mh1e?:h58:9j5c>=83.84=4>e`9m72`=?21b=ko50;&0<5<6mh1e?:h56:9j5cd=83.84=4>e`9m72`==21b=km50;&0<5<6mh1e?:h54:9j5cb=83.84=4>e`9m72`=;21b=kh50;&0<5<6mh1e?:h52:9j5`?=83.84=4>e`9m72`=921b=hl50;&0<5<6mh1e?:h50:9j657=83.84=4=029m72`=j21b>=j50;&0<5<58:1e?:h5a:9j65`=83.84=4=029m72`=121b><>50;&0<5<58:1e?:h58:9j647=83.84=4=029m72`=?21b><=50;&0<5<58:1e?:h56:9j642=83.84=4=029m72`==21b><;50;&0<5<58:1e?:h54:9j640=83.84=4=029m72`=;21b><650;&0<5<58:1e?:h52:9j654=83.84=4=029m72`=921b>=:50;&0<5<58:1e?:h50:9l7cg=83.84=4=83.84=450zN70`<0s-9i=78:f:&0<7<6m>1/?5=52128 6>32:l27):;5;28 1572j30b9=>:0c6?x"3<=088?5Uc4804`=:909=7sf1d:94?"4090:il5a36d9f>=n9o>1<7*<8182ad=i;>l1m65f1g494?"4090:il5a36d9=>=n9o=1<7*<8182ad=i;>l1465f1g:94?"4090:il5a36d93>=n9ok1<7*<8182ad=i;>l1:65f1g`94?"4090:il5a36d91>=n9oi1<7*<8182ad=i;>l1865f1gf94?"4090:il5a36d97>=n9ol1<7*<8182ad=i;>l1>65f1d;94?"4090:il5a36d95>=n9lh1<7*<8182ad=i;>l1<65f21394?"40909<>5a36d9f>=n:9n1<7*<818146=i;>l1m65f21d94?"40909<>5a36d9=>=n:8:1<7*<818146=i;>l1465f20394?"40909<>5a36d93>=n:891<7*<818146=i;>l1:65f20694?"40909<>5a36d91>=n:8?1<7*<818146=i;>l1865f20494?"40909<>5a36d97>=n:821<7*<818146=i;>l1>65f21094?"40909<>5a36d95>=n:9>1<7*<818146=i;>l1<65`3gc94?"40908jn5a36d9f>=h<921<7*<8180bf=i;>l1m65`40794?"40908jn5a36d9=>=h<;;1<7*<8180bf=i;>l1465`43094?"40908jn5a36d93>=h<;>1<7*<8180bf=i;>l1:65`43794?"40908jn5a36d91>=h<;=1<7*<8180bf=i;>l1865`43:94?"40908jn5a36d97>=h<;k1<7*<8180bf=i;>l1>65`3g`94?"40908jn5a36d95>=h;on1<7*<8180bf=i;>l1<65rb267>5<5<3:1j6*<8382a2=#;191>=>4$2:7>6`>3->?97>4$513>f?e`9m72`=i21b=k850;&0<5<6mh1e?:h59:9j5c1=83.84=4>e`9m72`=021b=k650;&0<5<6mh1e?:h57:9j5cg=83.84=4>e`9m72`=>21b=kl50;&0<5<6mh1e?:h55:9j5ce=83.84=4>e`9m72`=<21b=kj50;&0<5<6mh1e?:h53:9j5c`=83.84=4>e`9m72`=:21b=h750;&0<5<6mh1e?:h51:9j5`d=83.84=4>e`9m72`=821b>=?50;&0<5<58:1e?:h5b:9j65b=83.84=4=029m72`=i21b>=h50;&0<5<58:1e?:h59:9j646=83.84=4=029m72`=021b>21b><:50;&0<5<58:1e?:h55:9j643=83.84=4=029m72`=<21b><850;&0<5<58:1e?:h53:9j64>=83.84=4=029m72`=:21b>=<50;&0<5<58:1e?:h51:9j652=83.84=4=029m72`=821d?ko50;&0<5<4nj1e?:h5b:9l05>=83.84=421d8?;50;&0<5<4nj1e?:h55:9l071=83.84=4:9:1810?6=8rF?8h48{%1a5?02n2.84?4>e69'7=5=:9:0(>6;:2d:?!23=3:0(9=?:b;8j15628ih7p*;458003=]k<08wl1n65f1g694?"4090:il5a36d9e>=n9o<1<7*<8182ad=i;>l1565f1g594?"4090:il5a36d9<>=n9o21<7*<8182ad=i;>l1;65f1gc94?"4090:il5a36d92>=n9oh1<7*<8182ad=i;>l1965f1ga94?"4090:il5a36d90>=n9on1<7*<8182ad=i;>l1?65f1gd94?"4090:il5a36d96>=n9l31<7*<8182ad=i;>l1=65f1d`94?"4090:il5a36d94>=n:9;1<7*<818146=i;>l1n65f21f94?"40909<>5a36d9e>=n:9l1<7*<818146=i;>l1565f20294?"40909<>5a36d9<>=n:8;1<7*<818146=i;>l1;65f20194?"40909<>5a36d92>=n:8>1<7*<818146=i;>l1965f20794?"40909<>5a36d90>=n:8<1<7*<818146=i;>l1?65f20:94?"40909<>5a36d96>=n:981<7*<818146=i;>l1=65f21694?"40909<>5a36d94>=h;ok1<7*<8180bf=i;>l1n65`41:94?"40908jn5a36d9e>=h<8?1<7*<8180bf=i;>l1565`43394?"40908jn5a36d9<>=h<;81<7*<8180bf=i;>l1;65`43694?"40908jn5a36d92>=h<;?1<7*<8180bf=i;>l1965`43594?"40908jn5a36d90>=h<;21<7*<8180bf=i;>l1?65`43c94?"40908jn5a36d96>=h;oh1<7*<8180bf=i;>l1=65`3gf94?"40908jn5a36d94>=zj0i26=4=:183!23<39986F;4c9j75c=831d?:950;9~f01c29086=4?{%670?dd3A>?n6g<0g83>>o4990;66a<7683>>{e=191<7=50;2x 1232kh0D9:m;h13b?6=3`9:<7>5;n143?6=3th>;k4?:283>5}#<=>1nn5G45`8m66a2900e>??:188k6102900qo;75;297?6=8r.?894<789K01d5<>i:188m6772900c>98:188yg?a:3:1>7>50z&701<4:=1C89l4i22f>5<N3029096=4?{%670?55<2B?8o5f31g94?=h;>=1<75rb4:a>5<5290;w):;4;110>N35<7s->?87lj;I67f>o48o0;66g<1183>>o49>0;66a<7683>>{e;9k1<7850;2x 1232:=j7E:;b:k04c<722c8==4?::k052<722c8=54?::k05<<722e8;:4?::a7=d=83>1<7>t$567>g`<@=>i7d=?f;29?l5683:17d=>7;29?j50?3:17pl<9083>2<420q/89:53838m23e2900e:;9:188m2302900e:;::188m23>2900e:;7:188k6>d2900n>6j:186>5<7s->?87m>;I67f>o48o0;66g<1183>>o49>0;66g<1983>>i4?>0;66sm39d94?5=83:p(9:;:cf8L12e3`9;j7>5;h124?6=3f9<;7>5;|`0=5<72:0;6=u+4569fg=O<=h0e>>i:188m6772900c>98:188yv12j3:1>vP85c9>7=c=;9l0q~9:6;296~X0=?16?5k53028yv12?3:1>vP8569>7=c=;8=0q~9:5;296~X0=<16?5k530:8yv5?l3:1>v3<91804c=:;1o1?:94}r56=?6=:rT<945239d975`47>52z\41==:;1l1?<>4}r1;a?6=:r785=4<119>7=`=;>=0q~=7c;296~X40j16?4>53658yxu1?k0;6?uQ66`893122?=i7):=e;44b>h3:m0?7p}97b83>7}Y>>i01;9::75`?!25m3<h3:m097p}97d83>7}Y>>o01;9::75f?!25m3<h3:m0;7p}8d`83>7}Y?mk01>88:0;4?!25m3=o86`;2e814>{t?m31<7<5rs6f;>5<5sW=o463<6682=1=#<;o1;i:4n50g>7452z\4`2=:;?=1=4=4$50f>2b33g>9h7<<;|q4`3<72;qU;i84=244>4?53->9i79k4:l76a<5<2wx;no50;0xZ2ef349=;7?61:&76`<0l=1e8?j5249~w2da2909wS9mf:?022<60k1/8?k57e68j14c2;<0q~9m4;296~X0j=16?;951928 14b2>n?7c:=d;04?xu0jh0;6?uQ7cc8960028=o7):=e;5g0>h3:m0:46s|7c;94?4|V>h270=97;34f>"3:l0h36=4={_5a<>;4>>0:;l5+43g93a2i4>c:p3g3=838pR:l:;<153?70?2.?>h48d59m07b=9m1v:jl:181[1ck278::4>709'07c=?m>0b9vP8dc9>731=9?<0(97}Y?j901>88:040?!25m3=o86`;2e824>{t?j81<75<5sW=h=63<668225=#<;o1;i:4n50g>4452z\4g5=:;?=1=8h4$50f>2b33g>9h7?<;|q4f`<72;qU;ok4=244>43b3->9i79k4:l76a<6<2wx;oj50;0xZ2dc349=;7?:d:&76`<0l=1e8?j5149~w2dd2909wS9mc:?022<6=>1/8?k57e68j14c28<0q~9mb;296~X0jk16?;9515a8 14b2>n?7c:=d;34?xu0kj0;6?uQ7ba8960028>27):=e;5g0>h3:m037p}8cc83>7}Y?jh01>88:064?!25m3=o86`;2e8:?xu0k00;6?uQ7b;8960028>=7):=e;5g0>h3:m0j7p}8c983>7}Y?j201>88:066?!25m3=o86`;2e8a?xu0k>0;6?uQ7b58960028>?7):=e;5g0>h3:m0h7p}8c783>7}Y?j<01>88:01;?!25m3=o86`;2e8g?xu0k<0;6?uQ7b789600288i7):=e;5g0>h3:m0n7p}8c583>7}Y?j>01>88:03e?!25m3=o86`;2e8e?xu0l<0;6?uQ7e78960028;i7):=e;5g0>h3:m0;7p}8d283>7}Y?m901>88:03:?!25m3=o86`;2e82?xu0l;0;6?uQ7e08960028;37):=e;5g0>h3:m097p}8d083>7}Y?m;01>88:034?!25m3=o86`;2e80?xu0l90;6?uQ7e28960028;=7):=e;5g0>h3:m0?7p}8cg83>7}Y?jl01>88:02b?!25m3=o86`;2e86?xu0kl0;6?uQ7bg896002on0(90b94:?02=<61>1/8?k581g8j14c2;:0q~6>3;296~X?9:16?;651878 14b21:n7c:=d;02?xu?9;0;6?uQ8008960?283?7):=e;:3a>h3:m09>6s|80394?4|V1;:70=98;3:7>"3:l03;4>10:5?5+43g9<5cj;o61`?423ty7m2d?>i4=6:p3`c=838pR:kj;<15h470d9m07b=:>1v:h;:181[1a<278:54>7e9'07c=09o0b9vP8f29>73>=9>h0(97}Y?o801>87:05b?!25m32;i6`;2e82e>{t?o;1<75<5sW=m<63<69823==#<;o14=k4n50g>4e52z\4ac=:;?21=:94$50f>=6b3g>9h7?k;|q;53<72;qU4<84=24;>4163->9i76?e:l76a<6m2wx4<;50;0xZ=72349=47?96:&76`:1/8?k581g8j14c28:0q~9ic;296~X0nj16?;651738 14b21:n7c:=d;32?xu0nk0;6?uQ7g`8960?28<;7):=e;:3a>h3:m0:>6s|7gc94?4|V>lj70=98;36b>"3:l03l36=4={_5e<>;4>10:9h5+43g9<5cj;o61`?723ty7m2d?>i4>6:p3c3=838pR:h:;<15h470d9m07b=9>1v5>9:181[>7>278:54>489'07c=09o0b91/8?k581g8j14c201v5><:181[>7;278:54>479'07c=09o0b9>:181[>79278:54>459'07c=09o0b92c9'07c=09o0b9i:181[>7n278:54>1c9'07c=09o0b9l:181[>7k278:54>199'07c=09o0b91/8?k581g8j14c2:1v5>n:181[>7i278:54>179'07c=09o0b92909wS6?9:?02=<68h1/8?k581g8j14c2<1v5>7:181[>70278:54id:&76`8;<159i76?e:l76a<03ty38h4?:3y]<1c<5:<26<78;%61a?>302d?>i4=0:p<1b=838pR5:k;<15=?7>=2.?>h47499m07b=:81v5:l:181[>3k278:44>959'07c=0=20b93j3:1>vP74c9>73?=9090(97}Y0=k01>86:0;1?!25m32?46`;2e810>{t0:o1<785rs910>5<5sW28?63<68827052z\;6==:;?31=5>4$50f>=2?3g>9h7<8;|q;6`<72;qU4?k4=24:>41c3->9i76;8:l76a<602wx4?j50;0xZ=4c349=57?8b:&76`37c:=d;3a?xu?:h0;6?uQ83c8960>28=37):=e;:7<>h3:m0:o6s|83;94?4|V18270=99;343>"3:l03855a43f95a=z{1?;6=4={_:64>;4>00:;<5+43g9<1>302d?>i4>0:p<60=838pR5=9;<15=?7192.?>h47499m07b=981v5=::181[>4=278:44>619'07c=0=20b94<3:1>vP7359>73?=97}Y0:801>86:07f?!25m32?46`;2e820>{t0:;1<7h6*;2d8;0==i<;n1=85rs913>5<5sW28<63<688212=#<;o14964n50g>4052z\;6c=:;?31=9m4$50f>=2?3g>9h7?8;|q;05<72;qU49>4=24:>42>3->9i76;8:l76a302d?>i46;|q;7a<72;qU4>j4=24:>4213->9i76;8:l76a302d?>i4m;|q;7g<72;qU4>l4=24:>4233->9i76;8:l76a302d?>i4k;|q;7<<72;qU4>74=24:>44e3->9i76;8:l76a<5:<26302d?>i4i;|q;0<<72;qU4974=24:>47e3->9i76;8:l76a<73ty38:4?:3y]<11<5:<26302d?>i4>;|q;03<72;qU4984=24:>47?3->9i76;8:l76a<53ty3884?:3y]<13<5:<26302d?>i4<;|q;01<72;qU49:4=24:>4713->9i76;8:l76a<33ty38>4?:3y]<15<5:<26<>n;%61a?>302d?>i4:;|q;07<72;qU49<4=24:>cb<,=8n65:7;o61`?052z\;04=:;?31j<5+43g9<1>5<5sW23463<6`82=2=#<;o145<4n50g>7652z\;<2=:;?k1=4;4$50f>=>53g>9h7<>;|q;<3<72;qU4584=24b>4?33->9i7672:l76a<5:2wx45;50;0xZ=>2349=m7?63:&76`32909wS674:?02d<61;1/8?k58908j14c2;>0q~688;296~X??116?;o51838 14b21297c:=d;06?xu?>m0;6?uQ87f8960f282i7):=e;:;6>h3:m09:6s|87094?4|V1<970=9a;3;4>"3:l034?5a43f962=z{1<36=4={_:5<>;4>h0:;i5+43g9<=43ty3:;4?:3y]<30<5:?:2d?>i4>a:p<33=838pR58:;<15e?7012.?>h47839m07b=9k1v58;:181[>1<278:l4>799'07c=0180b91;3:1>vP7629>73g=9>=0(97}Y01k01>8n:052?!25m323>6`;2e82a>{t0131<75<5sW2<=63<6`8226=#<;o145<4n50g>4652z\;35=:;?k1=;?4$50f>=>53g>9h7?>;|q;2c<72;qU4;h4=24b>4073->9i7672:l76a<6:2wx4;k50;0xZ=0b349=m7?:f:&76`0q~69b;296~X?>k16?;o514f8 14b21297c:=d;36?xu?>h0;6?uQ87c8960f28?<7):=e;:;6>h3:m0::6s|87;94?4|V1<270=9a;37g>"3:l034?5a43f952=z{1=j6=4={_:4e>;4>h0:845+43g9<=45<5sW2<563<6`8202=#<;o145<4n50g><=z{1=<6=4={_:43>;4>h0:8;5+43g9<=45<5sW2<:63<6`8200=#<;o145<4n50g>g=z{1=>6=4={_:41>;4>h0:895+43g9<=45<5sW2<863<6`827==#<;o145<4n50g>a=z{1=86=4={_:47>;4>h0:>o5+43g9<=45<5sW2<>63<6`825c=#<;o145<4n50g>c=z{1286=4={_:;7>;4>h0:=o5+43g9<=45<5sW23=63<6`825<=#<;o145<4n50g>4=z{12;6=4={_:;4>;4>h0:=55+43g9<=45<5sW26=z{1=n6=4={_:4a>;4>h0:=;5+43g9<=45<5sW20=z{1=h6=4={_:4g>;4>h0mh6*;2d8;<7=i<;n1:6s|86`94?4|V1=i70=9a;d2?!25m323>6`;2e84?xu?k;0;6?uQ8b08960e283<7):=e;:ag>h3:m09<6s|8b394?4|V1i:70=9b;3:1>"3:l03nn5a43f964=z{1i;6=4={_:`4>;4>k0:595+43g9ek2d?>i4=4:p92.?>h47bb9m07b=:<1v5o8:181[>f?278:o4>8c9'07c=0ki0b9>k3:1>vP79b9>73d=91:0(97}Y0h801>8m:05g?!25m32io6`;2e82<>{t0h;1<75<5sW2j<63<6c823d=#<;o14om4n50g>4g52z\;=c=:;?h1=:74$50f>=dd3g>9h7?m;|q;=`<72;qU44k4=24a>41?3->9i76mc:l76a<6k2wx44j50;0xZ=?c349=n7?87:&76`h3:m0:<6s|8`c94?4|V1kj70=9b;355>"3:l03nn5a43f954=z{1k26=4={_:b=>;4>k0::=5+43g9ek2d?>i4>4:ph47bb9m07b=9<1v5o;:181[>f<278:o4>569'07c=0ki0b9f;3:1>vP7a29>73d=9=i0(97}Y0k>01>8m:06:?!25m32io6`;2e8;?xu?j:0;6?uQ8c18960e28><7):=e;:ag>h3:m027p}7b083>7}Y0k;01>8m:065?!25m32io6`;2e8b?xu?j90;6?uQ8c28960e28>>7):=e;:ag>h3:m0i7p}7ag83>7}Y0hl01>8m:067?!25m32io6`;2e8`?xu?il0;6?uQ8`g8960e28937):=e;:ag>h3:m0o7p}7ae83>7}Y0hn01>8m:00a?!25m32io6`;2e8f?xu?ij0;6?uQ8`a8960e28;m7):=e;:ag>h3:m0m7p}7be83>7}Y0kn01>8m:03a?!25m32io6`;2e83?xu?jk0;6?uQ8c`8960e28;27):=e;:ag>h3:m0:7p}7b`83>7}Y0kk01>8m:03;?!25m32io6`;2e81?xu?j00;6?uQ8c;8960e28;<7):=e;:ag>h3:m087p}7b983>7}Y0k201>8m:035?!25m32io6`;2e87?xu?j>0;6?uQ8c58960e28:j7):=e;:ag>h3:m0>7p}7b783>7}Y0k<01>8m:gf8 14b21hh7c:=d;48yv>e=3:1>vP7b49>73d=n81/8?k58ca8j14c2>1v4m8:184[?d?272o;4ld:?:g<<48l169:j5302890>42::m70;75;13b>;2?k08=:5rs6ca>5;0>=0hh638648``>;0>?0hh638668``>;0>10hh63:7e804c=:=>l1?<>4=4:2>66a34?0:p2dg=839=wS8na:?:g3272j=4l6:?42627<:94l6:?42027<:;4l6:?42227<:54l6:?45d27<=o4l6:?45f2739l4l6:?;1g2739n4l6:?;1a2739h4l6:?;1c273:=4l6:?;2427272727273>=4l6:?;64273>?4l6:?;66273>94l6:?;60273>;4l6:?;62273594l6:?;=02735;4l6:?;=2273554l6:?;=<2735l4l6:?;=g273oh4l6:?;gc273h=4l6:?;`4273h?4l6:?;`6273h94l6:?;`0272=>4j2:?530{t=181<761034?397=>0:p3`3=839p1:kk:2cg?852k3;?563<5e820<=#<;o1;h:4n50g>5=z{>o86=4<{<5fg?5fl2789n4>469>70b=9==0(9i4=;|q4a4<72:q6;ho53`f8963d28>>70=:d;371>"3:l0{t?l:1<7=t=6g:>6gc349>o7?;4:?01a<6<=1/8?k57d68j14c2=1v:ji:18081b039jh63<5b827==:;64$50f>2c33g>9h7;4}r5ga?6=;r770e=9;h01>;k:00a?!25m3=n86`;2e85?xu0lm0;6>u27d497db<5:?h6h48e59m07b=?2wx4;4=o0:=o5+43g9<4c5<4s429:7=nd:?01`<69016?8h510;8 14b21;n7c:=d;38yv>6k3:1?v372480ea=:;47?3->9i76>e:l76a<53ty3=o4?:2y><72=;hn01>;j:034?852n3;:;6*;2d8;5`=i<;n1?6s|80c94?5|51886>ok;<16a?76>2789k4>179'07c=08o0b92908w06=2;1b`>;4=l0:53z?;64<4im16?8k5fe9>70`=nm1/8?k580g8j14c2?1v5?8:1808>5839jh63<5d8e5>;4=o0m=6*;2d8;5`=i<;n1;6s|84;94?5|51<:6>ok;<16a?731278:<4>1c9'07c=0<20b9;4=l0:8:52373954?<,=8n65;7;o61`?7:7>53z?;1c<4im16?8k51548960628;37):=e;:6<>h3:m097p}75483>6}:0422349==7?>7:&76`1=z{1?86=4<{<:6g?5fl2789h4>399>737=99k0(9=3?3g>9h784}r:65?6=;r739l470c=98l01>8>:g38 14b21?37c:=d;58yv>>;3:1?v379c80ea=:;?;1=974=241>47e3->9i7662:l76a<73ty35<4?:2y><8>:064?851:3;:56*;2d8;=7=i<;n1=6s|88294?5|51326>ok;<155?73>278:?4>199'07c=0080b9a2908w0668;1b`>;4>80:88523709541<,=8n657=;o61`?553z?;=2<4im16?;?51568960528;=7):=e;::6>h3:m0?7p}78e83>6}:00<1?lj4=242>45?349=>7??a:&76`"3:l035?5a43f92>{t01h1<7=t=9;7>6gc349==7?>f:?027h47939m07b=?2wx4nj50;1x9=b22:ko70=92;37=>;4>:0:845+43g95<4s42o87=nd:?027<6<>16?;=51558 14b21ih7c:=d;38yv>di3:1?v37d280ea=:;?81=984=240>4213->9i76lc:l76a<53ty3o44?:2y>8=:066?851;3;?96*;2d8;gf=i<;n1?6s|8b:94?5|51n:6>ok;<156?73<278:>4>459'07c=0ji0b9;4>;0:?552371956><,=8n65ml;o61`?353z?;gc<4im16?;<513`89604288i7):=e;:`g>h3:m0=7p}7c483>6}:0jo1?lj4=241>47a349=?7?>f:&76`4=24:>127349=m7:;0:?02g<3<916?9651g4896532;:m70=<6;3e2>;4;>09i;<10`?47n278?k4=0g9>717=:9l01>:<:32e?853=38;j63<8c8052=#<;o1:h=4n50g>5=z{?o96=4>2z?45g<4im16?;954538960?2=>:70=99;675>;4>h0?8<5237`9017<5:>36f59>761=:9n01>=6:32g?854j38;h63<3e814a=:;:l1>=j4=262>76c349??773g=<=801>8m:561?85303;n463<358144=:;:<1=h64=214>766349857j52138965a2;::70=;1;035>;4<:09<<523579657<5:2i6>>i;%61a?0b;2d?>i4=;|q41g<728=p1:87:2cg?853i3;mm63<378150=:;:81=ko4=210>4`f3499m7?ia:?06g<6nh16??m51gc8964c28lj70==e;3ee>;4:o0:jl5232295cg<5:9:676g=:8?01>=l:336?854m38:963<418150=:;=81><;4=267>772349?:7<>5:?0=4<0=k1/8?k574c8j14c291v:;6:1823~;0>>08mi5235c95c><5:9=6??;;<106?7a0278?>4>f99>77g=9o201>4`?3498<7?i8:?074<6n116?>;52068965?2;;?70=;4;j09=95232g9642<5:>;6??;;<176?46<278894=159>710=:8>01>7>:67:?!25m3=>m6`;2e82?xu0=10;6<9t=645>6gc349?m7?i7:?073<59:16?><51g58965428l<70==a;3e3>;4:k0:j:5233a95c1<5:8o6k4>f69>766=9o=01>=>:0d4?854=38:?63<398156=:;:k1><=4=21`>7743498i7<>3:?005<59:16?9<5201896232;;870=;6;027>;4180<955+43g930g5<6?r7<:8471g=9o<01>=9:332?854:3;m:63<3282b3=:;;k1=k84=20a>4`13499o7?i6:?06a<6n?16??k51g48964a28l=70=<0;3e2>;4;80:j;523279647<5:936??>;<10e?469278?n4=109>76c=:8;01>:?:332?853:38:=63<458154=:;=<1>2303->9i79:a:l76a<43ty<9;4?:05x92032:ko70=;a;3e0>;4;?09==5232095c2<5:986o4>f59>77e=9o>01>4`3349897<>0:?07=<59916?>o52028965d2;;;70=;4<909==523509646<5:>?6???;<172?4682785<48579'07c=?76a3498>7?j8:?076<6m116??o51d:8964e28o370==c;3f<>;4:m0:i55233g95`><5:8m6e99>763=:9l01>=7:32e?854i38;j63<3b814c=:;:o1>=h4=263>76a349?>7?>7):=e;56e>h3:m0>7p}:7`83>2}:??91?lk4=647>6gb34==97=ne:?423<4il16;;953`g8920?2:kn70;8b;143>{t=>o1<761034?3=7=>0:p=4>=839p14?<:83;?851=3>?<63<6b8705=#<;o15<94n50g>5=z{0;=6=4:{<;27??6>2789:4;419>70d=<=:01>8?:563?851<3>?<6*;2d8:52=i<;n1=6s|90794??|50;864?:;<16`?2382789k4;419>737=<=:01>8<:563?852<3>?<63<578705=:;<2189>4=27b>1273->9i77>7:l76a<53ty2=94?:6y>=45=18>01>;l:563?852m3>?<63<638705=:;<9189>4=276>127349>57:;0:&76`<>9>1e8?j53:p75d=839p14?<:22a?851>3>?<63:88804c=z{::h6=4<{<;27?57k27=;84<0b9>75g=;9l0q~=?d;297~;>9:08??;|q0=7<72:q65<=5380893122:3970=?a;123>{t;091<7=t=830>6?434<<97=63:?04d<4911v>7;:1808?6;39286397480=1=:;9k1?<74}r7:5?6=:rT=;95290191<752z\537=:189194>4}r7;b?6=:rT=;<5290191=`52z\535=:189195k4}r7;`?6=:rT=:k5290191=b52z\:46=:189195m4}r44e?6=:r72=>497`9>223=>>k0(99:0=;552667922><,=8n6;96;o61`?752z?:56<1?>16::;56658 14b2?=27c:=d;08yv00>3:1>v36128533=:>>?1::84$50f>31>3g>9h7=4}r;e4?6=?r72j=4704=<=:01>:j:563?853n3>?<63<518705=:;<;189>4=8d1>66b3ty=j84?:3y>3`b=m:16?;954358 14b2?l?7c:=d;28yv0a;3:1>v38eb8f7>;4>>0?>85+43g92c25<5s4=nn7k<;<153?25<2.?>h49f59m07b=:2wx:k?50;0x92cf2l901>88:501?!25m33`33g>9h7:4}r4fb?6=:r7"3:l0=j95a43f92>{t>ln1<7`5<5:<<6>hn;%61a?0a<2d?>i48;|q5b`<72;q64?95e29>73>=<;=0(94=z{?li6=4={<:11?c4349=47:=4:&76`<1nm1e8?j52:p2cg=838p15<;:d18960?2=897):=e;4e`>h3:m087p}9f883>7}:0;91i>5237:9077<,=8n6;hk;o61`?252z?;67on0b9;46*;2d85ba=i<;n1:6s|6g494?4|518;6h=4=24;>6`f3->9i78id:l76a<03ty<<:4?:3y><37=m:16?;754358 14b2>:=7c:=d;28yv17=3:1>v37618f7>;4>00?>85+43g93505<5s42>j7k<;<15=?25<2.?>h48079m07b=:2wx;==50;0x9=3b2l901>86:501?!25m3=;:6`;2e80?xu08;0;6?u284f9a6=:;?318??4$50f>2613g>9h7:4}r535?6=:r739n4j3:?02<<39<1/8?k57148j14c2<1v:>?:1818>2j3o870=99;63<>"3:l0<<;5a43f92>{t>ol1<7`5<5:<26>hn;%61a?17>2d?>i48;|q455<72;q644l5e29>73g=<;=0(94=z{>:o6=4={<::=?c4349=m7:=4:&76`<08o1e8?j52:p35e=838p1577:d18960f2=897):=e;53b>h3:m087p}80c83>7}:00=1i>5237c9077<,=8n6:>i;o61`?252z?;=32909w0665;g0?851i3>;46*;2d844c=i<;n1:6s|71:94?4|513?6h=4=24b>6`f3->9i79?f:l76a<03ty<=44?:3y>;37c:=d;28yv16?3:1>v37d58f7>;4>k0?>85+43g934>5<5s42o?7k<;<15f?25<2.?>h48199m07b=:2wx;<;50;0x9=b52l901>8m:501?!25m3=:46`;2e80?xu09=0;6?u28e39a6=:;?h18??4$50f>27?3g>9h7:4}r527?6=:r73h=4j3:?02g<39<1/8?k570:8j14c2<1v:?=:1818>dn3o870=9b;63<>"3:l0<=55a43f92>{t?8;1<7`5<5:hn;%61a?1602d?>i48;|q6<1<72;q695;5365890>02::n7p}90983>7}:;47e3->9i78?7:l76a<73ty=<44?:3y>70e=<;?01>;k:03:?!25m3<;;6`;2e82?xu18h0;6?u234a9072<5:?o6i4=;|q54g<72;q6?8m54308963c28;<7):=e;433>h3:m087p}90b83>7}:;4713->9i78?7:l76a<33ty=70e=<8?01>;k:02b?!25m3<;;6`;2e86?xu18l0;6?u234a905><5:?o6kj4$50f>3603g>9h784}r43b?6=:r789n470b=n81/8?k56158j14c2>1v;?>:181852l3>9;63<61825g=#<;o1:<>4n50g>5=z{?;96=4={<16`?25=278:=4>189'07c=>8:0b9;4>90:=55+43g92465<5s49>h7:=2:?025<69>1/8?k56028j14c2:1v;?::181852l3>9=63<618253=#<;o1:<>4n50g>1=z{?;=6=4={<16`?26=278:=4>0`9'07c=>8:0b9;4>90mh6*;2d8555=i<;n1:6s|60:94?4|5:?o6>hn;<154?`63->9i78>0:l76a<03ty=><4?:3y>70c=<;=01>;i:06:?!25m3<9<6`;2e83?xu19o0;6?u234g9073<5:?m6<:8;%61a?0582d?>i4>;|q55`<72;q6?8k54368963a28>=7):=e;414>h3:m097p}91e83>7}:;4223->9i78=0:l76a<43ty==n4?:3y>70c=<;;01>;i:067?!25m3<9<6`;2e87?xu19k0;6?u234g9043<5:?m6<=7;%61a?0582d?>i4:;|q55d<72;q6?8k541:8963a288i7):=e;414>h3:m0=7p}91883>7}:;47a3->9i78=0:l76a<03ty=>l4?:3y>70`=<;=01>8?:06:?!25m3<956`;2e83?xu1:10;6?u234d9073<5:<;6<:8;%61a?0512d?>i4>;|q562<72;q6?8h54368960728>=7):=e;41=>h3:m097p}92783>7}:;4223->9i78=9:l76a<43ty=>84?:3y>70`=<;;01>8?:067?!25m3<956`;2e87?xu1:=0;6?u234d9043<5:<;6<=7;%61a?0512d?>i4:;|q566<72;q6?8h541:89607288i7):=e;41=>h3:m0=7p}92383>7}:;47a3->9i78=9:l76a<03ty=?n4?:3y>737=<;=01>8;:03a?!25m3<8n6`;2e83?xu1;h0;6?u23739073<5:i4>;|q57<<72;q6?;?54368960328;37):=e;40f>h3:m097p}93983>7}:;?;18?<4=247>4703->9i78737=<;;01>8;:035?!25m3<8n6`;2e87?xu1;?0;6?u23739043<5:n;%61a?04j2d?>i4:;|q570<72;q6?;?541:896032on0(9808jl523769b4=#<;o1:>l4n50g>2=z{?>>6=4={<156?25?278:>4>1c9'07c=>=>0b9;4>:0:=45+43g92125<5s49=>7:=4:?026<6911/8?k56568j14c2;1v;:>:181851:3>9>63<628252=#<;o1:9:4n50g>6=z{?>;6=4={<156?259278:>4>179'07c=>=>0b9;4>:0:5<5s49=>7:?8:?026h49459m07b=>2wx:>j50;0x96052:lj70=93;d2?!25m3i4?;|q50f<72;q6?;=54378960328><7):=e;47`>h3:m0:7p}94c83>7}:;?918?:4=247>4213->9i78;d:l76a<53ty=8l4?:3y>735=<;801>8;:066?!25m3i4;;|q50=<72;q6?;=54078960328937):=e;47`>h3:m0>7p}94683>7}:;?918=64=247>44e3->9i78;d:l76a<13ty=8;4?:3y>735=;ok01>8;:03e?!25m3n;%61a?0b?2d?>i4?;|q5a3<72;q6?96541:896012on0(97=z{><96=4={<5581e8?j50:p336=838p1:88:d18962f2=897):=e;555>h3:m0:7p}85g83>7}:??<1i>5235c9077<,=8n6:8>;o61`?4i7>52z?420;46*;2d8424=i<;n186s|74a94?4|5><86h=4=26b>6`f3->9i7991:l76a<23ty>4=4?:3y>1=5=;8:0186>:254?xu1>:0;6?uQ671896022:lo7):=e;456>h3:m0;7p}96083>7}Y>?;01>8::2da?!25m3<=>6`;2e82?xu1>j0;6?uQ67a896022=8j7):=e;456>h3:m097p}96c83>7}Y>?h01>8::50;?!25m3<=>6`;2e80?xu1>h0;6?uQ67c896022=8<7):=e;456>h3:m0?7p}96883>7}Y>?301>8::506?!25m3<=>6`;2e86?xu1>10;6?uQ67:896022=8?7):=e;456>h3:m0=7p}96683>7}Y>?=01>8::501?!25m3<=>6`;2e84?xu1>?0;6?uQ674896022=8:7):=e;456>h3:m037p}96483>7}Y>??01>8::536?!25m3<=>6`;2e8:?xu1>=0;6?uQ676896022=:37):=e;456>h3:m0j7p}96183>7}Y>?:01>8::2db?!25m3<=>6`;2e8a?xu01;0;6?uQ7808960d2=8<7):=e;5:5>h3:m0;7p}89183>7}Y?0:01>8l:506?!25m3=2=6`;2e82?xu00o0;6?uQ79d8960d2=8?7):=e;5:5>h3:m097p}88d83>7}Y?1o01>8l:501?!25m3=2=6`;2e80?xu00m0;6?uQ79f8960d2=8:7):=e;5:5>h3:m0?7p}88b83>7}Y?1i01>8l:536?!25m3=2=6`;2e86?xu00k0;6?uQ79`8960d2=:37):=e;5:5>h3:m0=7p}88`83>7}Y?1k01>8l:2db?!25m3=2=6`;2e84?xu2k00;6?u23779b1=:;<=1?kj4$50f>0e?3g>9h7>4}r7`3?6=:r78:84i2:?012<4nk1/8?k55b:8j14c281v8j=:181851=3;:h63<56876d=#<;o19n64n50g>7=z{;4=>0?>:5+43g91f>5<5s49=97?>9:?012<3:<1/8?k55b:8j14c2<1v8mj:181851=3;:463<568761=#<;o19n64n50g>3=z{;4=>0?><5+43g91f>5<5s49=97??a:?012<39<1/8?k55b:8j14c201v8mn:181851=3lo70=:7;63<>"3:l0>o55a43f9e>{t=j<1<7c7<5:?<6>hn;%61a?3d02d?>i4m;|q6ba<72;q6?;;51308963e2:lo7):=e;7eg>h3:m0;7p}:fc83>7}:;??1=?>4=27a>6`e3->9i7;ic:l76a<63ty=<;4?:3y>733=9=h01>;m:50b?!25m3?mo6`;2e81?xu18<0;6?u2377951g<5:?i69<7;%61a?3ak2d?>i4<;|q541<72;q6?;;515;8963e2=8<7):=e;7eg>h3:m0?7p}90283>7}:;??1=994=27a>1423->9i7;ic:l76a<23ty=733=9=<01>;m:507?!25m3?mo6`;2e85?xu1880;6?u23779513<5:?i69<=;%61a?3ak2d?>i48;|q545<72;q6?;;51568963e2=8:7):=e;7eg>h3:m037p}:fg83>7}:;??1=>64=27a>1723->9i7;ic:l76a<>3ty>jh4?:3y>733=9;h01>;m:52;?!25m3?mo6`;2e8b?xu2nh0;6?u2377954`<5:?i6>hn;%61a?3ak2d?>i4m;|q56f<72;q6?;m510`896072=8<7):=e;41f>h3:m0;7p}92e83>7}:;?i1=<74=243>1423->9i78=b:l76a<63ty=>h4?:3y>73e=98201>8?:507?!25m3<9n6`;2e81?xu1:o0;6?u237a9541<5:<;69<=;%61a?05j2d?>i4<;|q575<72;q6?;m5104896072=8:7):=e;41f>h3:m0?7p}93083>7}:;?i1==o4=243>1723->9i78=b:l76a<23ty=??4?:3y>73e=nm16?;>541:8 14b2?8i7c:=d;48yv04;3:1>v3<6b8e5>;4>908jl5+43g927d5<5s49=o7?;9:?021<3:>1/8?k56448j14c291v;;::181851k3;?;63<658760=#<;o1:884n50g>4=z{???6=4={<15g?73>278:94;259'07c=><<0b9;4>=0?>?5+43g92005rs771>5<5s49=o7?;4:?021<3:81/8?k56448j14c2=1v;;>:181851k3;8463<658750=#<;o1:884n50g>0=z{??;6=4={<15g?75j278:94;099'07c=><<0b9;4>=08jl5+43g92005<5s49>;7h;;<160?5al2.?>h4:a09m07b=82wx9l>50;0x96302o801>;;:2da?!25m3?j=6`;2e82?xu2ik0;6?u2345954b<5:??69i4=;|q6ed<72;q6?89510a896332=837):=e;7b5>h3:m087p}:a883>7}:;<=1=1403->9i7;n1:l76a<33ty>m54?:3y>701=98301>;;:506?!25m3?j=6`;2e86?xu2i>0;6?u2345954><5:??69<;;%61a?3f92d?>i49;|q6e3<72;q6?895105896332=897):=e;7b5>h3:m0<7p}:a483>7}:;<=1=<84=277>1463->9i7;n1:l76am94?:3y>701=99k01>;;:536?!25m3?j=6`;2e8:?xu2i:0;6?u23459ba=:;<>18=64$50f>0g63g>9h7o4}r7:b?6=:r789:4i1:?011<4nh1/8?k55`38j14c2k1v8ll:181852?3;9>63<5780ba=#<;o19ol4n50g>5=z{;4=?0?>l5+43g91gd5<5s49>;7?;a:?013<3:11/8?k55c`8j14c2:1v8m<:181852?3;?563<578762=#<;o19ol4n50g>1=z{;4=?0?>95+43g91gd5<5s49>;7?;5:?013<3:;1/8?k55c`8j14c2>1v8li:181852?3;?863<578764=#<;o19ol4n50g>==z{;4=?0?<55+43g91gd5<5s49>;7?>f:?013<4nh1/8?k55c`8j14c2k1v8j9:181852j3l?70=:8;1e`>"3:l0>h85a43f94>{t=m>1<7c4<5:?36>hm;%61a?3c=2d?>i4>;|q6`c<72;q6?8l510f8963?2=8j7):=e;7g1>h3:m097p}:dd83>7}:;14?3->9i7;k5:l76a<43ty>hi4?:3y>70d=98h01>;7:504?!25m3?o96`;2e87?xu2lj0;6?u234`954?<5:?369<:;%61a?3c=2d?>i4:;|q6`g<72;q6?8l510:8963?2=8?7):=e;7g1>h3:m0=7p}:d`83>7}:;1453->9i7;k5:l76a<03ty>h44?:3y>70d=98<01>;7:502?!25m3?o96`;2e8;?xu2l10;6?u234`955g<5:?369?:;%61a?3c=2d?>i46;|q6`2<72;q6?8l5fe9>70>=<920(9g=z{;4=h08jo5+43g91``5<5s49>n7?;b:?01d<3:h1/8?k55dd8j14c2;1v8h7:181852j3;?m63<5`876==#<;o19hh4n50g>6=z{;4=h0?>85+43g91``5<5s49>n7?;6:?01d<3:=1/8?k55dd8j14c2?1v8h;:181852j3;?963<5`8767=#<;o19hh4n50g>2=z{;4=h0?=85+43g91``5<5s49>n7?=b:?01d<3811/8?k55dd8j14c2h1v8kk:181852j3;:j63<5`80bd=#<;o19hh4n50g>g=z{<3>6=4={<160?`3349>?7=id:&76`<21=1e8?j50:p1<5=838p1>;;:g0896342:li7):=e;7:0>h3:m0:7p}:9d83>7}:;<>1=14f3->9i7;64:l76a<53ty>5i4?:3y>702=98i01>;<:50;?!25m3?286`;2e80?xu21j0;6?u2346954d<5:?869<8;%61a?3><2d?>i4;;|q6=g<72;q6?8:510;896342=8>7):=e;7:0>h3:m0>7p}:9`83>7}:;<>1=<64=270>1433->9i7;64:l76a<13ty>544?:3y>702=98=01>;<:501?!25m3?286`;2e84?xu2110;6?u23469540<5:?869<>;%61a?3><2d?>i47;|q6=2<72;q6?8:511c896342=;>7):=e;7:0>h3:m027p}:9783>7}:;<>1ji52341905><,=8n687;;o61`?g7>52z?01140b9;4=<08ji5+43g91dc5<5s49>:7?=0:?010<4nk1/8?k55`g8j14c281v8l7:181852>3;?n63<54876d=#<;o19lk4n50g>7=z{;4=<0?>:5+43g91dc5<5s49>:7?;7:?010<3:<1/8?k55`g8j14c2<1v8l;:181852>3;?:63<548761=#<;o19lk4n50g>3=z{;4=<0?><5+43g91dc5<5s49>:7?<8:?010<39<1/8?k55`g8j14c201v8l?:181852>3;9n63<54874==#<;o19lk4n50g>d=z{6`e3->9i7;j2:l76a<63ty>in4?:3y>70g=98n01>;6:50b?!25m3?n>6`;2e81?xu2mk0;6?u234c954e<5:?269<7;%61a?3b:2d?>i4<;|q6ad<72;q6?8o510`8963>2=8<7):=e;7f6>h3:m0?7p}:e883>7}:;1423->9i7;j2:l76a<23ty>i54?:3y>70g=98201>;6:507?!25m3?n>6`;2e85?xu2m>0;6?u234c9541<5:?269<=;%61a?3b:2d?>i48;|q6a3<72;q6?8o51048963>2=8:7):=e;7f6>h3:m037p}:e483>7}:;1723->9i7;j2:l76a<>3ty>i94?:3y>70g=nm16?87541:8 14b2v3<5`8e5>;4=008jl5+43g91`45<5s4=:o7k<;<152?26=2.?>h49ec9m07b=82wx:ho50;0x927e2l901>89:52;?!25m33ce3g>9h7<4}r13=?6=:r7>444<119>75g=;>=0q~7i1;296~;>n90n?636f38032=z{;lm6=4<{<160?75:2789>4>239>704=;on0(9=523419576<5:?96>hm;%61a?4am2d?>i4>;|q04=<72:q6?8:515`8963428>i70=:2;61e>"3:l09jh5a43f96>{t;9=1<7=t=277>42f349>?7?;a:?017<3:11/8?k52gg8j14c2:1v>>9:180852<3;?563<52820<=:;<818?94$50f>7`b3g>9h7:4}r131?6=;r78994>469>705=9==01>;=:506?!25m38mi6`;2e86?xu48=0;6>u23469510<5:?86<:9;<166?25<2.?>h4=fd9m07b=>2wx?==50;1x963328>>70=:3;371>;4=;0?>?5+43g96cc5<4s49>87?;4:?016<6<=16?8<54338 14b2;ln7c:=d;:8yv5793:1?v3<55827==:;<91=>64=271>1723->9i73ty8<=4?:2y>702=9;h01>;<:00a?852:3>;46*;2d81b`=i<;n1m6s|2ga94?5|5:??6"3:l09ol5a43f94>{t:j31<7=t=275>c4<5:?>6k<4=26f>6`e3->9i7700=98n01>;::03g?853m3>9m6*;2d81gd=i<;n1>6s|2e194?5|5:?=6;4=<0:=o5235g9071<,=8n6?mn;o61`?253z?013<69016?8;510;8962b2=8>7):=e;0`e>h3:m0>7p}=d183>6}:;<<1=<64=276>47?349?i7:=4:&76`<5kh1e8?j56:p6f`=839p1>;9:034?852=3;:;63<4d8767=#<;o1>no4n50g>2=z{;in6=4<{<162?76>278984>179>71c=<;;0(9n69?:;%61a?4di2d?>i46;|q1gf<72:q6?885fe9>703=nm16?9k541:8 14b2;ij7c:=d;c8yv4d03:1?v3<578e5>;4=<0m=63<4d80bd=#<;o1>no4n50g>g=z{;n36=4<{<1697?=2:?00c<4nm1/8?k52e58j14c291v?j9:18085203l970=:5;314>;45<4s49>47?>d:?010<614?3->9i770>=98h01>;::06:?853n3>9;6*;2d81`2=i<;n186s|2eg94?5|5:?36;4=<0:8;5235d9072<,=8n6?j8;o61`?053z?01=<69>16?8;51578962a2=897):=e;0g3>h3:m0<7p}=dc83>6}:;<21=<84=276>423349?j7:=1:&76`<5l>1e8?j58:p6ag=839p1>;7:02b?852=3;8463<4g8750=#<;o1>i94n50g><=z{;n26=4<{<1697?=b:?00c<3811/8?k52e58j14c2h1v?j::18085203l:70=:5;32b>;45<4s49>47?=2:?01<0b9;4=00m>63<5180bg=#<;o1>h:4n50g>4=z{;on6=4<{<161e9>706=<;k0(9i4<;|q1af<72:q6?86515;8963>28;i70=:0;613>"3:l09i95a43f90>{t:lh1<7=t=27;>420349>57?>9:?015<3:<1/8?k52d68j14c2<1v?kn:18085203;?:63<58825==:;<:18?:4$50f>7c33g>9h784}r0f=?6=;r78954>449>70?=98=01>;?:501?!25m38n86`;2e84?xu5m10;6>u234:9512<5:?26h4=e59m07b=02wx>h950;1x963?289370=:9;33e>;4=90?=85+43g96`25<4s49>47?=b:?01<0b9;4=00m=63<5180bd=#<;o1>h:4n50g>g=z{;l96=4<{<16e?75:278944>239>707=;on0(9=5234;9576<5:?:6>hm;%61a?4a92d?>i4>;|q1bg<72:q6?8o515`8963>28>i70=:1;61e>"3:l09j<5a43f96>{t:ok1<7=t=27b>42f349>57?;a:?014<3:11/8?k52g38j14c2:1v?h6:180852i3;?563<58820<=:;<;18?94$50f>7`63g>9h7:4}r0e469>70?=9==01>;>:506?!25m38m=6`;2e86?xu5n>0;6>u234c9510<5:?26<:9;<165?25<2.?>h4=f09m07b=>2wx>k850;1x963f28>>70=:9;371>;4=80?>?5+43g96c75<4s49>m7?;4:?01<<6<=16?8?54338 14b2;l:7c:=d;:8yv4a<3:1?v3<5`827==:;<31=>64=272>1723->9i73ty9j>4?:2y>70g=9;h01>;6:00a?85293>;46*;2d81b4=i<;n1m6s|2dd94?5|5:?j612909w0=<4;1e`>;4;<0:io5+43g90=35<5s49887=ib:?070<6m01/8?k54978j14c281v96i:181854<3>9m63<3482bc=#<;o185;4n50g>7=z{=2n6=4={<100?250278?84>fe9'07c=<1?0b9c2909w0=<4;613>;4;<0:jn5+43g90=35<5s49887:=5:?070<6nk1/8?k54978j14c2<1v96m:181854<3>9863<3482bd=#<;o185;4n50g>3=z{=2j6=4={<100?25:278?84>f99'07c=<1?0b9>2909w0=<4;615>;4;<0:j:5+43g90=35<5s49887:>5:?070<6n?1/8?k54978j14c201v968:181854<3>;463<3482b1=#<;o185;4n50g>d=z{=286=4={<100?5ai278?84>e99'07c=<1?0b9;4;:09<95+43g90<`5<5s498:7=ib:?076<58;1/8?k548d8j14c281v9o6:181854>3>9m63<32815==#<;o184h4n50g>7=z{=k36=4={<102?250278?>4=179'07c=<0l0b9;4;:09=85+43g90<`5<5s498:7:=5:?076<59=1/8?k548d8j14c2<1v9o::181854>3>9863<328156=#<;o184h4n50g>3=z{=k?6=4={<102?25:278?>4=109'07c=<0l0b9;4;:09==5+43g90<`5<5s498:7:>5:?076<58o1/8?k548d8j14c201v9o>:181854>3>;463<32814a=#<;o184h4n50g>d=z{=3o6=4={<102?5ai278?>4=009'07c=<0l0b9;4;10:io5+43g90de5<5s498;7=ib:?07=<6m01/8?k54`a8j14c281v9l9:181854?3>9m63<3982bc=#<;o18lm4n50g>7=z{=h>6=4={<103?250278?54>fe9'07c=;4;10:jn5+43g90de5<5s498;7:=5:?07=<6nk1/8?k54`a8j14c2<1v9l=:181854?3>9863<3982bd=#<;o18lm4n50g>3=z{=h:6=4={<103?25:278?54>f99'07c=;4;10:j:5+43g90de5<5s498;7:>5:?07=<6n?1/8?k54`a8j14c201v9oj:181854?3>;463<3982b1=#<;o18lm4n50g>d=z{=kj6=4={<103?5ai278?54>e99'07c=;4;h0:io5+43g90f05<5s49857=ib:?07d<6m01/8?k54b48j14c281v9j?:18185413>9m63<3`82bc=#<;o18n84n50g>7=z{=im6=4={<10=?250278?l4>fe9'07c=;4;h0:jn5+43g90f05<5s49857:=5:?07d<6nk1/8?k54b48j14c2<1v9ml:18185413>9863<3`82bd=#<;o18n84n50g>3=z{=ii6=4={<10=?25:278?l4>f99'07c=;4;h0:j:5+43g90f05<5s49857:>5:?07d<6n?1/8?k54b48j14c201v9m7:18185413>;463<3`82b1=#<;o18n84n50g>d=z{=i?6=4={<10=?5ai278?l4>e99'07c=;4;j0:io5+43g90`65<5s498n7=ib:?07f<6m01/8?k54d28j14c281v9kn:181854j3>9m63<3b82bc=#<;o18h>4n50g>7=z{=o26=4={<10f?250278?n4>fe9'07c=;4;j0:jn5+43g90`65<5s498n7:=5:?07f<6nk1/8?k54d28j14c2<1v9k9:181854j3>9863<3b82bd=#<;o18h>4n50g>3=z{=o>6=4={<10f?25:278?n4>f99'07c=;4;j0:j:5+43g90`65<5s498n7:>5:?07f<6n?1/8?k54d28j14c201v9k=:181854j3>;463<3b82b1=#<;o18h>4n50g>d=z{=nn6=4={<10f?5ai278?n4>e99'07c=;4;l0:io5+43g90cg5<5s498h7=ib:?07`<6m01/8?k54gc8j14c281v8>;:181854l3>9m63<3d82bc=#<;o18ko4n50g>7=z{<:86=4={<10`?250278?h4>fe9'07c=;4;l0:jn5+43g90cg5<5s498h7:=5:?07`<6nk1/8?k54gc8j14c2<1v8>?:181854l3>9863<3d82bd=#<;o18ko4n50g>3=z{=lm6=4={<10`?25:278?h4>f99'07c=;4;l0:j:5+43g90cg5<5s498h7:>5:?07`<6n?1/8?k54gc8j14c201v9hl:181854l3>;463<3d82b1=#<;o18ko4n50g>d=z{=l36=4={<10`?5ai278?h4>e99'07c=;4<90:io5+43g91425<5s498j7=ib:?005<6m01/8?k55068j14c281v8?j:181854n3>9m63<4182bc=#<;o19<:4n50g>7=z{<;o6=4={<10b?2502788=4>fe9'07c==8>0b9;4<90:jn5+43g91425<5s498j7:=5:?005<6nk1/8?k55068j14c2<1v8?n:181854n3>9863<4182bd=#<;o19<:4n50g>3=z{<;26=4={<10b?25:2788=4>f99'07c==8>0b9;4<90:j:5+43g91425<5s498j7:>5:?005<6n?1/8?k55068j14c201v8?9:181854n3>;463<4182b1=#<;o19<:4n50g>d=z{<;96=4={<10b?5ai2788=4>e99'07c==8>0b9;4<;0:io5+43g917c5<5s49?=7=ib:?007<6m01/8?k553g8j14c281v8=7:18185393>9m63<4382bc=#<;o19?k4n50g>7=z{<9<6=4={<175?2502788?4>fe9'07c==;o0b9;4<;0:jn5+43g917c5<5s49?=7:=5:?007<6nk1/8?k553g8j14c2<1v8=;:18185393>9863<4382bd=#<;o19?k4n50g>3=z{<986=4={<175?25:2788?4>f99'07c==;o0b9;4<;0:j:5+43g917c5<5s49?=7:>5:?007<6n?1/8?k553g8j14c201v8=?:18185393>;463<4382b1=#<;o19?k4n50g>d=z{<8h6=4={<175?5ai2788?4>e99'07c==;o0b92909w0=;3;1e`>;4<=0:io5+43g911>5<5s49??7=ib:?001<6m01/8?k555:8j14c281v8;=:181853;3>9m63<4582bc=#<;o19964n50g>7=z{fe9'07c===20b9;4<=0:jn5+43g911>5<5s49??7:=5:?001<6nk1/8?k555:8j14c2<1v8:j:181853;3>9863<4582bd=#<;o19964n50g>3=z{<>o6=4={<177?25:278894>f99'07c===20b9;4<=0:j:5+43g911>5<5s49??7:>5:?001<6n?1/8?k555:8j14c201v8:n:181853;3>;463<4582b1=#<;o19964n50g>d=z{<>=6=4={<177?5ai278894>e99'07c===20b9;45<5s49?97=ib:?003<6m01/8?k55708j14c281v88l:181853=3>9m63<4782bc=#<;o19;<4n50g>7=z{<fe9'07c==?80b9;45<5s49?97:=5:?003<6nk1/8?k55708j14c2<1v887:181853=3>9863<4782bd=#<;o19;<4n50g>3=z{<<<6=4={<171?25:2788;4>f99'07c==?80b9;45<5s49?97:>5:?003<6n?1/8?k55708j14c201v88;:181853=3>;463<4782b1=#<;o19;<4n50g>d=z{<<;6=4={<171?5ai2788;4>e99'07c==?80b9f2909w07>3;1;e>;40k08;:5rs3`2>5<5s49>>7?=2:?077<4nm1/8?k52c28j14c291v?oi:181852:3;9<63<3380bg=#<;o1>o>4n50g>4=z{;hj6=4={<166?73j278??4;2`9'07c=:k:0b92909w0=:2;37e>;4;;0?>55+43g96g65rs3`;>5<5s49>>7?;9:?077<3:>1/8?k52c28j14c2=1v?l8:181852:3;?;63<338760=#<;o1>o>4n50g>0=z{;h=6=4={<166?73>278??4;259'07c=:k:0b9;4;;0?>?5+43g96g65<5s49>>7?;4:?077<3:81/8?k52c28j14c211v?l<:181852:3;8463<338750=#<;o1>o>4n50g><=z{;h96=4={<166?75j278??4;099'07c=:k:0b9;4;;08jl5+43g96g65<5s49>>7h;;<107?5al2.?>h4=be9m07b=82wx>om50;0x96352o801>=<:2da?!25m38ih6`;2e82?xu5k>0;6?u2340954b<5:9869i4=;|q1g3<72;q6?8<510a896542=837):=e;0a`>h3:m087p}=c483>7}:;<81=1403->9i7704=98301>=<:506?!25m38ih6`;2e86?xu5k:0;6?u2340954><5:9869<;;%61a?4el2d?>i49;|q1g7<72;q6?8<5105896542=897):=e;0a`>h3:m0<7p}=c083>7}:;<81=<84=210>1463->9i7704=99k01>=<:536?!25m38ih6`;2e8:?xu5jo0;6?u23409ba=:;:918=64$50f>7dc3g>9h7o4}r0af?6=:r789?4i1:?076<4nh1/8?k52cf8j14c2k1v?:6:181853m3;9>63<2`80ba=#<;o1>964n50g>5=z{;><6=4={<17a?758278>l4;4:h0?>l5+43g961>5<5s49?i7?;a:?06d<3:11/8?k525:8j14c2:1v?;?:181853m3;?563<2`8762=#<;o1>964n50g>1=z{;>m6=4={<17a?73?278>l4;249'07c=:=20b9;4:h0?>95+43g961>5<5s49?i7?;5:?06d<3:;1/8?k525:8j14c2>1v?:l:181853m3;?863<2`8764=#<;o1>964n50g>==z{;>i6=4={<17a?740278>l4;149'07c=:=20b9;4:h0?<55+43g961>5<5s49?i7?>f:?06d<4nh1/8?k525:8j14c2k1v?;9:181853m3l?70==b;1e`>"3:l09985a43f94>{t:<>1<7c4<5:8i6>hm;%61a?42=2d?>i4>;|q11c<72;q6?9k510f8964e2=8j7):=e;061>h3:m097p}=5d83>7}:;=o1=14?3->9i7<:5:l76a<43ty99i4?:3y>71c=98h01>96`;2e87?xu5=j0;6?u235g954?<5:8i69<:;%61a?42=2d?>i4:;|q11g<72;q6?9k510:8964e2=8?7):=e;061>h3:m0=7p}=5`83>7}:;=o1=<94=20a>1453->9i7<:5:l76a<03ty9944?:3y>71c=98<01>96`;2e8;?xu5=10;6?u235g955g<5:8i69?:;%61a?42=2d?>i46;|q112<72;q6?9k5fe9>77d=<920(98;4n50g>g=z{;<86=4={<17b?75:278>n4;4:j08jo5+43g96345<5s49?j7?;b:?06f<3:h1/8?k52708j14c2;1v?8m:181853n3;?m63<2b876==#<;o1>;<4n50g>6=z{;n4;269'07c=:?80b92909w0=;f;373>;4:j0?>85+43g96345<5s49?j7?;6:?06f<3:=1/8?k52708j14c2?1v?88:181853n3;?963<2b8767=#<;o1>;<4n50g>2=z{;<=6=4={<17b?73<278>n4;209'07c=:?80b9;4:j0?=85+43g96345<5s49?j7?=b:?06f<3811/8?k52708j14c2h1v?8?:181853n3;:j63<2b80bd=#<;o1>;<4n50g>g=z{;=;6=4={<17b?`33499h7=id:&76`<5>o1e8?j50:p63c=838p1>:i:g08964c2:li7):=e;05b>h3:m0:7p}=7883>7}:;=l1=14f3->9i7<9f:l76a<53ty9;54?:3y>71`=98i01>0;6?u235d954d<5:8o69<8;%61a?41n2d?>i4;;|q133<72;q6?9h510;8964c2=8>7):=e;05b>h3:m0>7p}=7483>7}:;=l1=<64=20g>1433->9i7<9f:l76a<13ty9;94?:3y>71`=98=01>;%61a?41n2d?>i47;|q137<72;q6?9h511c8964c2=;>7):=e;05b>h3:m027p}=7083>7}:;=l1ji5233f905><,=8n6?8i;o61`?g52z?00ci4;4:l08ji5+43g962e5<5s49><7?=0:?06`<4nk1/8?k526a8j14c281v?69:18185283;?n63<2d876d=#<;o1>:m4n50g>7=z{;2>6=4={<164?73i278>h4;299'07c=:>i0b932909w0=:0;37=>;4:l0?>:5+43g962e5<5s49><7?;7:?06`<3:<1/8?k526a8j14c2<1v?6=:18185283;?:63<2d8761=#<;o1>:m4n50g>3=z{;2:6=4={<164?73=278>h4;239'07c=:>i0b972909w0=:0;370>;4:l0?><5+43g962e5<5s49><7?<8:?06`<39<1/8?k526a8j14c201v?9j:18185283;9n63<2d874==#<;o1>:m4n50g>d=z{;=j6=4={<164?76n278>h4i0b9f2909w0=:0;d7?855n39mh6*;2d81<<=i<;n1<6s|29:94?4|5:?;6k<4=20e>6`e3->9i7<79:l76a<63ty95>4?:3y>706=98n01>i4<;|q1=4<72;q6?8>510`8964a2=8<7):=e;0;=>h3:m0?7p}=9183>7}:;<:1=<74=20e>1423->9i7<79:l76a<23ty94k4?:3y>706=98201>i48;|q151048964a2=8:7):=e;0;=>h3:m037p}=8b83>7}:;<:1==o4=20e>1723->9i7<79:l76a<>3ty94o4?:3y>706=nm16??h541:8 14b2;227c:=d;c8yv4??3:1>v3<518e5>;4:o08jl5+43g96=?5<5s49>=7?=2:?075<4nm1/8?k52848j14c291v?7::18185293;9<63<3180bg=#<;o1>484n50g>4=z{;k;6=4={<165?73j278?=4;2`9'07c=:0<0b9;4;90?>55+43g96<05rs3;f>5<5s49>=7?;9:?075<3:>1/8?k52848j14c2=1v?7k:18185293;?;63<318760=#<;o1>484n50g>0=z{;3h6=4={<165?73>278?=4;259'07c=:0<0b9;4;90?>?5+43g96<05<5s49>=7?;4:?075<3:81/8?k52848j14c211v?76:18185293;8463<318750=#<;o1>484n50g><=z{;336=4={<165?75j278?=4;099'07c=:0<0b9;4;908jl5+43g96<05<5s49>=7h;;<105?5al2.?>h4=a29m07b=82wx>l<50;0x96362o801>=>:2da?!25m38j?6`;2e82?xu5im0;6?u2343954b<5:9:69i4=;|q1ef<72;q6?8?510a896562=837):=e;0b7>h3:m087p}=ac83>7}:;<;1=1403->9i7707=98301>=>:506?!25m38j?6`;2e86?xu5i00;6?u2343954><5:9:69<;;%61a?4f;2d?>i49;|q1e=<72;q6?8?5105896562=897):=e;0b7>h3:m0<7p}=a683>7}:;<;1=<84=212>1463->9i7707=99k01>=>:536?!25m38j?6`;2e8:?xu5i<0;6?u23439ba=:;:;18=64$50f>7g43g>9h7o4}r0b5?6=:r789<4i1:?074<4nh1/8?k52`18j14c2k1v97<:181854:38;863<3480ba=#<;o184<4n50g>5=z{=3:6=4={<106?47:278?84;4;<0?>l5+43g90<45<5s498>7<>6:?070<3:11/8?k54808j14c2:1v97n:181854:38:963<348762=#<;o184<4n50g>1=z{=326=4={<106?46<278?84;249'07c=<080b9;4;<0?>95+43g90<45<5s498>7<>1:?070<3:;1/8?k54808j14c2>1v979:181854:38:<63<348764=#<;o184<4n50g>==z{=3>6=4={<106?47n278?84;149'07c=<080b9;4;<0?<55+43g90<45<5s498>75=z{=h36=4={<11e?47:278?54;4;10?>l5+43g90g?5<5s499m7<>6:?07=<3:11/8?k54c;8j14c2:1v9m>:181855i38:963<398762=#<;o18o74n50g>1=z{=i;6=4={<11e?46<278?54;249'07c=;4;10?>95+43g90g?5<5s499m7<>1:?07=<3:;1/8?k54c;8j14c2>1v9lk:181855i38:<63<398764=#<;o18o74n50g>==z{=hh6=4={<11e?47n278?54;149'07c=;4;10?<55+43g90g?5<5s499m75=z{=n96=4={<11f?47:278?l4;4;h0?>l5+43g90a55<5s499n7<>6:?07d<3:11/8?k54e18j14c2:1v9jm:181855j38:963<3`8762=#<;o18i=4n50g>1=z{=nj6=4={<11f?46<278?l4;249'07c=2909w0==b;027>;4;h0?>95+43g90a55<5s499n7<>1:?07d<3:;1/8?k54e18j14c2>1v9j8:181855j38:<63<3`8764=#<;o18i=4n50g>==z{=n=6=4={<11f?47n278?l4;149'07c=;4;h0?<55+43g90a55<5s499n75=z{=oh6=4={<11g?47:278?n4;4;j0?>l5+43g90`b5<5s499o7<>6:?07f<3:11/8?k54df8j14c2:1v9h::181855k38:963<3b8762=#<;o18hj4n50g>1=z{=l?6=4={<11g?46<278?n4;249'07c=;4;j0?>95+43g90`b5<5s499o7<>1:?07f<3:;1/8?k54df8j14c2>1v9h>:181855k38:<63<3b8764=#<;o18hj4n50g>==z{=l;6=4={<11g?47n278?n4;149'07c=;4;j0?<55+43g90`b5<5s499o77:181855l38;863<3d80ba=#<;o19=94n50g>5=z{<:=6=4={<11`?47:278?h4;4;l0?>l5+43g91515<5s499h7<>6:?07`<3:11/8?k55158j14c2:1v8>i:181855l38:963<3d8762=#<;o19=94n50g>1=z{<:n6=4={<11`?46<278?h4;249'07c==9=0b9;4;l0?>95+43g91515<5s499h7<>1:?07`<3:;1/8?k55158j14c2>1v8>m:181855l38:<63<3d8764=#<;o19=94n50g>==z{<:j6=4={<11`?47n278?h4;149'07c==9=0b92909w0==d;03`>;4;l0?<55+43g91515<5s499h75=z{<8;6=4={<11a?47:2788=4;4<90?>l5+43g91775<5s499i7<>6:?005<3:11/8?k55338j14c2:1v8<6:181855m38:963<418762=#<;o19??4n50g>1=z{<836=4={<11a?46<2788=4;249'07c==;;0b9;4<90?>95+43g91775<5s499i7<>1:?005<3:;1/8?k55338j14c2>1v8<::181855m38:<63<418764=#<;o19??4n50g>==z{<8?6=4={<11a?47n2788=4;149'07c==;;0b9;4<90?<55+43g91775<5s499i7l4n50g>5=z{<9j6=4={<11b?47:2788?4;4<;0?>l5+43g916d5<5s499j7<>6:?007<3:11/8?k552`8j14c2:1v8:<:181855n38:963<438762=#<;o19>l4n50g>1=z{<>96=4={<11b?46<2788?4;249'07c==:h0b9;4<;0?>95+43g916d5<5s499j7<>1:?007<3:;1/8?k552`8j14c2>1v8=i:181855n38:<63<438764=#<;o19>l4n50g>==z{<9n6=4={<11b?47n2788?4;149'07c==:h0b9;4<;0?<55+43g916d5<5s499j75=z{;4<=0?>l5+43g91035<5s498<7<>6:?001<3:11/8?k55478j14c2:1v8;k:181854838:963<458762=#<;o198;4n50g>1=z{;4<=0?>95+43g91035<5s498<7<>1:?001<3:;1/8?k55478j14c2>1v8;6:181854838:<63<458764=#<;o198;4n50g>==z{;4<=0?<55+43g91035<5s498<75=z{<2909w0=<1;02<>;4l5+43g913`5<5s498=7<>6:?003<3:11/8?k557d8j14c2:1v898:181854938:963<478762=#<;o19;h4n50g>1=z{<==6=4={<105?46<2788;4;249'07c==?l0b9;495+43g913`5<5s498=7<>1:?003<3:;1/8?k557d8j14c2>1v89<:181854938:<63<478764=#<;o19;h4n50g>==z{<=96=4={<105?47n2788;4;149'07c==?l0b9;45<5s498=76l:1878?d>3o870;8f;13b>;2?k0852z?6<<<4?>1695l531g8yv5093:1>=u245d972d<5:9?6fc9>761=9oi01>=8:0g:?85413;m;63<3882bg=:;:31=km4=21a>4`?3498n7?ic:?07g<6nm16?>l51d;8965c28l370=;4;m0:ji5232d95c1<5:9m6fg9>76`=9l301>:>:0d4?85393;m463<4082bg=:;=;1=kh4=260>4`f349??7?id:?006<6no16?9=51d;8962228lj70=;5;3e`>;4<<0:jk5rs251>5<60>q689m523;89600283j70=97;3b1>;4>>0:mo5237595de<5:<<6ag9>731=9k;01>88:0`7?851?3;ij63<6682g0=:;?=1=n84=244>4e0349=;7?l8:?022<6k016?;951b`8960?283j70=98;3b1>;4>10:mo5237:95de<5:<36ag9>73>=9k;01>87:0`7?85103;ij63<6982g0=:;?21=n84=24;>4e0349=47?l8:?02=<6k016?;651b`8960>283j70=99;3b1>;4>00:mo5237;95de<5:<26ag9>73?=9k;01>86:0`7?85113;ij63<6882g0=:;?31=n84=24:>4e0349=57?l8:?02<<6k016?;751b`8960f283j70=9a;3b1>;4>h0:mo5237c95de<5:ag9>73g=9k;01>8n:0`7?851i3;ij63<6`82g0=:;?k1=n84=24b>4e0349=m7?l8:?02d<6k016?;o51b`8960e283j70=9b;3b1>;4>k0:mo5237`95de<5:ag9>73d=9k;01>8m:0`7?851j3;ij63<6c82g0=:;?h1=n84=24a>4e0349=n7?l8:?02g<6k016?;l51b`8963d2o;01>;l:gf8963d28:j70=:c;322>;4=j0:=:5234a954><5:?h64i1:?0164>0`9>705=98<01>;<:034?852;3;:463<52825<=:;<91=47d349>?7?>d:?0164i4:?023<69o16?;8513`89601289370=<4;3f<>;4;=0:j95232695c0<5:9?6f`9>762=9oh01>=;:0d`?854<3;mh63<3582bc=:;:>1=hl4=217>777349887<>1:?071<59:16?>:5206896532;;>70=<4;022>;4;=09=5523269654<5:9?6?>;;<102?7a?278?;4>f99>760=9ok01>=9:0da?854>3;mo63<3782ba=:;:<1=kh4=215>4c>3498:7?jb:?073<58816?>8521f896512;;=70=<6;02<>;4;?09f79>761=9o201>=8:0db?854?3;mh63<3682bc=:;:=1=hl4=214>7773498;7<>1:?072<59:16?>95206896502;;>70=<7;022>;4;>09=5523259654<5:9<6?>;;<10=?7b0278?44>f59>76?=9o<01>=6:0d;?85413;mm63<3882ba=:;:31=kh4=21:>4c>349857?jb:?07<<59916?>752038965>2;;870=<9;020>;4;009=85232;9640<5:926??7;<10=?47:278?44=059>76d=9l201>=m:0d7?854j3;m:63<3c82b2=:;:h1=ko4=21a>4`e3498n7?if:?07g<6mk16?>l52028965e2;;:70=;4;k09=95232`9643<5:9i6??9;<10f?460278?o4=039>76d=:9>01>=k:0g;?854l3;m863<3e82b3=:;:n1=k94=21g>4`f3498h7?ib:?07a<6no16?>j51d;8965c28oi70=;4;m09=<5232f9645<5:9o6??;;<10`?46=278?i4=179>76b=:8201>=k:321?854l38;863<3g82a==:;:l1=k:4=21e>4`13498j7?ia:?07c<6nj16?>h51gf8965a28oi70=;4;o09=<5232d9645<5:9m6??;;<10b?46=278?k4=179>76`=:8201>=i:321?854n38;863<4082a==:;=;1=k:4=262>4`1349?=7?ia:?004<6nj16?9?51gf8962628o270=;1;3ff>;4<809==523539647<5:>:6??<;<175?46<2788<4=149>717=:8<01>:>:33;?853938;>63<408141=:;=91=h64=260>4`3349??7?i6:?006<6n>16?9=51g:8962428li70=;3;3eg>;4<:0:io523519646<5:>86??>;<177?46;2788>4=159>715=:8?01>:<:335?853;38:463<428147=:;=91>=:4=266>4c?349?97?i4:?000<6n?16?9;51g58962228l370=;5;3ef>;4<<0:jn5235795`?<5:>>6713=:8901>:::337?853=38:963<448153=:;=?1><64=266>765349?97<51ga8965528lo70=<2;3eb>;4;;0:i45232095`d<5:9864>fe9>765=9ol01>=<:0g:?854;3;nn63<2`82bg=:;;k1=km4=20b>4`c3499m7?if:?06d<6m016??o51d`8964e28li70==b;3eg>;4:k0:ji5233`95c`<5:8i6n4>fc9>77e=9oi01>4`e3499h7?ic:?06a<6nm16??j51gd8964c28o270==d;3ff>;4:l0:jo5233g95ce<5:8n6h4>e89>77c=9lh01>4c>3499j7?jb:?075<6nk16?>>51ga8965728lo70=<0;3eb>;4;90:i45232295`d<5:9:6fe9>767=9ol01>=>:0g:?85493;nn63<348144=:;:?1>=j4=216>771349897<>8:?070<58;16?>;52168965?2;::70=<8;03`>;4;109=;5232:964><5:936?>=;<1076g=:9n01>=n:335?854i38:463<3`8147=:;:k1>=:4=21`>7663498o7m520:8965d2;:970=;4;l09<<5232g965b<5:9n6??9;<10a?460278?h4=039>76c=:9>01>:?:322?853838;h63<418153=:;=:1><64=263>765349?<7;4<;09?6?>>;<170?47l278894=179>712=:8201>:;:321?853<38;863<478144=:;=<1>=j4=265>771349?:7<>8:?003<58;16?9852168yv3?>3:1>v36f18``>;20>08;:5rs8a;>5<44;2c9>332=<;h01:8::50a?811>3>9n63866876g=:??218?l4=63b>6gb34=:n7=ne:?45f<4il1648o53`g89=3e2:kn706:c;1ba>;?=m08mh5284g97dc<51?m6>oj;<:54?5fm273:<43`0=;ho01:k8:2cf?81b039ji638e880e`=:?lk1?lk4=6ga>6gb34=no7=ne:?4aa<4il164?>53`g89=462:kn706=2;1ba>;?::08mh5283697dc<518>6>oj;<:12?5fm273>:4<<2=;ho0157::2cf?8>>>39ji6379680e`=:0021?lk4=9;:>6gb3422m7=ne:?;=g<4il164nk53`g89=ea2:kn706k0;1ba>;?l808mh528e097dc<51n86>oj;<:g0?5fm273h84=45=<:n01;9::51g?8?d139<;6s|59c94?2|5>;j6nj4=63a>fb<5>;h6nj4=4:a>6103twi:lm50;097?3|D=>o6o1ik0;66a96d83>>d35}#<=>1>?o4H56a?j4513:17plj5;295d<729qG89j5fz&g1?2a3-n26:5+d`84=>"ck38:o6*kd;60?!bb2:=?7)ji:5g8 `>=<:i0(h7533;8 `g=;;30(hj53668 `c=<=90(??m:e9'72c=82.???4=1b9~H12b2;q/8>>5c69m067=;=1v(9:;:d68^f3=9rk?6pgk6;29?lbe2900ehm50;9j7=0=831b?5650;9j7=?=831b?lh50;9l06d=83.84=4;3`9m72`=821d8>750;&0<5<3;h1e?:h51:9l06>=83.84=4;3`9m72`=:21d8>950;&0<5<3;h1e?:h53:9l060=83.84=4;3`9m72`=<21d8>;50;&0<5<3;h1e?:h55:9l062=83.84=4;3`9m72`=>21d8>=50;&0<5<3;h1e?:h57:9lg`<722ehj7>5;nf3>5<>ic;3:17bj;:188ka1=831dh54?::m062<722e84:4?::p2dd=838pR;om;ad52z?f1?b134o>6nk4}r45a?6=:rT=:h52e48g3>{t;>;1<761e34o>6>69;|q037<72a0<40016i8450z&701<59m1C89l4L56g>4}#;;91<6sC45g96~"3;90hm6`;30824<=zaj<1<75fe283>>i4im0;66sm6e294?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fg>{e>m;1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6no4}ha5>5<>i4im0;66sm6e:94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fg>{e>m31<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6no4}ha5>5<>i4im0;66sm6ec94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fg>{e>mh1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6no4}ha5>5<>i4im0;66sm6ea94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fg>{e>mn1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6no4}ha5>5<>i4im0;66sm6eg94?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fg>{e>ml1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6no4}ha5>5<>i4im0;66sm6e094?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fg>{e>m91<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6no4}ha5>5<>i4im0;66sm6e694?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fg>{e>m?1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6no4}ha5>5<>i4im0;66sm6e494?2=83:p(9:;:33f?M23j2F?8i4>{%117?6?i7?t$513>fg>{e>m=1<7:50;2x 1232;;n7E:;b:N70a<6s-99?7>4}M67a?7|,=9;6no4}ha5>5<>i4im0;66sm33294?4=83:p@9:k:5y'gf<4?;%116?46k2.8>84j7:O01c=:r.??=4la:l774<68l1v(9:;:23e?l57m3:17b=87;29?xd4<>0;6>>50;2xH12b2>q/?o?564d8 6>528o<7):;6;08 6>32:l27):;5;28 1572:=87c:<1;6g=>{#<=>1?994Zb797~232=?18;4ri0g;>5<#;1:1=hh4n25e>c=5<#;1:1=hh4n25e>a=5<#;1:1=hh4n25e>g=5<#;1:1=hh4n25e><=5<#;1:1=hh4n25e>2=5<#;1:1=hh4n25e>0=5<#;1:1=hh4n25e>6=5<#;1:1=hh4n25e>4=5<#;1:1>=64n25e>c=5<#;1:1>=64n25e>a=5<#;1:1>=64n25e>g=5<#;1:1>=64n25e><=6=4+392965>5<#;1:1>=64n25e>2=5<#;1:1>=64n25e>0=5<#;1:1>=64n25e>6=5<#;1:1>=64n25e>4=5<#;1:18=?4n25e>c=5<#;1:18=?4n25e>a=5<#;1:18=?4n25e>g=5<#;1:18=?4n25e><=5<#;1:18=?4n25e>2=5<#;1:18=?4n25e>0=5<#;1:18=?4n25e>6=5<#;1:18=?4n25e>4=>j:188k6102900qo=66;2954<729q/89:5b`9K01d5<5<5<5<5<5<5<6=44i235>5<52z\4=1=:0oo1:5<4$50f>2?23g>9h7?4}r5:7?6=:rT<5>528gg92=7<,=8n6:7:;o61`?452z\4=d=:0ol1:5:4$50f>2?>3g>9h7>4}r5:52z\4=2=:0ol1:5?4$50f>2?>3g>9h7<4}r5:a?6=:rT<5h5291292=2<,=8n6:7k;o61`?652z\4=f=:19:1:5<4$50f>2?c3g>9h7?4}r5:f?6=:rT<5o5291292=7<,=8n6:7k;o61`?47>52z\4e7=:19;1:5:4$50f>2g63g>9h7>4}r5b4?6=:rT;o61`?752z\4=c=:19;1:5?4$50f>2g63g>9h7<4}r5b2?6=:rT52z\4e1=:1981:5<4$50f>2g23g>9h7?4}r5b7?6=:rT5291092=7<,=8n6:o:;o61`?452z\5ed=:;;:1?=k4}r;3g?6=:rT252z\5=d=:0oo1:474}r4:f?6=:rT=5o528gd9252z\5=f=:19:1:474}r4:`?6=:rT=5i529139252z\5=`=:1981:474}rc20?6=:r73jh46bd9>2`6=?m?0@9:k:0y'775=82w/8?k5a018j14c291vl?=:1818>am33io639e184`6=K<=n1=v*<2283?x"3:l0j=>5a43f95>{ti8;1<74}%61a?g6;2d?>i4=;|qb55<72;q64kk59cc893c72>n:7A:;d;3x 644291v(9o6{#<;o1m<=4n50g>1=z{h:n6=4={<:ea??e027=i=48cg9O01b=9r.8>>4?;|&76`h3:m0=7p}n0b83>7}:0oo15o84=7g3>2ec3E>?h7?t$200>5=z,=8n6l?<;o61`?152z?;b`<>j<16:h>57ba8H12c28q/??=50:'07c=i890b9;1m90{%117?69i7o>3:l76a<<:19~ 14b2h;87c:=d;`8yvg7>3:1>v37fd8:f5=:>l:1;n94L56g>4}#;;91<6s+43g9e455<5s42mi77nf:?5a5<0k?1G89j51z&066<73t.?>h4n129m07b=l2wxm=:50;0x9=`b20kn708j0;5`1>J3d743g>9h7h4}rc36?6=:r73jh46ab9>2`6=?j90@9:k:0y'775=82w/8?k5a018j14c28:0q~o?1;296~;?nl02mo526d293f4o6{#<;o1m<=4n50g>4752z?;b`<>ih16:h>57b38H12c28q/??=50:'07c=i890b9v37fd8:e<=:>l:1;n>4L56g>4}#;;91<6s+43g9e452`6=?ko0@9:k:0y'775=82w/8?k5a018j14c28>0q~7ic;296~;?nl02m;526d293gbo6{#<;o1m<=4n50g>4352z?;b`<>i<16:h>57ca8H12c28q/??=50:'07c=i890b9v37fd8:e1=:>l:1;ol4L56g>4}#;;91<6s+43g9e452`6=?kk0@9:k:0y'775=82w/8?k5a018j14c2820q~7i8;296~;?nl02m?526d293g?o6{#<;o1m<=4n50g>4?52z?;b`<>i816:h>57c:8H12c28q/??=50:'07c=i890b93:1>v37fd8:e5=:>l:1;o94L56g>4}#;;91<6s+43g9e452`6=?k<0@9:k:0y'775=82w/8?k5a018j14c28i0q~7i4;296~;?nl025h526d293g3o6{#<;o1m<=4n50g>4b52z?;b`<>k<16:h>57ea8H12c28q/??=50:'07c=i890b9v37fd8:g1=:>l:1;il4L56g>4}#;;91<6s+43g9e452`6=?mk0@9:k:0y'775=82w/8?k5a018j14c2;:0q~o>8;296~;?nl02o?526d293a?o6{#<;o1m<=4n50g>7752z?;b`<>k816:h>57e:8H12c28q/??=50:'07c=i890b93:1>v37fd8:g5=:>l:1;i94L56g>4}#;;91<6s+43g9e452`6=?m<0@9:k:0y'775=82w/8?k5a018j14c2;>0q~o?9;296~;?nl02n>526d293fgo6{#<;o1m<=4n50g>7352z?;b`<>i116:h>57cd8H12c28q/??=50:'07c=i890b9v37fd8:=a=:>l:1;o:4L56g>4}#;;91<6s+43g9e452`6=>>h0@9:k:0y'775=82wvl=k:1818>an33ii639e18;4c=K<=n1=v*<2283?x"3:l0j?n5a43f94>{ti:h1<74}%61a?g4k2d?>i4>;|qb7d<72;q64kh59c`893c721:h7A:;d;3x 644291v(9o6{#<;o1m>m4n50g>6=z{h936=4={<:eb??e127=i=470`9O01b=9r.8>>4?;|&76`h3:m0>7p}n3783>7}:0ol15o94=7g3>=6?3E>?h7?t$200>5=z,=8n6l=l;o61`?052z?;bc<>j?16:h>58158H12c28q/??=50:'07c=i:i0b9;1m903<;5C45f95~"4::0;7p*;2d8b7f=i<;n146s|a2194?4|51lm64l;;<4f4?>7=2F?8i4>{%117?69i7o3tyj?<4?:3y><<:19~ 14b2h9h7c:=d;c8yvg483:1>v37fg8:f4=:>l:14=<4L56g>4}#;;91<6s+43g9e6e5<5s42mj77m0:?5a5h4n3b9m07b=k2wxm?k50;0x9=`a20km708j0;:34>J3d5d3g>9h7k4}rc1g?6=:r73jk46ae9>2`6=?oo0@9:k:0y'775=82w/8?k5a2a8j14c2o1vlan33jo639e184ba=K<=n1=v*<2283?x"3:l0j?n5a43f955=z{h8j6=4={<:eb??fj27=i=48fb9O01b=9r.8>>4?;|&76`2909w06if;;be>;1m905<5s42mj77n9:?5a5<0nh1G89j51z&066<73t.?>h4n3b9m07b=9:1vl<9:1818>an33j;639e184b==K<=n1=v*<2283?x"3:l0j?n5a43f951=z{h8>6=4={<:eb??f>27=i=48f69O01b=9r.8>>4?;|&76`;1m905<5s42mj77n4:?5a5<0n<1G89j51z&066<73t.?>h4n3b9m07b=9>1vl<=:1818>an33j?639e184b1=K<=n1=v*<2283?x"3:l0j?n5a43f95==z{h8:6=4={<:eb??f:27=i=48f29O01b=9r.8>>4?;|&76`;1m905<5s42mj77n0:?5a5<0n81G89j51z&066<73t.?>h4n3b9m07b=9k1vl?j:1818>an332j639e184b5=K<=n1=v*<2283?x"3:l0j?n5a43f95f=z{h;o6=4={<:eb??>m27=i=48eg9O01b=9r.8>>4?;|&76`;1m903=;5C45f95~"4::0;7p*;2d8b7f=i<;n1=h5rs`60>5<5s42mj77l4:?5a5h4n3b9m07b=9o1vl:=:1818>an33h?639e18;51=K<=n1=v*<2283?x"3:l0j?n5a43f965=z{h>:6=4={<:eb??d:27=i=47129O01b=9r.8>>4?;|&76`;1m903=?5C45f95~"4::0;7p*;2d8b7f=i<;n1>?5rs`1e>5<5s42mj77l0:?5a5h4n3b9m07b=::1vl=j:1818>an33ij639e18;55=K<=n1=v*<2283?x"3:l0j?n5a43f961=z{h996=4={<:eb??e;27=i=47059O01b=9r.8>>4?;|&76`;1m90;5rs`3`>5<5s42mj776d:?5a5<0ml1G89j51z&066<73t.?>h4n3b9m07b=:>1v4?l:1818>an33:m639e1853f=K<=n1=v*<2283?x{ti?<1<74}%61a?g1=2d?>i4?;|qb21<72;q65=>59ca893c721><7A:;d;3x 644291v(98902no526d29<10o6{#<;o1m;;4n50g>7=z{h<96=4={<;34??ei27=i=47449O01b=9r.8>>4?;|&76`<1e8?j53:pe37=838p14>?:8`:?80b832?86B;4e82!55;3:0q):=e;c51>h3:m0?7p}n6183>7}:19:15o64=7g3>=243E>?h7?t$200>5=z,=8n6l8:;o61`?3j7>52z?:45<>j>16:h>58508H12c28q/??=50:'07c=i??0b9;1m9038<5C45f95~"4::0;7p*;2d8b20=i<;n1;6s|a4f94?4|50:;64l:;<4f4?>382F?8i4>{%117?69i7o95:l76a=56=1k>01;k?:91e?I23l3;p(><<:19~ 14b2h<>7c:=d;;8yvg2i3:1>v36018:f7=:>l:14>j4L56g>4}#;;91<6s+43g9e335<5s43;<77m1:?5a5h4n649m07b=j2wxm8650;0x9<6720h;708j0;:0f>J30;6?u29129=d`<5?o;65=n;M67`?7|,:886=5r$50f>d023g>9h7j4}rc62?6=:r72<=46ad9>2`6=0:30@9:k:0y'775=82w/8?k5a778j14c2l1vl;::1818?7833jh639e18;7==K<=n1=v*<2283?x"3:l0j:85a43f9b>{ti<>1<74}%61a?g1=2d?>i4>0:pe05=838p14>?:8ca?80b8328:6B;4e82!55;3:0q):=e;c51>h3:m0:=6s|a4094?4|50:;64on;<4f4?>4=2F?8i4>{%117?69i7o95:l76a<6:2wxm8?50;0x9<6720k2708j0;:00>J3{ti=l1<74}%61a?g1=2d?>i4>4:pe1c=838p14>?:8c5?80b8328=6B;4e82!55;3:0q):=e;c51>h3:m0:96s|a5f94?4|50:;64o:;<4f4?>482F?8i4>{%117?69i7o95:l76a<6>2wxm9m50;0x9<6720k?708j0;:1b>J3{ti=h1<74}%61a?g1=2d?>i4>8:pe1g=838p14>?:8c1?80b8329h6B;4e82!55;3:0q):=e;c51>h3:m0:56s|a5;94?4|50:;64o>;<4f4?>5k2F?8i4>{%117?69i7o95:l76a<6i2wxm9650;0x9<6720k;708j0;:1f>J3{ti==1<74}%61a?g1=2d?>i4>c:pe10=838p14>?:8;f?80b832956B;4e82!55;3:0q):=e;c51>h3:m0:h6s|a7f94?4|50:;64m:;<4f4?>282F?8i4>{%117?69i7o95:l76a<6m2wxm;m50;0x9<6720i?708j0;:7b>J3{ti?h1<74}%61a?g1=2d?>i4=0:pe3g=838p14>?:8a1?80b832?h6B;4e82!55;3:0q):=e;c51>h3:m09=6s|a7;94?4|50:;64m>;<4f4?>3k2F?8i4>{%117?69i7o95:l76a<5:2wxm;650;0x9<6720i;708j0;:7f>J3{ti?=1<74}%61a?g1=2d?>i4=4:pe0d=838p14>?:8`0?80b8328i6B;4e82!55;3:0q):=e;c51>h3:m0996s|a4294?4|50:;64o7;<4f4?>4;2F?8i4>{%117?69i7o95:l76a<5>2wxm9;50;0x9<67203o708j0;:1<>J3{t18n1<7<7f344}|qb8802nn526d29<=7o6{#<;o1m5k4n50g>4=z{h2h6=4={<;35??ej27=i=47819O01b=9r.8>>4?;|&76`>:8`b?80b832h3:m087p}n8`83>7}:19;15o74=7g3>=1b3E>?h7?t$200>5=z,=8n6l6j;o61`?252z?:44<>j116:h>586f8H12c28q/??=50:'07c=i1o0b9?2909w07?1;;a3>;1m903;n5C45f95~"4::0;7p*;2d8b<`=i<;n1:6s|a9594?4|50::64l9;<4f4?>0j2F?8i4>{%117?69i7o7e:l76a<03tyj4;4?:3y>=57=1k?01;k?:95b?I23l3;p(><<:19~ 14b2h2n7c:=d;:8yvg?=3:1>v36008:f1=:>l:14:74L56g>4}#;;91<6s+43g9e=c5<5s43;=77m2:?5a51G89j51z&066<73t.?>h4n8d9m07b=i2wxm5<50;0x9<6620h:708j0;:42>J3d>b3g>9h7m4}rc;4?6=:r72<<46ag9>2`6=0>>0@9:k:0y'775=82w/8?k5a9g8j14c2m1vl9i:1818?7933ji639e18;36=K<=n1=v*<2283?x"3:l0j4h5a43f9a>{ti>o1<74}%61a?g?m2d?>i4i;|qb3a<72;q65=?59`a893c721=:7A:;d;3x 644291v(97}:19;15ll4=7g3>=173E>?h7?t$200>5=z,=8n6l6j;o61`?763tyj;o4?:3y>=57=1hk01;k?:94e?I23l3;p(><<:19~ 14b2h2n7c:=d;31?xuf?h0;6?u29139=d?<5?o;658j;M67`?7|,:886=5r$50f>d>b3g>9h7?<;|qb3=<72;q65=?59`5893c7217}:19;15l84=7g3>=0e3E>?h7?t$200>5=z,=8n6l6j;o61`?723tyj;;4?:3y>=57=1h?01;k?:94b?I23l3;p(><<:19~ 14b2h2n7c:=d;35?xuf?<0;6?u29139=d2<5?o;6586;M67`?7|,:886=5r$50f>d>b3g>9h7?8;|qb31<72;q65=?59`1893c721<37A:;d;3x 644291v(97}:19;15l<4=7g3>=003E>?h7?t$200>5=z,=8n6l6j;o61`?7>3tyj;?4?:3y>=57=1h;01;k?:945?I23l3;p(><<:19~ 14b2h2n7c:=d;3b?xuf?80;6?u29139=d6<5?o;658:;M67`?7|,:886=5r$50f>d>b3g>9h7?m;|qb35<72;q65=?598d893c7217}:19;154k4=7g3>=043E>?h7?t$200>5=z,=8n6l6j;o61`?7c3tyj5;4?:3y>=57=1j?01;k?:9:b?I23l3;p(><<:19~ 14b2h2n7c:=d;3f?xuf1<0;6?u29139=f2<5?o;6566;M67`?7|,:886=5r$50f>d>b3g>9h7?i;|qb=1<72;q65=?59b1893c721237A:;d;3x 644291v(97}:19;15n<4=7g3>=>03E>?h7?t$200>5=z,=8n6l6j;o61`?463tyj5?4?:3y>=57=1j;01;k?:9:5?I23l3;p(><<:19~ 14b2h2n7c:=d;01?xuf180;6?u29139=f6<5?o;656:;M67`?7|,:886=5r$50f>d>b3g>9h7<<;|qb=5<72;q65=?59cd893c7212?7A:;d;3x 644291v(97}:19;15o=4=7g3>=1?3E>?h7?t$200>5=z,=8n6l6j;o61`?423tyj;44?:3y>=57=1h201;k?:94g?I23l3;p(><<:19~ 14b2h2n7c:=d;05?xuf>l0;6?u29139=d>b3g>9h7<8;|q:5`<72;q65=?590c893c72?=n7A:;d;3x 644291vq~om8;296~;>8;02nh526d29o6{#<;o1mo94n50g>5=z{hh=6=4={<;36??ek27=i=47bc9O01b=9r.8>>4?;|&76`1e8?j51:peg3=838p14>=:8`a?80b832im6B;4e82!55;3:0q):=e;ca3>h3:m097p}nb583>7}:19815oo4=7g3>=d>3E>?h7?t$200>5=z,=8n6ll8;o61`?552z?:47<>j016:h>58c:8H12c28q/??=50:'07c=ik=0b9;1m903n:5C45f95~"4::0;7p*;2d8bf2=i<;n196s|ac394?4|50:964l8;<4f4?>e>2F?8i4>{%117?69i7om7:l76a<13tyjn=4?:3y>=54=1k<01;k?:9`6?I23l3;p(><<:19~ 14b2hh<7c:=d;58yvgfn3:1>v36038:f0=:>l:14o:4L56g>4}#;;91<6s+43g9eg15<5s43;>77m4:?5a5h4nb69m07b=12wxmlm50;0x9<6520h9708j0;:a5>J3dd03g>9h7l4}rcbe?6=:r722`6=0hl0@9:k:0y'775=82w/8?k5ac58j14c2j1vlo6:1818?7:33jj639e18;e`=K<=n1=v*<2283?x"3:l0jn:5a43f9`>{tih21<74}%61a?ge?2d?>i4j;|qbe2<72;q65=<59`f893c721kh7A:;d;3x 644291v(98;02mn526d29o6{#<;o1mo94n50g>4652z?:47<>ik16:h>58`c8H12c28q/??=50:'07c=ik=0b9v36038:ed=:>l:14l74L56g>4}#;;91<6s+43g9eg12`6=0h20@9:k:0y'775=82w/8?k5ac58j14c2890q~on1;296~;>8;02m:526d29o6{#<;o1mo94n50g>4252z?:47<>i?16:h>58`78H12c28q/??=50:'07c=ik=0b9n3:1>v36038:e0=:>l:14l:4L56g>4}#;;91<6s+43g9eg12`6=0h90@9:k:0y'775=82w/8?k5ac58j14c28=0q~o6d;296~;>8;02m>526d29o6{#<;o1mo94n50g>4>52z?:47<>i;16:h>58`38H12c28q/??=50:'07c=ik=0b9j3:1>v36038:e4=:>l:14l>4L56g>4}#;;91<6s+43g9eg12`6=00l0@9:k:0y'775=82w/8?k5ac58j14c28h0q~o69;296~;>8;025k526d29<o6{#<;o1mo94n50g>4e52z?:47<>1l16:h>588f8H12c28q/??=50:'07c=ik=0b9v36038:g0=:>l:14n:4L56g>4}#;;91<6s+43g9eg12`6=0j90@9:k:0y'775=82w/8?k5ac58j14c28l0q~omd;296~;>8;02o>526d29o6{#<;o1mo94n50g>7652z?:47<>k;16:h>58b38H12c28q/??=50:'07c=ik=0b9v36038:g4=:>l:14n>4L56g>4}#;;91<6s+43g9eg12`6=0kl0@9:k:0y'775=82w/8?k5ac58j14c2;90q~om9;296~;>8;02nk526d29o6{#<;o1mo94n50g>7252z?:47<>j:16:h>58c08H12c28q/??=50:'07c=ik=0b9v36038:e==:>l:14l94L56g>4}#;;91<6s+43g9eg12`6=00i0@9:k:0y'775=82w/8?k5ac58j14c2;=0q~7>f;296~;>8;02=l526d292=6o6{z{?ki6=4={<4bg?0fj278>=4<769O01b=9r.8>>48;|p3g6=83ipR:ol;_5b`>X0il1U;lh4^6`3?8>am3=35637fg84<<=:19:1;574=822>2>>343;>7979:?:40<0ik16:h>57``8H12c28q/??=50:~w33>2909w06ie;46<>;1m90=:k5C45f95~"4::0;7p*;2d851a=i<;n186s|64c94?4|51lm6;;7;<4f4?0082F?8i4>{%117?69i78:d:l76a<43ty=9o4?:3y>=56=><201;k?:752?I23l3;p(><<:19~ 14b2??o7c:=d;08yv02k3:1>v3600851==:>l:1::<4L56g>4}#;;91<6s+43g920b5<5s43;>78:8:?5a5<1?=1G89j51z&066<73t.?>h495e9m07b=82wx:;=50;0xZ30434;1e8?j50:p237=838pR;8>;<4f4?0192.?>h49639m07b=92wx:;m50;0xZ30d34;1e8?j52:p23d=838pR;8m;<4f4?01j2.?>h49639m07b=;2wx:;o50;0xZ30f34;1e8?j54:p23?=838pR;86;<4f4?0112.?>h49639m07b==2wx:;650;0xZ30?34;1e8?j56:p231=838pR;88;<4f4?01?2.?>h49639m07b=?2wx:;850;0xZ30134;1e8?j58:p233=838pR;8:;<4f4?01=2.?>h49639m07b=12wx:;:50;0xZ30334;1e8?j5a:p236=838pR;8?;<4f4?0182.?>h49639m07b=j2wx;4<50;0xZ2?53482.?>h48909m07b=92wx;5h50;0xZ2>a34h48909m07b=;2wx;5j50;0xZ2>c34h48909m07b==2wx;5l50;0xZ2>e34h48909m07b=?2wx5==50;0xZ<6434m;<4f4??d>2wx:lj50;3:8>am33gf343;>78na:?:40<1>l16:h>56`c893gd2?3i=708k7;a5?I23l3;p(><<:19~yv?7?3:14v37fd8:g2=:0ol15n94=823>k>165=;5932893c720i<707?7;1b`>{t>k?1<7=t=7f4>6gc349?;7?i0:?0=3<48o1/8?k56c68j14c291v;l<:18080c>39jh63<4682a`=:;0<1?<>4$50f>3d33g>9h7?4}r4a6?6=;r7=h84711=9ln01>79:234?!25m3u26e697db<5:><6h49b59m07b=;2wx:o>50;1x93b42:ko70=;7;3ff>;41?08=45+43g92g25<4s47=nd:?002<6m016?48530c8 14b2?h?7c:=d;78yv0em3:1?v39dg80ea=:;==1=kh4=2;5>67e3->9i78m4:l76a<13ty=ni4?:2y>2ac=;hn01>:8:0dg?85>>39:o6*;2d85f1=i<;n1;6s|6ca94?5|5?no6>ok;<173?7ak2785;4<1e9'07c=>k>0b9;4<>0:jo52384974c<,=8n6;l;;o61`??53z?5`g<4im16?9951gc896?12:;:7):=e;4a0>h3:m0j7p}9b883>6}:>mk1?lj4=264>4`?3492:7=>2:&76`<1j=1e8?j5b:p2g>=839p1;j6:2cg?853?3;m;63<978056=#<;o1:o:4n50g>f=z{?h<6=4<{<4gf79>7<0=;8>0(9?:;%61a?0e<2d?>i4j;|q5e`<72:q6:i>53`f8962028o370=66;122>"3:l0=n95a43f9b>{t>j<1<7`5<5:><69>=;%61a?0d=2d?>i4?;|q5g1<72;q6:i85e29>711=<9:0(97=z{?i96=4={<4g0?c4349?;7=ie:&76`<1k<1e8?j53:p2f7=838p1;j<:d1896202:lo7):=e;4`1>h3:m0?7p}9c183>7}:>m81i>5235597cd<,=8n6;m:;o61`?352z?5`cj?0b9946*;2d85g0=i<;n1;6s|6bf94?4|5?no6h=4=264>1403->9i78l5:l76a2ae=m:16?9954378 14b2?i>7c:=d;;8yv0dj3:1>v39dc8f7>;4<>0?>95+43g92f35<5s4h49c49m07b=j2wx:n750;0x93b>2l901>:8:502?!25m33e23g>9h7j4}r4`3?6=:r7=h<4j3:?002<3811/8?k56b78j14c2l1v;li:18180c83o870=;7;1ee>"3:l0=o85a43f9b>{t;0?1<7=t=824>`5<5:336>>j;<1:2?50?2wx?:?50;:x912a2:=i706ie;4:0>;?no0=585291292<3<50:;6;7;;<;35?0>>272=54=>0>0q~=82;29a~;34528gg92<><51ln6;79;<:ea?0>=273jk49999>0<015hi:7;7?8?783<246360185=3=:19;1:464=822>3?2343;=7864:?:47<111165=<56878yv5>?3:1=m;1oi526e:9ga=:>m31oi526ec9ga=:>mh1oi526ea9ga=:>mn1oi526eg9ga=:>ml1oi526e09ga=:>m91oi526e69ga=:>m?1oi526e49ga=:>m=1oi5238:9721 Parameter TMPDIR set to xst/projnav.tmp + + +Total REAL time to Xst completion: 1.00 secs +Total CPU time to Xst completion: 0.13 secs + +--> Parameter xsthdpdir set to xst + + +Total REAL time to Xst completion: 1.00 secs +Total CPU time to Xst completion: 0.13 secs + +--> Reading design: FrontEnd_Acq.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Parsing + 3) HDL Elaboration + 4) HDL Synthesis + 4.1) HDL Synthesis Report + 5) Advanced HDL Synthesis + 5.1) Advanced HDL Synthesis Report + 6) Low Level Synthesis + 7) Partition Report + 8) Design Summary + 8.1) Primitive and Black Box Usage + 8.2) Device utilization summary + 8.3) Partition Resource Summary + 8.4) Timing Report + 8.4.1) Clock Information + 8.4.2) Asynchronous Control Signals Information + 8.4.3) Timing Summary + 8.4.4) Timing Details + 8.4.5) Cross Clock Domains Report + + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : "FrontEnd_Acq.prj" +Ignore Synthesis Constraint File : NO + +---- Target Parameters +Output File Name : "FrontEnd_Acq" +Output Format : NGC +Target Device : xc6slx9-3-tqg144 + +---- Source Options +Top Module Name : FrontEnd_Acq +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Safe Implementation : No +FSM Style : LUT +RAM Extraction : Yes +RAM Style : Auto +ROM Extraction : Yes +Shift Register Extraction : YES +ROM Style : Auto +Resource Sharing : YES +Asynchronous To Synchronous : NO +Shift Register Minimum Size : 2 +Use DSP Block : Auto +Automatic Register Balancing : No + +---- Target Options +LUT Combining : Auto +Reduce Control Sets : Auto +Add IO Buffers : YES +Global Maximum Fanout : 100000 +Add Generic Clock Buffer(BUFG) : 16 +Register Duplication : YES +Optimize Instantiated Primitives : NO +Use Clock Enable : Auto +Use Synchronous Set : Auto +Use Synchronous Reset : Auto +Pack IO Registers into IOBs : Auto +Equivalent register Removal : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Power Reduction : NO +Keep Hierarchy : No +Netlist Hierarchy : As_Optimized +RTL Output : Yes +Global Optimization : AllClockNets +Read Cores : YES +Write Timing Constraints : NO +Cross Clock Analysis : NO +Hierarchy Separator : / +Bus Delimiter : <> +Case Specifier : Maintain +Slice Utilization Ratio : 100 +BRAM Utilization Ratio : 100 +DSP48 Utilization Ratio : 100 +Auto BRAM Packing : NO +Slice Utilization Ratio Delta : 5 + +========================================================================= + + +========================================================================= +* HDL Parsing * +========================================================================= +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\joiner_samp.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\DtoDPRAM.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\DCM_fwd_int.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\Data_Req_gen.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\FrontEnd_Acq.vhd" into library work +Parsing entity . +Parsing architecture of entity . + +========================================================================= +* HDL Elaboration * +========================================================================= + +Elaborating entity (architecture ) with generics from library . + +Elaborating entity (architecture ) from library . + +Elaborating entity (architecture ) with generics from library . + +Elaborating entity (architecture ) with generics from library . +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\joiner_samp.vhd" Line 183. Case statement is complete. others clause is never selected +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\joiner_samp.vhd" Line 220. Case statement is complete. others clause is never selected +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\joiner_samp.vhd" Line 315. Case statement is complete. others clause is never selected + +Elaborating entity (architecture ) with generics from library . +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\DtoDPRAM.vhd" Line 228. Case statement is complete. others clause is never selected +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\DtoDPRAM.vhd" Line 469. Case statement is complete. others clause is never selected + +========================================================================= +* HDL Synthesis * +========================================================================= + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\FrontEnd_Acq.vhd". + DBIT_SZ = 3 + ADDR_LENGTH = 12 + DATAOUT_LENGTH = 8 + CH_SZ = 5 + NBITS_PERIOD = 18 + NBITS_DUTY = 12 + NBITS_PULSES = 10 + RESUL_NP_SZ = 40 + ID_CH_SZ = 4 + Found 16-bit register for signal . + Found 1-bit register for signal . + Found 16-bit adder for signal created at line 1241. + Summary: + inferred 1 Adder/Subtractor(s). + inferred 17 D-type flip-flop(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\DCM_fwd_int.vhd". + Summary: + no macro. +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\Data_Req_gen.vhd". + NBITS_PERIOD = 18 + NBITS_DUTY = 12 + NBITS_PULSES = 10 + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 18-bit register for signal . + Found 10-bit register for signal . + Found 2-bit register for signal . + Found 10-bit adder for signal created at line 1241. + Found 18-bit adder for signal created at line 1241. + Summary: + inferred 2 Adder/Subtractor(s). + inferred 32 D-type flip-flop(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\joiner_samp.vhd". + DBIT_SZ = 3 + BITS_COUNT_SZ = 3 + RESUL_NP_SZ = 40 + SAMPLE_SZ = 24 + SAMPLE_COUNT_SZ = 12 + HEADER_NIB_SZ = 4 + DATA_HEADER_NIB_SZ = 4 + CH_COUNT_SZ = 4 + Found 2-bit register for signal . + Found 2-bit register for signal . + Found 3-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 3-bit register for signal . + Found 24-bit register for signal . + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 1-bit register for signal >. + Found 12-bit register for signal . + Found 1-bit register for signal . + Found 2-bit register for signal . + Found 2-bit register for signal . + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 3 | + | Transitions | 6 | + | Inputs | 2 | + | Outputs | 4 | + | Clock | clk_main (rising_edge) | + | Reset | rst_bar_INV_14_o (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | idle | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found 3-bit adder for signal created at line 1241. + Found 12-bit adder for signal created at line 1241. + Found 24-bit 8-to-1 multiplexer for signal created at line 202. + Summary: + inferred 2 Adder/Subtractor(s). + inferred 87 D-type flip-flop(s). + inferred 4 Multiplexer(s). + inferred 1 Finite State Machine(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\FrontEnd_Acq\DtoDPRAM.vhd". + ADDR_LENGTH = 12 + DATAOUT_LENGTH = 8 + DATAIN_LENGTH = 40 + CHAN_NUM = 5 + COUNT_BYTE_SZ = 3 + COUNT_PACK_SZ = 6 + Found 4-bit register for signal . + Found 6-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 3-bit register for signal . + Found 8-bit register for signal . + Found 8-bit register for signal . + Found 8-bit register for signal . + Found 8-bit register for signal . + Found 8-bit register for signal . + Found 4-bit register for signal . + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 16 | + | Transitions | 28 | + | Inputs | 5 | + | Outputs | 16 | + | Clock | clk_main (rising_edge) | + | Reset | rst_bar_INV_48_o (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | idle | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 11 | + | Transitions | 21 | + | Inputs | 10 | + | Outputs | 4 | + | Clock | clk_main (rising_edge) | + | Reset | rst_bar_INV_48_o (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | idle | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found 6-bit adder for signal created at line 1241. + Found 3-bit adder for signal created at line 1241. + Found 12-bit adder for signal <_n0507> created at line 302. + Found 12-bit adder for signal <_n0508> created at line 302. + Found 12-bit adder for signal created at line 302. + Found 12-bit adder for signal <_n0512> created at line 304. + Found 12-bit adder for signal created at line 304. + Found 12-bit adder for signal <_n0516> created at line 341. + Found 12-bit adder for signal <_n0517> created at line 341. + Found 12-bit adder for signal created at line 341. + Found 12-bit adder for signal <_n0521> created at line 343. + Found 12-bit adder for signal <_n0522> created at line 343. + Found 12-bit adder for signal created at line 343. + Found 12-bit adder for signal <_n0526> created at line 380. + Found 12-bit adder for signal <_n0527> created at line 380. + Found 12-bit adder for signal created at line 380. + Found 12-bit adder for signal <_n0531> created at line 382. + Found 12-bit adder for signal <_n0532> created at line 382. + Found 12-bit adder for signal created at line 382. + Found 12-bit adder for signal <_n0536> created at line 419. + Found 12-bit adder for signal <_n0537> created at line 419. + Found 12-bit adder for signal created at line 419. + Found 12-bit adder for signal <_n0541> created at line 421. + Found 12-bit adder for signal <_n0542> created at line 421. + Found 12-bit adder for signal created at line 421. + Found 12-bit adder for signal <_n0546> created at line 458. + Found 12-bit adder for signal <_n0547> created at line 458. + Found 12-bit adder for signal created at line 458. + Found 12-bit adder for signal <_n0551> created at line 460. + Found 12-bit adder for signal <_n0552> created at line 460. + Found 12-bit adder for signal created at line 460. + Found 8-bit 7-to-1 multiplexer for signal created at line 540. + Found 8-bit 7-to-1 multiplexer for signal created at line 564. + Found 8-bit 7-to-1 multiplexer for signal created at line 588. + Found 8-bit 7-to-1 multiplexer for signal created at line 612. + Found 8-bit 7-to-1 multiplexer for signal created at line 636. + Summary: + inferred 31 Adder/Subtractor(s). + inferred 51 D-type flip-flop(s). + inferred 31 Multiplexer(s). + inferred 2 Finite State Machine(s). +Unit synthesized. + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# Adders/Subtractors : 44 + 10-bit adder : 1 + 12-bit adder : 34 + 16-bit adder : 1 + 18-bit adder : 1 + 3-bit adder : 6 + 6-bit adder : 1 +# Registers : 191 + 1-bit register : 140 + 10-bit register : 1 + 12-bit register : 10 + 16-bit register : 1 + 18-bit register : 1 + 2-bit register : 16 + 24-bit register : 5 + 3-bit register : 11 + 6-bit register : 1 + 8-bit register : 5 +# Multiplexers : 51 + 1-bit 2-to-1 multiplexer : 5 + 12-bit 2-to-1 multiplexer : 15 + 24-bit 8-to-1 multiplexer : 5 + 3-bit 2-to-1 multiplexer : 6 + 40-bit 2-to-1 multiplexer : 5 + 8-bit 2-to-1 multiplexer : 10 + 8-bit 7-to-1 multiplexer : 5 +# FSMs : 7 + +========================================================================= + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +The following registers are absorbed into counter : 1 register on signal . +Unit synthesized (advanced). + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +The following registers are absorbed into counter : 1 register on signal . +Unit synthesized (advanced). + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +Unit synthesized (advanced). + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +The following registers are absorbed into counter : 1 register on signal . +Unit synthesized (advanced). + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# Adders/Subtractors : 29 + 12-bit adder : 29 +# Counters : 15 + 10-bit up counter : 1 + 12-bit up counter : 5 + 16-bit up counter : 1 + 18-bit up counter : 1 + 3-bit up counter : 6 + 6-bit up counter : 1 +# Registers : 407 + Flip-Flops : 407 +# Multiplexers : 82 + 1-bit 2-to-1 multiplexer : 48 + 12-bit 2-to-1 multiplexer : 15 + 24-bit 8-to-1 multiplexer : 5 + 40-bit 2-to-1 multiplexer : 5 + 8-bit 2-to-1 multiplexer : 4 + 8-bit 7-to-1 multiplexer : 5 +# FSMs : 7 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= +Analyzing FSM for best encoding. +Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with gray encoding. +----------------------- + State | Encoding +----------------------- + idle | 00 + data_pres | 01 + data_proc | 11 +----------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with user encoding. +--------------------------- + State | Encoding +--------------------------- + idle | 0000 + checking_ch1 | 0001 + attending_ch1 | 0010 + checking_ch2 | 0011 + attending_ch2 | 0100 + checking_ch3 | 0101 + attending_ch3 | 0110 + checking_ch4 | 0111 + attending_ch4 | 1000 + checking_ch5 | 1001 + attending_ch5 | 1010 +--------------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with sequential encoding. +-------------------------- + State | Encoding +-------------------------- + idle | 0000 + pre_ch1 | 0001 + wr_ch1 | 0110 + end_wrt_ch_1 | 0111 + pre_ch2 | 0010 + wr_ch2 | 1000 + end_wrt_ch_2 | 1001 + pre_ch3 | 0011 + wr_ch3 | 1010 + end_wrt_ch_3 | 1011 + pre_ch4 | 0100 + wr_ch4 | 1100 + end_wrt_ch_4 | 1101 + pre_ch5 | 0101 + wr_ch5 | 1110 + end_wrt_ch_5 | 1111 +-------------------------- + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 4 FFs/Latches, which will be removed : + +Mapping all equations... +Building and optimizing final netlist ... +Found area constraint ratio of 100 (+ 5) on block FrontEnd_Acq, actual ratio is 16. +FlipFlop controlador_DPRAM/count_pack_0 has been replicated 1 time(s) +FlipFlop controlador_DPRAM/count_pack_1 has been replicated 1 time(s) +FlipFlop controlador_DPRAM/count_pack_2 has been replicated 1 time(s) + +Final Macro Processing ... + +========================================================================= +Final Register Report + +Macro Statistics +# Registers : 508 + Flip-Flops : 508 + +========================================================================= + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Design Summary * +========================================================================= + +Top Level Output File Name : FrontEnd_Acq.ngc + +Primitive and Black Box Usage: +------------------------------ +# BELS : 947 +# GND : 1 +# INV : 10 +# LUT1 : 52 +# LUT2 : 47 +# LUT3 : 76 +# LUT4 : 64 +# LUT5 : 190 +# LUT6 : 206 +# MUXCY : 143 +# MUXF7 : 7 +# VCC : 1 +# XORCY : 150 +# FlipFlops/Latches : 508 +# FD : 42 +# FDE : 196 +# FDR : 96 +# FDRE : 159 +# FDS : 15 +# Clock Buffers : 2 +# BUFG : 2 +# IO Buffers : 49 +# IBUF : 21 +# IBUFG : 1 +# OBUF : 27 +# DCMs : 1 +# DCM_SP : 1 + +Device utilization summary: +--------------------------- + +Selected Device : 6slx9tqg144-3 + + +Slice Logic Utilization: + Number of Slice Registers: 508 out of 11440 4% + Number of Slice LUTs: 645 out of 5720 11% + Number used as Logic: 645 out of 5720 11% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 815 + Number with an unused Flip Flop: 307 out of 815 37% + Number with an unused LUT: 170 out of 815 20% + Number of fully used LUT-FF pairs: 338 out of 815 41% + Number of unique control sets: 22 + +IO Utilization: + Number of IOs: 49 + Number of bonded IOBs: 49 out of 102 48% + +Specific Feature Utilization: + Number of BUFG/BUFGCTRLs: 2 out of 16 12% + +--------------------------- +Partition Resource Summary: +--------------------------- + + No Partitions were found in this design. + +--------------------------- + + +========================================================================= +Timing Report + +NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. + FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT + GENERATED AFTER PLACE-and-ROUTE. + +Clock Information: +------------------ +-----------------------------------+------------------------+-------+ +Clock Signal | Clock buffer(FF name) | Load | +-----------------------------------+------------------------+-------+ +clk_main | DCM_SP:CLKFX | 508 | +-----------------------------------+------------------------+-------+ + +Asynchronous Control Signals Information: +---------------------------------------- +No asynchronous control signals found in this design + +Timing Summary: +--------------- +Speed Grade: -3 + + Minimum period: 18.723ns (Maximum Frequency: 53.411MHz) + Minimum input arrival time before clock: 1.903ns + Maximum output required time after clock: 10.616ns + Maximum combinational path delay: No path found + +Timing Details: +--------------- +All values displayed in nanoseconds (ns) + +========================================================================= +Timing constraint: Default period analysis for Clock 'clk_main' + Clock period: 18.723ns (frequency: 53.411MHz) + Total number of paths / destination ports: 4776 / 1112 +------------------------------------------------------------------------- +Delay: 4.681ns (Levels of Logic = 4) + Source: generador_req/count_pulses_1 (FF) + Destination: generador_req/count_clock_16 (FF) + Source Clock: clk_main rising 4.0X + Destination Clock: clk_main rising 4.0X + + Data Path: generador_req/count_pulses_1 to generador_req/count_clock_16 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDRE:C->Q 3 0.447 0.995 generador_req/count_pulses_1 (generador_req/count_pulses_1) + LUT5:I0->O 2 0.203 0.617 generador_req/PWR_8_o_count_pulses[9]_equal_4_o<9>_SW0 (N9) + LUT6:I5->O 3 0.205 0.651 generador_req/PWR_8_o_count_pulses[9]_equal_4_o<9> (generador_req/PWR_8_o_count_pulses[9]_equal_4_o) + LUT6:I5->O 18 0.205 1.050 generador_req/Mcount_count_clock_val (generador_req/Mcount_count_clock_val) + LUT4:I3->O 1 0.205 0.000 generador_req/count_clock_16_rstpot (generador_req/count_clock_16_rstpot) + FD:D 0.102 generador_req/count_clock_16 + ---------------------------------------- + Total 4.681ns (1.367ns logic, 3.314ns route) + (29.2% logic, 70.8% route) + +========================================================================= +Timing constraint: Default OFFSET IN BEFORE for Clock 'clk_main' + Total number of paths / destination ports: 21 / 21 +------------------------------------------------------------------------- +Offset: 1.903ns (Levels of Logic = 1) + Source: clk_acq_ch5 (PAD) + Destination: empa_ch5/clk_acq_r_0 (FF) + Destination Clock: clk_main rising 4.0X + + Data Path: clk_acq_ch5 to empa_ch5/clk_acq_r_0 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + IBUF:I->O 1 1.222 0.579 clk_acq_ch5_IBUF (clk_acq_ch5_IBUF) + FDS:D 0.102 empa_ch5/clk_acq_r_0 + ---------------------------------------- + Total 1.903ns (1.324ns logic, 0.579ns route) + (69.6% logic, 30.4% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'clk_main' + Total number of paths / destination ports: 3738 / 27 +------------------------------------------------------------------------- +Offset: 10.616ns (Levels of Logic = 7) + Source: controlador_DPRAM/count_ch_byte_2 (FF) + Destination: addrA<7> (PAD) + Source Clock: clk_main rising 4.0X + + Data Path: controlador_DPRAM/count_ch_byte_2 to addrA<7> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDRE:C->Q 103 0.447 1.990 controlador_DPRAM/count_ch_byte_2 (controlador_DPRAM/count_ch_byte_2) + LUT3:I1->O 10 0.203 1.085 controlador_DPRAM/_n0517<5>21 (controlador_DPRAM/_n0517<5>2) + LUT5:I2->O 1 0.205 0.000 controlador_DPRAM/_n0517<7>11 (controlador_DPRAM/_n0517<7>1) + XORCY:LI->O 1 0.136 0.924 controlador_DPRAM/Madd_GND_26_o_GND_26_o_add_108_OUT_xor<7> (controlador_DPRAM/GND_26_o_GND_26_o_add_108_OUT<7>) + LUT5:I0->O 1 0.203 0.944 controlador_DPRAM/Mmux_addrA103 (controlador_DPRAM/Mmux_addrA102) + LUT6:I0->O 1 0.203 0.924 controlador_DPRAM/Mmux_addrA104 (controlador_DPRAM/Mmux_addrA103) + LUT6:I1->O 1 0.203 0.579 controlador_DPRAM/Mmux_addrA106 (addrA_7_OBUF) + OBUF:I->O 2.571 addrA_7_OBUF (addrA<7>) + ---------------------------------------- + Total 10.616ns (4.171ns logic, 6.445ns route) + (39.3% logic, 60.7% route) + +========================================================================= + +Cross Clock Domains Report: +-------------------------- + +Clock to Setup on destination clock clk_main +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +clk_main | 4.681| | | | +---------------+---------+---------+---------+---------+ + +========================================================================= + + +Total REAL time to Xst completion: 11.00 secs +Total CPU time to Xst completion: 10.51 secs + +--> + +Total memory usage is 251848 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 0 ( 0 filtered) +Number of infos : 12 ( 0 filtered) + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.vhd =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.vhd b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.vhd (revision 225) @@ -0,0 +1,406 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 09:10:02 02/24/2017 +-- Design Name: +-- Module Name: FrontEnd_Acq - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity FrontEnd_Acq is +GENERIC( + DBIT_SZ: INTEGER := 3; + ADDR_LENGTH: INTEGER := 12; + DATAOUT_LENGTH: INTEGER := 8; + CH_SZ: INTEGER :=5; + NBITS_PERIOD: INTEGER :=18; + NBITS_DUTY: INTEGER :=12; + NBITS_PULSES: INTEGER :=10; + RESUL_NP_SZ: INTEGER :=40; + ID_CH_SZ: INTEGER := 4 + +); +PORT( + clk_main: IN std_logic; --Reloj principal de 60MHz + pps: IN std_logic; --Senhal de sincronismo + pck_rdy: OUT std_logic; --Senhal de aviso de paquete de 40 muestras por canal(200total) a la RPi + + --Senhales de empaquetadores + data_req_ch1: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch1: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch1: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch2: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch2: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch2: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch3: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch3: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch3: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch4: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch4: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch4: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch5: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch5: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch5: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + --DPRAM senhales de control, direccion y data + --Direccion de escritura + addrA: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); --Direccion puerto A a escribir en DPRAM + dataA: OUT std_logic_vector((DATAOUT_LENGTH-1) downto 0); --Datopuerto A a escribir en DPRAM + enA: OUT std_logic --Habilitacion de escritura del puerto A +); +end FrontEnd_Acq; + +architecture Behavioral of FrontEnd_Acq is + +--COMPONENT joiner_samp_1 is +--GENERIC( +-- DBIT_SZ: INTEGER :=3; +-- BITS_COUNT_SZ: INTEGER :=3; +-- RESUL_NP_SZ: INTEGER :=40; +-- SAMPLE_SZ: INTEGER :=24; +-- SAMPLE_COUNT_SZ: INTEGER := 12; +-- HEADER_NIB_SZ: INTEGER := 4; +-- DATA_HEADER_NIB_SZ: INTEGER := 4; +-- CH_COUNT_SZ: INTEGER :=4 +-- ); +-- +--PORT( +-- +-- --Channel number +-- --chn_id_acq: IN STD_LOGIC_VECTOR((CH_COUNT_SZ-1) downto 0); +-- +-- --Reset del sistema +-- rst_bar: IN STD_LOGIC; +-- +-- --Reloj principal +-- clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización +-- +-- --Senhales con el microcontrolador +-- clk_acq: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición +-- chn_bits_acq: IN STD_LOGIC_VECTOR((DBIT_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición +-- +-- --Data req +-- dat_req_ch: IN STD_LOGIC; +-- +-- --Senhales hacia el sistema +-- resul_samp: OUT STD_LOGIC_VECTOR((RESUL_NP_SZ-1) downto 0); --Salida al espacio de memoria para almacenar datos crudos +-- rdy_samp: OUT STD_LOGIC; --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria +-- ack_in: IN STD_LOGIC --Senhal para indicar que el dato presentado fue leido o no +-- +--); +--END COMPONENT; + +COMPONENT joiner_samp is +GENERIC( + DBIT_SZ: INTEGER :=3; + BITS_COUNT_SZ: INTEGER :=3; + RESUL_NP_SZ: INTEGER :=40; + SAMPLE_SZ: INTEGER :=24; + SAMPLE_COUNT_SZ: INTEGER := 12; + HEADER_NIB_SZ: INTEGER := 4; + DATA_HEADER_NIB_SZ: INTEGER := 4; + CH_COUNT_SZ: INTEGER :=4 + ); + +PORT( + + --Channel number + chn_id_acq: IN STD_LOGIC_VECTOR((CH_COUNT_SZ-1) downto 0); + + --Reset del sistema + rst_bar: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq: IN STD_LOGIC_VECTOR((DBIT_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Data req + dat_req_ch: IN STD_LOGIC; + + --Senhales hacia el sistema + resul_samp: OUT STD_LOGIC_VECTOR((RESUL_NP_SZ-1) downto 0); --Salida al espacio de memoria para almacenar datos crudos + rdy_samp: OUT STD_LOGIC; --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + ack_in: IN STD_LOGIC --Senhal para indicar que el dato presentado fue leido o no + +); +END COMPONENT; + +COMPONENT DtoDPRAM is +GENERIC( + ADDR_LENGTH: INTEGER := 12; + DATAOUT_LENGTH: INTEGER := 8; + DATAIN_LENGTH: INTEGER := 40; + CHAN_NUM: INTEGER := 5; + COUNT_BYTE_SZ: INTEGER := 3; + COUNT_PACK_SZ: INTEGER := 6 + +); + +PORT( + --Reset general + rst_bar: IN std_logic; + + --Reset general + data_req: IN std_logic; + + --Entrada de reloj principal + clk_main: IN std_logic; + + --Entradas para indicar dato preparado por leer + --Desde los nibble to packets + ch_rdy_vector: IN std_logic_vector((CHAN_NUM-1) downto 0); + + --Senhal para que indica que termino con una peticion + --Hacia los nibble to packets + ch_ack_vector: OUT std_logic_vector((CHAN_NUM-1) downto 0); + + --Data IN + datach1: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach2: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach3: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach4: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach5: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + + --DPRAM senhales de control, direccion y data + --Direccion de escritura/lectura + addrA: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); + dataA: OUT std_logic_vector((DATAOUT_LENGTH-1) downto 0); + enA: OUT std_logic; + --Aviso de Paquete de 40 muestras solicitadas a los 5 canales + rpi_req: OUT std_logic + + ); +END COMPONENT; + +COMPONENT DCM_fwd_int IS + PORT( + clk_main_io : IN std_logic; + c_200MHz_in : OUT std_logic + ); +END COMPONENT; + +COMPONENT Data_Req_gen IS + GENERIC ( + NBITS_PERIOD: INTEGER :=18; + NBITS_DUTY: INTEGER :=12; + NBITS_PULSES: INTEGER :=10 + ); + PORT( + c_200MHz_in : IN std_logic; + reset_int : IN std_logic; + pps : IN std_logic; + data_req : OUT std_logic + ); +END COMPONENT; + +--Senhal de solicitud de dato +SIGNAL data_req: std_logic := '0'; + +--Senhales para enlazar resultados de empaquetadores a DPRAM controller +SIGNAL smppackage_ch1: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rdy_samp_ch1: std_logic := '0'; +--SIGNAL ack_ch1: std_logic := '0'; + +SIGNAL smppackage_ch2: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rdy_samp_ch2: std_logic := '0'; +--SIGNAL ack_ch2: std_logic := '0'; + +SIGNAL smppackage_ch3: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rdy_samp_ch3: std_logic := '0'; +--SIGNAL ack_ch3: std_logic := '0'; + +SIGNAL smppackage_ch4: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rdy_samp_ch4: std_logic := '0'; +--SIGNAL ack_ch4: std_logic := '0'; + +SIGNAL smppackage_ch5: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rdy_samp_ch5: std_logic := '0'; +--SIGNAL ack_ch5: std_logic := '0'; + +SIGNAL ack_vector: std_logic_vector((CH_SZ-1)downto 0) := (OTHERS=>'0'); +SIGNAL rdy_samp_vector: std_logic_vector((CH_SZ-1)downto 0) := (OTHERS=>'0'); + +--Constante para canales +CONSTANT canal_1: std_logic_vector((ID_CH_SZ-1)downto 0) := "0001"; +CONSTANT canal_2: std_logic_vector((ID_CH_SZ-1)downto 0) := "0010"; +CONSTANT canal_3: std_logic_vector((ID_CH_SZ-1)downto 0) := "0011"; +CONSTANT canal_4: std_logic_vector((ID_CH_SZ-1)downto 0) := "0100"; +CONSTANT canal_5: std_logic_vector((ID_CH_SZ-1)downto 0) := "0101"; + +--Senhales para DCM +SIGNAL clk_u_proc: std_logic := '0'; +SIGNAL clk_main_io: std_logic :='1'; + +--Senhal de reset interna formada de rst_bar y pps_r +SIGNAL cont_200MHz: std_logic_vector(15 downto 0) :="0000000000000000"; --"0000 0000 0000 0000": 16 bits a 240MHz 5ns*65535=327.675u +SIGNAL lock_rst_bar: std_logic := '0'; + +begin + +--Buffer entrada de Clock +IBUFG_inst : IBUFG + generic map ( + IBUF_LOW_PWR => FALSE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards + IOSTANDARD => "DEFAULT") + port map ( + O => clk_main_io, -- Clock buffer output + I => clk_main -- Clock buffer input (connect directly to top-level port) + ); + +--Generacion de relojes +clk_mang: DCM_fwd_int PORT MAP( + clk_main_io => clk_main_io, + c_200MHz_in => clk_u_proc +); + +--Generacion de reset +reset_gen: PROCESS(clk_u_proc) + + BEGIN + IF(rising_edge(clk_u_proc)) THEN + IF (cont_200MHz = "1111111111111111") THEN --"1111 1111 1111 1111" <> 65536 + lock_rst_bar <= '1'; + ELSE + cont_200MHz <= std_logic_vector(unsigned(cont_200MHz)+1); + lock_rst_bar <= '0'; + END IF; + END IF; + END PROCESS; + + +--Bloque de generacion de solicitudes +generador_req: Data_Req_gen + GENERIC MAP( + NBITS_PERIOD => NBITS_PERIOD, + NBITS_DUTY => NBITS_DUTY, + NBITS_PULSES => NBITS_PULSES + ) + + PORT MAP( + c_200MHz_in => clk_u_proc, + reset_int => lock_rst_bar, + pps => pps, + data_req => data_req + ); + +--Bloques de empaquetadores +empa_ch1: joiner_samp PORT MAP( + rst_bar => lock_rst_bar, + clk_main => clk_u_proc, + dat_req_ch => data_req, + chn_id_acq => canal_1, + clk_acq => clk_acq_ch1, + chn_bits_acq => data_ch1, + resul_samp => smppackage_ch1, + rdy_samp => rdy_samp_ch1, + ack_in => ack_vector(0) +); +data_req_ch1 <= data_req; + +empa_ch2: joiner_samp PORT MAP( + rst_bar => lock_rst_bar, + clk_main => clk_u_proc, + dat_req_ch => data_req, + chn_id_acq => canal_2, + clk_acq => clk_acq_ch2, + chn_bits_acq => data_ch2, + resul_samp => smppackage_ch2, + rdy_samp => rdy_samp_ch2, + ack_in => ack_vector(1) +); +data_req_ch2 <= data_req; + +empa_ch3: joiner_samp PORT MAP( + rst_bar => lock_rst_bar, + clk_main => clk_u_proc, + dat_req_ch => data_req, + chn_id_acq => canal_3, + clk_acq => clk_acq_ch3, + chn_bits_acq => data_ch3, + resul_samp => smppackage_ch3, + rdy_samp => rdy_samp_ch3, + ack_in => ack_vector(2) +); +data_req_ch3 <= data_req; + +empa_ch4: joiner_samp PORT MAP( + rst_bar => lock_rst_bar, + clk_main => clk_u_proc, + dat_req_ch => data_req, + chn_id_acq => canal_4, + clk_acq => clk_acq_ch4, + chn_bits_acq => data_ch4, + resul_samp => smppackage_ch4, + rdy_samp => rdy_samp_ch4, + ack_in => ack_vector(3) +); +data_req_ch4 <= data_req; + +empa_ch5: joiner_samp PORT MAP( + rst_bar => lock_rst_bar, + clk_main => clk_u_proc, + dat_req_ch => data_req, + chn_id_acq => canal_5, + clk_acq => clk_acq_ch5, + chn_bits_acq => data_ch5, + resul_samp => smppackage_ch5, + rdy_samp => rdy_samp_ch5, + ack_in => ack_vector(4) +); +data_req_ch5 <= data_req; + +--Union de senhales rdy y ack para DPRAM + +rdy_samp_vector <= rdy_samp_ch5 & rdy_samp_ch4 & rdy_samp_ch3 & rdy_samp_ch2 & rdy_samp_ch1; + +--Bloque de DPRAM controller +controlador_DPRAM: DtoDPRAM PORT MAP( + + rst_bar => lock_rst_bar, + data_req => data_req, + clk_main => clk_u_proc, + ch_rdy_vector => rdy_samp_vector, + ch_ack_vector => ack_vector, + datach1 => smppackage_ch1, + datach2 => smppackage_ch2, + datach3 => smppackage_ch3, + datach4 => smppackage_ch4, + datach5 => smppackage_ch5, + addrA => addrA, + dataA => dataA, + enA => enA, + rpi_req => pck_rdy + +); + +end Behavioral; + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.xise =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.xise b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.xise new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.xise (revision 225) @@ -0,0 +1,383 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.xst =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.xst b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.xst new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq.xst (revision 225) @@ -0,0 +1,52 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn FrontEnd_Acq.prj +-ofn FrontEnd_Acq +-ofmt NGC +-p xc6slx9-3-tqg144 +-top FrontEnd_Acq +-opt_mode Speed +-opt_level 1 +-power NO +-iuc NO +-keep_hierarchy No +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-dsp_utilization_ratio 100 +-lc Auto +-reduce_control_sets Auto +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-shreg_extract YES +-rom_style Auto +-auto_bram_packing NO +-resource_sharing YES +-async_to_sync NO +-shreg_min_size 2 +-use_dsp48 Auto +-iobuf YES +-max_fanout 100000 +-bufg 16 +-register_duplication YES +-register_balancing No +-optimize_primitives NO +-use_clock_enable Auto +-use_sync_set Auto +-use_sync_reset Auto +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_b.vhd =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_b.vhd b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_b.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_b.vhd (revision 225) @@ -0,0 +1,254 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 09:10:02 02/24/2017 +-- Design Name: +-- Module Name: FrontEnd_Acq - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity FrontEnd_Acq is +GENERIC( + DBIT_SZ: INTEGER := 3; + ADDR_LENGTH: INTEGER := 12; + DATAOUT_LENGTH: INTEGER := 8; + CH_SZ: INTEGER :=5; + NBITS_PERIOD: INTEGER :=18; + NBITS_DUTY: INTEGER :=12; + NBITS_PULSES: INTEGER :=10 + +); +PORT( + clk_main: IN std_logic; --Reloj principal de 60MHz + pps: IN std_logic; --Senhal de sincronismo + pck_rdy: OUT std_logic; --Senhal de aviso de paquete de 40 muestras por canal(200total) a la RPi + + --Senhales de empaquetadores + data_req_ch1: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch1: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch1: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch2: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch2: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch2: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch3: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch3: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch3: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch4: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch4: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch4: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch5: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch5: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch5: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + --DPRAM senhales de control, direccion y data + --Direccion de escritura + addrA: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); --Direccion puerto A a escribir en DPRAM + dataA: OUT std_logic_vector((DATAOUT_LENGTH-1) downto 0); --Datopuerto A a escribir en DPRAM + enA: OUT std_logic --Habilitacion de escritura del puerto A +); +end FrontEnd_Acq; + +architecture Behavioral of FrontEnd_Acq is + +COMPONENT joiner_samp is +GENERIC( + DBIT_SZ: INTEGER :=3; + BITS_COUNT_SZ: INTEGER :=3; + RESUL_NP_SZ: INTEGER :=40; + SAMPLE_SZ: INTEGER :=24; + SAMPLE_COUNT_SZ: INTEGER := 12; + HEADER_NIB_SZ: INTEGER := 4; + DATA_HEADER_NIB_SZ: INTEGER := 4; + CH_COUNT_SZ: INTEGER :=4 + ); + +PORT( + + --Channel number + chn_id_acq: IN STD_LOGIC_VECTOR((CH_COUNT_SZ-1) downto 0); + + --Reset del sistema + rst_bar: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq: IN STD_LOGIC_VECTOR((DBIT_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Data req + dat_req_ch: IN STD_LOGIC; + + --Senhales hacia el sistema + resul_samp: OUT STD_LOGIC_VECTOR((RESUL_NP_SZ-1) downto 0); --Salida al espacio de memoria para almacenar datos crudos + rdy_samp: OUT STD_LOGIC; --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + ack_in: IN STD_LOGIC --Senhal para indicar que el dato presentado fue leido o no + +); +END COMPONENT; + +COMPONENT DtoDPRAM is +GENERIC( + ADDR_LENGTH: INTEGER := 12; + DATAOUT_LENGTH: INTEGER := 8; + DATAIN_LENGTH: INTEGER := 40; + CHAN_NUM: INTEGER := 5; + COUNT_BYTE_SZ: INTEGER := 3; + COUNT_PACK_SZ: INTEGER := 6 + +); + +PORT( + --Reset general + rst_bar: IN std_logic; + + --Reset general + data_req: IN std_logic; + + --Entrada de reloj principal + clk_main: IN std_logic; + + --Entradas para indicar dato preparado por leer + --Desde los nibble to packets + ch_rdy_vector: IN std_logic_vector((CHAN_NUM-1) downto 0); + + --Senhal para que indica que termino con una peticion + --Hacia los nibble to packets + ch_ack_vector: OUT std_logic_vector((CHAN_NUM-1) downto 0); + + --Data IN + datach1: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach2: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach3: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach4: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + datach5: IN std_logic_vector((DATAIN_LENGTH-1) downto 0); + + --DPRAM senhales de control, direccion y data + --Direccion de escritura/lectura + addrA: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); + dataA: OUT std_logic_vector((DATAOUT_LENGTH-1) downto 0); + enA: OUT std_logic; + --Aviso de Paquete de 40 muestras solicitadas a los 5 canales + rpi_req: OUT std_logic + + ); +END COMPONENT; + +COMPONENT DCM_fwd_int IS +PORT( + clk_main_io : IN std_logic; + c_200MHz_in : OUT std_logic +); + +COMPONENT Data_Req_gen IS +GENERIC ( + NBITS_PERIOD: INTEGER :=18; + NBITS_DUTY: INTEGER :=12; + NBITS_PULSES: INTEGER :=10 + ); +PORT( + c_200MHz_in : IN std_logic; + reset_int : IN std_logic; + pps : IN std_logic; + data_req : OUT std_logic +); +END COMPONENT; + +--Senhal de solicitud de dato + +--Senhales para enlazar resultados de empaquetadores a DPRAM controller +--Constante para canales +CONSTANT canal_1: std_logic_vector((CH_SZ-1)downto 0) := "00001"; +CONSTANT canal_2: std_logic_vector((CH_SZ-1)downto 0) := "00010"; +CONSTANT canal_3: std_logic_vector((CH_SZ-1)downto 0) := "00100"; +CONSTANT canal_4: std_logic_vector((CH_SZ-1)downto 0) := "01000"; +CONSTANT canal_5: std_logic_vector((CH_SZ-1)downto 0) := "10000"; + +--Senhales para DCM +SIGNAL clk_u_proc: std_logic := '0'; +SIGNAL clk_main_io: std_logic :='1'; + +--Senhal de reset interna formada de rst_bar y pps_r +SIGNAL cont_200MHz: std_logic_vector(15 downto 0) :="0000000000000000"; --"0000 0000 0000 0000": 16 bits a 240MHz 5ns*65535=327.675u +SIGNAL lock_rst_bar: std_logic := '0'; + + +begin + +--Buffer entrada de Clock +IBUFG_inst : IBUFG + generic map ( + IBUF_LOW_PWR => FALSE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards + IOSTANDARD => "DEFAULT") + port map ( + O => clk_main_io, -- Clock buffer output + I => clk_main -- Clock buffer input (connect directly to top-level port) + ); + +--Generacion de relojes +clk_mang: DCM_fwd_int PORT MAP( + clk_main_io => clk_main_io, + c_200MHz_in => clk_u_proc +); + +--Generacion de reset +reset_gen: PROCESS(clk_u_proc) + + BEGIN + IF(rising_edge(clk_u_proc)) THEN + IF (cont_200MHz = "1111111111111111") THEN --"1111 1111 1111 1111" <> 65536 + lock_rst_bar <= '1'; + ELSE + cont_200MHz <= std_logic_vector(unsigned(cont_200MHz)+1); + lock_rst_bar <= '0'; + END IF; + END IF; + END PROCESS; + +--Bloque de generacion de solicitudes + + +--Bloques de empaquetadores +empa_ch1: joiner_samp PORT MAP( + + chn_id_acq => canal_1, + rst_bar => lock_rst_bar, + clk_main => clk_u_proc, + clk_acq => clk_acq_ch1, + chn_bits_acq => data_ch1, + dat_req_ch => , + resul_samp => , + rdy_samp => , + ack_in => +); + + +end Behavioral; + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_envsettings.html =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_envsettings.html b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_envsettings.html new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_envsettings.html (revision 225) @@ -0,0 +1,388 @@ +Xilinx System Settings Report + +
System Settings

+

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Environment Settings
Environment Variablexstngdbuildmappar
PATHEXT.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC;
.PY;
.PYW
< data not available >< data not available >< data not available >
PathC:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files\ImageMagick-7.0.2-Q16;
C:\Python27\;
C:\ProgramData\Oracle\Java\javapath;
C:\WinAVR\bin;
C:\WinAVR\utils\bin;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files\doxygen\bin;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\Program Files (x86)\Microchip\xc8\v1.35\bin;
C:\Program Files (x86)\Microchip\xc16\v1.24\bin;
C:\Program Files (x86)\Skype\Phone\;
C:\Users\Francisco\AppData\Local\GitHub\PortableGit_d76a6a98c9315931ec4927243517bc09e9b731a0;
C:\Cadence\SPB_16.2\tools\bin;
C:\Cadence\SPB_16.2\tools\libutil\bin;
C:\Cadence\SPB_16.2\tools\fet\bin;
C:\Cadence\SPB_16.2\tools\pcb\bin;
C:\Cadence\SPB_16.2\tools\PSpice;
C:\Cadence\SPB_16.2\tools\PSpice\Library;
C:\Cadence\SPB_16.2\tools\Capture;
C:\Cadence\SPB_16.2\tools\specctra\bin;
C:\Program Files (x86)\HI-TECH Software\PICC\9.82\bin;
C:\Program Files (x86)\HI-TECH Software\PICC-Lite\9.50\bin;
C:\PROGRA~2\PICC;
C:\Users\Francisco\Documents\Francisco_tesis\REDACCIONES_IMPLEMENTACION\TFT_display\opencv\opencv\build\x64\vc12\bin;
C:\Program Files (x86)\Anaconda3;
C:\Program Files (x86)\Anaconda3\Scripts;
C:\Program Files (x86)\Anaconda3\Library\bin;
C:\Program Files (x86)\Anaconda2;
C:\Program Files (x86)\Anaconda2\Scripts;
C:\Program Files (x86)\Anaconda2\Library\bin;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\Scripts\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\;
c:\MinGW\bin;
c:\MinGW\include;
c:\MinGW\lib;
c:\MinGW\MSYS\1.0\bin;
C:\Program Files (x86)\ATMEL Corporation\AT91-ISP v1.12\SAM-BA v2.8;
C:\Program Files (x86)\ATMEL Corporation\AT91-ISP v1.12\Library\;
C:\Users\Francisco\AppData\Local\.meteor\;
C:\Users\Francisco\AppData\Local\atom\bin
< data not available >< data not available >< data not available >
XILINXC:\Xilinx\14.7\ISE_DS\ISE\< data not available >< data not available >< data not available >
XILINX_DSPC:\Xilinx\14.7\ISE_DS\ISE< data not available >< data not available >< data not available >
XILINX_EDKC:\Xilinx\14.7\ISE_DS\EDK< data not available >< data not available >< data not available >
XILINX_PLANAHEADC:\Xilinx\14.7\ISE_DS\PlanAhead< data not available >< data not available >< data not available >
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn FrontEnd_Acq.prj 
-ofn FrontEnd_Acq 
-ofmt NGCNGC
-p xc6slx9-3-tqg144 
-top FrontEnd_Acq 
-opt_modeOptimization GoalSpeedSpeed
-opt_levelOptimization Effort11
-powerPower ReductionNONo
-iucUse synthesis Constraints FileNONo
-keep_hierarchyKeep HierarchyNoNo
-netlist_hierarchyNetlist HierarchyAs_OptimizedAs_Optimized
-rtlviewGenerate RTL SchematicYesNo
-glob_optGlobal Optimization GoalAllClockNetsAllClockNets
-read_coresRead CoresYESYes
-write_timing_constraintsWrite Timing ConstraintsNONo
-cross_clock_analysisCross Clock AnalysisNONo
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100
-bram_utilization_ratioBRAM Utilization Ratio100100
-dsp_utilization_ratioDSP Utilization Ratio100100
-reduce_control_sets AutoAuto
-fsm_extract YESYes
-fsm_encoding AutoAuto
-safe_implementation NoNo
-fsm_style LUTLUT
-ram_extract YesYes
-ram_style AutoAuto
-rom_extract YesYes
-shreg_extract YESYes
-rom_style AutoAuto
-auto_bram_packing NONo
-resource_sharing YESYes
-async_to_sync NONo
-use_dsp48 AutoAuto
-iobuf YESYes
-max_fanout 100000100000
-bufg 1616
-register_duplication YESYes
-register_balancing NoNo
-optimize_primitives NONo
-use_clock_enable AutoAuto
-use_sync_set AutoAuto
-use_sync_reset AutoAuto
-iob AutoAuto
-equivalent_register_removal YESYes
-slice_utilization_ratio_maxmargin 50
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedIntel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHz<  data not available  ><  data not available  ><  data not available  >
HostLenovo-PC<  data not available  ><  data not available  ><  data not available  >
OS NameMicrosoft , 64-bit<  data not available  ><  data not available  ><  data not available  >
OS Releasemajor release (build 9200)<  data not available  ><  data not available  ><  data not available  >
+ \ No newline at end of file Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_summary.html =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_summary.html b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_summary.html new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_summary.html (revision 225) @@ -0,0 +1,112 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
FrontEnd_Acq Project Status (02/24/2017 - 11:29:08)
Project File:FrontEnd_Acq.xiseParser Errors: No Errors
Module Name:FrontEnd_AcqImplementation State:Synthesized
Target Device:xc6slx9-3tqg144
  • Errors:
+No Errors
Product Version:ISE 14.7
  • Warnings:
51 Warnings (46 new)
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: + +System Settings +
  • Final Timing Score:
  
+ + + + 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Device Utilization Summary (estimated values) [-]
Logic UtilizationUsedAvailableUtilization
Number of Slice Registers508114404%
Number of Slice LUTs637572011%
Number of fully used LUT-FF pairs33880741%
Number of bonded IOBs4410243%
Number of BUFG/BUFGCTRLs21612%
+ + + + + + + + 
+ + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentvie 24. feb 11:29:06 2017051 Warnings (46 new)15 Infos (15 new)
Translation Report     
Map Report     
Place and Route Report     
Power Report     
Post-PAR Static Timing Report     
Bitgen Report     

+ + +
Secondary Reports [-]
Report NameStatusGenerated
+ + +
Date Generated: 02/24/2017 - 11:29:08
+ \ No newline at end of file Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_xst.xrpt =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_xst.xrpt b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_xst.xrpt new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/FrontEnd_Acq_xst.xrpt (revision 225) @@ -0,0 +1,218 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + +
+
+ + + +
+
+
+
+
+
+
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + +
+
+
+
+
+ + + +
+ + + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/_xmsgs/pn_parser.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/_xmsgs/pn_parser.xmsgs b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/_xmsgs/pn_parser.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/_xmsgs/pn_parser.xmsgs (revision 225) @@ -0,0 +1,15 @@ + + + + + + + + + + +Parsing VHDL file "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/tb_FrontEnd_Acq.vhd" into library work + + + + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/_xmsgs/xst.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/_xmsgs/xst.xmsgs b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/_xmsgs/xst.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/_xmsgs/xst.xmsgs (revision 225) @@ -0,0 +1,45 @@ + + + +The FF/Latch <empa_ch5/samp_count_0> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_0> <empa_ch3/samp_count_0> <empa_ch2/samp_count_0> <empa_ch1/samp_count_0> + + +The FF/Latch <empa_ch5/samp_count_1> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_1> <empa_ch3/samp_count_1> <empa_ch2/samp_count_1> <empa_ch1/samp_count_1> + + +The FF/Latch <empa_ch5/samp_count_2> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_2> <empa_ch3/samp_count_2> <empa_ch2/samp_count_2> <empa_ch1/samp_count_2> + + +The FF/Latch <empa_ch5/samp_count_3> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_3> <empa_ch3/samp_count_3> <empa_ch2/samp_count_3> <empa_ch1/samp_count_3> + + +The FF/Latch <empa_ch5/samp_count_4> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_4> <empa_ch3/samp_count_4> <empa_ch2/samp_count_4> <empa_ch1/samp_count_4> + + +The FF/Latch <empa_ch5/samp_count_5> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_5> <empa_ch3/samp_count_5> <empa_ch2/samp_count_5> <empa_ch1/samp_count_5> + + +The FF/Latch <empa_ch5/samp_count_6> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_6> <empa_ch3/samp_count_6> <empa_ch2/samp_count_6> <empa_ch1/samp_count_6> + + +The FF/Latch <empa_ch5/samp_count_7> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_7> <empa_ch3/samp_count_7> <empa_ch2/samp_count_7> <empa_ch1/samp_count_7> + + +The FF/Latch <empa_ch5/samp_count_8> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_8> <empa_ch3/samp_count_8> <empa_ch2/samp_count_8> <empa_ch1/samp_count_8> + + +The FF/Latch <empa_ch5/samp_count_9> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_9> <empa_ch3/samp_count_9> <empa_ch2/samp_count_9> <empa_ch1/samp_count_9> + + +The FF/Latch <empa_ch5/samp_count_10> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_10> <empa_ch3/samp_count_10> <empa_ch2/samp_count_10> <empa_ch1/samp_count_10> + + +The FF/Latch <empa_ch5/samp_count_11> in Unit <FrontEnd_Acq> is equivalent to the following 4 FFs/Latches, which will be removed : <empa_ch4/samp_count_11> <empa_ch3/samp_count_11> <empa_ch2/samp_count_11> <empa_ch1/samp_count_11> + + + + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/fuse.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/fuse.xmsgs b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/fuse.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/fuse.xmsgs (revision 225) @@ -0,0 +1,9 @@ + + + + + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/iseconfig/FrontEnd_Acq.projectmgr =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/iseconfig/FrontEnd_Acq.projectmgr b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/iseconfig/FrontEnd_Acq.projectmgr new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/iseconfig/FrontEnd_Acq.projectmgr (revision 225) @@ -0,0 +1,77 @@ + + + + + + + + + 2 + + + xc6slx9-3tqg144 + + 1 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001c7000000020000000100000000000000000200000064ffffffff000000810000000300000002000001c70000000100000003000000000000000100000003 + true + xc6slx9-3tqg144 + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff0000000000000001000000000000000001000000000000000000000000000000000000028e000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000001c20000000100000000 + false + + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 + false + work + + + + 1 + Configure Target Device + Design Utilities + Implement Design + Synthesize - XST + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + + + 000000ff00000000000000020000011b0000011b01000000050100000002 + Implementation + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/iseconfig/FrontEnd_Acq.xreport =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/iseconfig/FrontEnd_Acq.xreport b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/iseconfig/FrontEnd_Acq.xreport new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/iseconfig/FrontEnd_Acq.xreport (revision 225) @@ -0,0 +1,215 @@ + + +
+ 2017-02-24T09:53:03 + FrontEnd_Acq + Unknown + C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq/iseconfig/FrontEnd_Acq.xreport + C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/FrontEnd_Acq\ + 2017-02-24T09:10:02 + false +
+ + + + + + + + + + + + + + + + + + + + + + + +
=================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/data_req_gen.vdb =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/data_req_gen.vdb b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/data_req_gen.vdb new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/data_req_gen.vdb (revision 225) =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/dcm_fwd_int.vdb =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/dcm_fwd_int.vdb b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/dcm_fwd_int.vdb new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/dcm_fwd_int.vdb (revision 225) =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/dtodpram.vdb =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/dtodpram.vdb b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/dtodpram.vdb new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/dtodpram.vdb (revision 225) =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/frontend_acq.vdb =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/frontend_acq.vdb b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/frontend_acq.vdb new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/frontend_acq.vdb (revision 225) =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/joiner_samp.vdb =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/joiner_samp.vdb b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/joiner_samp.vdb new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/joiner_samp.vdb (revision 225) =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/testbench.vdb =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/testbench.vdb b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/testbench.vdb new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/isim/temp/testbench.vdb (revision 225) Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/joiner_samp.vhd =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/joiner_samp.vhd b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/joiner_samp.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/joiner_samp.vhd (revision 225) @@ -0,0 +1,324 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 11:35:58 02/20/2017 +-- Design Name: +-- Module Name: joiner_samp - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity joiner_samp is +GENERIC( + DBIT_SZ: INTEGER :=3; + BITS_COUNT_SZ: INTEGER :=3; + RESUL_NP_SZ: INTEGER :=40; + SAMPLE_SZ: INTEGER :=24; + SAMPLE_COUNT_SZ: INTEGER := 12; + HEADER_NIB_SZ: INTEGER := 4; + DATA_HEADER_NIB_SZ: INTEGER := 4; + CH_COUNT_SZ: INTEGER :=4 + ); + +PORT( + + --Channel number + chn_id_acq: IN STD_LOGIC_VECTOR((CH_COUNT_SZ-1) downto 0); + + --Reset del sistema + rst_bar: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq: IN STD_LOGIC_VECTOR((DBIT_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Data req + dat_req_ch: IN STD_LOGIC; + + --Senhales hacia el sistema + resul_samp: OUT STD_LOGIC_VECTOR((RESUL_NP_SZ-1) downto 0); --Salida al espacio de memoria para almacenar datos crudos + rdy_samp: OUT STD_LOGIC; --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + ack_in: IN STD_LOGIC --Senhal para indicar que el dato presentado fue leido o no + +); +end joiner_samp; + +architecture Behavioral of joiner_samp is + +--Registros para sincronizacion +SIGNAL clk_acq_r : std_logic_vector (2 downto 0) :=(OTHERS=>'1'); +SIGNAL chn_bits_acq_bit0 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); +SIGNAL chn_bits_acq_bit1 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); +SIGNAL chn_bits_acq_bit2 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); + +--Senhales para deteccion de flancos +SIGNAL clk_acq_rise : std_logic :='0'; + +--Senhal Interna de dato completo +SIGNAL rdy_mem_aux: std_logic :='0'; + +--Constantes asociadas al contador de nibbles +SIGNAL dbits_counter : std_logic_vector ((BITS_COUNT_SZ-1) downto 0) :=(OTHERS=>'0'); +CONSTANT TOP_COUNT: std_logic_vector((BITS_COUNT_SZ-1) downto 0) :="111"; +CONSTANT BASE_COUNT: std_logic_vector((BITS_COUNT_SZ-1) downto 0) :=(OTHERS=>'0'); + +--Senhales para almacenar resultado a siguiente etapa +SIGNAL resul_mem_internal: std_logic_vector((SAMPLE_SZ-1) downto 0) :=(OTHERS=>'0'); +SIGNAL resul_mem_internal_aux: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS=>'0'); + +--Estados de la maquina de presentacion de datos a la RAM y RAM Controller +TYPE data_states IS ( idle, + data_pres, data_proc + ); +SIGNAL data_cur_state: data_states := idle; +SIGNAL data_next_state: data_states := idle; + +--Estados de la maquina de construccion de paquete +TYPE pack_states IS ( idle, + building + ); +SIGNAL pack_cur_state : pack_states := idle; +SIGNAL pack_next_state : pack_states := idle; + +SIGNAL nibble_aux: STD_LOGIC_VECTOR((DBIT_SZ-1) downto 0) := (OTHERS => '0'); +SIGNAL samp_count: STD_LOGIC_VECTOR((SAMPLE_COUNT_SZ-1) downto 0) := (OTHERS => '0'); +CONSTANT TOP_SAMPLES_COUNT: std_logic_vector((SAMPLE_COUNT_SZ-1) downto 0) := "001111101000"; +begin + +--Etapa de sincronizacion +sync_data: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + chn_bits_acq_bit0 <= (OTHERS=>'0'); + chn_bits_acq_bit1 <= (OTHERS=>'0'); + chn_bits_acq_bit2 <= (OTHERS=>'0'); + ELSE + chn_bits_acq_bit0 <= chn_bits_acq_bit0(0) & chn_bits_acq(0); + chn_bits_acq_bit1 <= chn_bits_acq_bit1(0) & chn_bits_acq(1); + chn_bits_acq_bit2 <= chn_bits_acq_bit2(0) & chn_bits_acq(2); + END IF; + END IF; + END PROCESS; + +nibble_aux <= chn_bits_acq_bit2(1) & + chn_bits_acq_bit1(1) & chn_bits_acq_bit0(1); + +--Etapa de deteccion de flancos +edge_det: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + clk_acq_r <=(OTHERS =>'1'); + clk_acq_rise <= '0'; + + ELSE + clk_acq_r <= clk_acq_r(1 downto 0) & clk_acq; + IF (clk_acq_r(2 downto 1) = "01") THEN + clk_acq_rise <= '1'; + ELSE + clk_acq_rise <= '0'; + END IF; + + END IF; + END IF; + END PROCESS; + +--Etapa de deteccion de cabecera y almacenamiento +cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + pack_cur_state <= idle; + ELSE + pack_cur_state <= pack_next_state; + END IF; + END IF; + END PROCESS; + +salidas_estados: PROCESS(pack_cur_state, dbits_counter,clk_acq_rise,dat_req_ch) + BEGIN + CASE pack_cur_state IS + WHEN idle => + IF(dat_req_ch = '1') THEN + pack_next_state <= building; + ELSE + pack_next_state <= idle; + END IF; + WHEN building => + IF(clk_acq_rise = '1') THEN + IF (dbits_counter = TOP_COUNT) THEN + pack_next_state <= idle; + ELSE + pack_next_state <= building; + END IF; + ELSE + pack_next_state <= building; + END IF; + + WHEN OTHERS => + pack_next_state <= idle; + + END CASE; + END PROCESS; + +save_bits: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + dbits_counter <=(OTHERS => '0') ; + resul_mem_internal <=(OTHERS => '0') ; + ELSE + IF (pack_cur_state = building) THEN + + IF(dat_req_ch = '1') THEN + dbits_counter <=(OTHERS => '0') ; + ELSIF(clk_acq_rise = '1') THEN + dbits_counter <=std_logic_vector(unsigned(dbits_counter)+1); + CASE dbits_counter IS + WHEN "000" => + resul_mem_internal(23 downto 21) <= nibble_aux; + WHEN "001" => + resul_mem_internal(20 downto 18) <= nibble_aux; + WHEN "010" => + resul_mem_internal(17 downto 15) <= nibble_aux; + WHEN "011" => + resul_mem_internal(14 downto 12) <= nibble_aux; + WHEN "100" => + resul_mem_internal(11 downto 9) <= nibble_aux; + WHEN "101" => + resul_mem_internal(8 downto 6) <= nibble_aux; + WHEN "110"=> + resul_mem_internal(5 downto 3) <= nibble_aux; + WHEN "111"=> + resul_mem_internal(2 downto 0) <= nibble_aux; + dbits_counter <=(OTHERS => '0'); + WHEN OTHERS => + dbits_counter <=(OTHERS => '0'); + END CASE; + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +resul_mem_internal_aux(39 downto 36) <= chn_id_acq; + +guardar_dato: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(data_cur_state = idle) THEN + IF(rdy_mem_aux = '1') THEN + resul_mem_internal_aux((RESUL_NP_SZ-5)downto 0) <= --chn_id_acq & + samp_count & + resul_mem_internal; + END IF; + END IF; + END IF; + END PROCESS; + +conteo_muestra: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(dat_req_ch = '1') THEN + IF(samp_count = TOP_SAMPLES_COUNT) THEN + samp_count <= (OTHERS=>'0'); + ELSE + samp_count <= std_logic_vector(unsigned(samp_count)+1) ; + END IF; + END IF; + END IF; + END PROCESS; + +output_rdy_mem: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + rdy_mem_aux <= '0'; + ELSE + IF((dbits_counter = TOP_COUNT)) THEN + IF((clk_acq_rise = '1')) THEN + rdy_mem_aux <= '1'; + END IF; + ELSE + rdy_mem_aux <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + +cambio_estados_data: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + data_cur_state <= idle; + ELSE + data_cur_state <= data_next_state; + END IF; + END IF; + END PROCESS; + +salidas_estados_data: PROCESS(resul_mem_internal_aux,data_cur_state,ack_in,rdy_mem_aux) + BEGIN + CASE data_cur_state IS + WHEN idle => + rdy_samp <= '0'; + resul_samp <= (OTHERS => '0'); + IF (rdy_mem_aux = '1') THEN + data_next_state <= data_pres; + ELSE + data_next_state <= idle; + END IF; + + WHEN data_pres => + rdy_samp <= '1'; + resul_samp <= resul_mem_internal_aux; + IF (ack_in = '1') THEN + data_next_state <= data_proc; + ELSE + data_next_state <= data_pres; + END IF; + + WHEN data_proc => + rdy_samp <= '1'; + resul_samp <= resul_mem_internal_aux; + IF (ack_in = '0') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + data_next_state <= idle; + ELSE + data_next_state <= data_proc; + END IF; + + WHEN OTHERS => + rdy_samp <= '0'; + resul_samp <= (OTHERS => '0'); + data_next_state <= idle; + + END CASE; + END PROCESS; + +end Behavioral; + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/joiner_samp_1.vhd =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/joiner_samp_1.vhd b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/joiner_samp_1.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/joiner_samp_1.vhd (revision 225) @@ -0,0 +1,325 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 11:35:58 02/20/2017 +-- Design Name: +-- Module Name: joiner_samp - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity joiner_samp_1 is +GENERIC( + DBIT_SZ: INTEGER :=3; + BITS_COUNT_SZ: INTEGER :=3; + RESUL_NP_SZ: INTEGER :=40; + SAMPLE_SZ: INTEGER :=24; + SAMPLE_COUNT_SZ: INTEGER := 12; + HEADER_NIB_SZ: INTEGER := 4; + DATA_HEADER_NIB_SZ: INTEGER := 4; + CH_COUNT_SZ: INTEGER :=4 + ); + +PORT( + + --Channel number + --chn_id_acq: IN STD_LOGIC_VECTOR((CH_COUNT_SZ-1) downto 0); + + --Reset del sistema + rst_bar: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq: IN STD_LOGIC_VECTOR((DBIT_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Data req + dat_req_ch: IN STD_LOGIC; + + --Senhales hacia el sistema + resul_samp: OUT STD_LOGIC_VECTOR((RESUL_NP_SZ-1) downto 0); --Salida al espacio de memoria para almacenar datos crudos + rdy_samp: OUT STD_LOGIC; --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + ack_in: IN STD_LOGIC --Senhal para indicar que el dato presentado fue leido o no + +); +end joiner_samp_1; + +architecture Behavioral of joiner_samp_1 is + +--Registros para sincronizacion +SIGNAL clk_acq_r : std_logic_vector (2 downto 0) :=(OTHERS=>'1'); +SIGNAL chn_bits_acq_bit0 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); +SIGNAL chn_bits_acq_bit1 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); +SIGNAL chn_bits_acq_bit2 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); + +--Senhales para deteccion de flancos +SIGNAL clk_acq_rise : std_logic :='0'; + +--Senhal Interna de dato completo +SIGNAL rdy_mem_aux: std_logic :='0'; + +--Constantes asociadas al contador de nibbles +SIGNAL dbits_counter : std_logic_vector ((BITS_COUNT_SZ-1) downto 0) :=(OTHERS=>'0'); +CONSTANT TOP_COUNT: std_logic_vector((BITS_COUNT_SZ-1) downto 0) :="111"; +CONSTANT BASE_COUNT: std_logic_vector((BITS_COUNT_SZ-1) downto 0) :=(OTHERS=>'0'); + +--Senhales para almacenar resultado a siguiente etapa +SIGNAL resul_mem_internal: std_logic_vector((SAMPLE_SZ-1) downto 0) :=(OTHERS=>'0'); +SIGNAL resul_mem_internal_aux: std_logic_vector((RESUL_NP_SZ-1) downto 0) :="0001000000000000000000000000000000000000"; +CONSTANT chn_id_acq: std_logic_vector((CH_COUNT_SZ-1) downto 0) := "0001"; + +--Estados de la maquina de presentacion de datos a la RAM y RAM Controller +TYPE data_states IS ( idle, + data_pres, data_proc + ); +SIGNAL data_cur_state: data_states := idle; +SIGNAL data_next_state: data_states := idle; + +--Estados de la maquina de construccion de paquete +TYPE pack_states IS ( idle, + building + ); +SIGNAL pack_cur_state : pack_states := idle; +SIGNAL pack_next_state : pack_states := idle; + +SIGNAL nibble_aux: STD_LOGIC_VECTOR((DBIT_SZ-1) downto 0) := (OTHERS => '0'); +SIGNAL samp_count: STD_LOGIC_VECTOR((SAMPLE_COUNT_SZ-1) downto 0) := (OTHERS => '0'); +CONSTANT TOP_SAMPLES_COUNT: std_logic_vector((SAMPLE_COUNT_SZ-1) downto 0) := "001111101000"; +begin + +--Etapa de sincronizacion +sync_data: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + chn_bits_acq_bit0 <= (OTHERS=>'0'); + chn_bits_acq_bit1 <= (OTHERS=>'0'); + chn_bits_acq_bit2 <= (OTHERS=>'0'); + ELSE + chn_bits_acq_bit0 <= chn_bits_acq_bit0(0) & chn_bits_acq(0); + chn_bits_acq_bit1 <= chn_bits_acq_bit1(0) & chn_bits_acq(1); + chn_bits_acq_bit2 <= chn_bits_acq_bit2(0) & chn_bits_acq(2); + END IF; + END IF; + END PROCESS; + +nibble_aux <= chn_bits_acq_bit2(1) & + chn_bits_acq_bit1(1) & chn_bits_acq_bit0(1); + +--Etapa de deteccion de flancos +edge_det: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + clk_acq_r <=(OTHERS =>'1'); + clk_acq_rise <= '0'; + + ELSE + clk_acq_r <= clk_acq_r(1 downto 0) & clk_acq; + IF (clk_acq_r(2 downto 1) = "01") THEN + clk_acq_rise <= '1'; + ELSE + clk_acq_rise <= '0'; + END IF; + + END IF; + END IF; + END PROCESS; + +--Etapa de deteccion de cabecera y almacenamiento +cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + pack_cur_state <= idle; + ELSE + pack_cur_state <= pack_next_state; + END IF; + END IF; + END PROCESS; + +salidas_estados: PROCESS(pack_cur_state, dbits_counter,clk_acq_rise,dat_req_ch) + BEGIN + CASE pack_cur_state IS + WHEN idle => + IF(dat_req_ch = '1') THEN + pack_next_state <= building; + ELSE + pack_next_state <= idle; + END IF; + WHEN building => + IF(clk_acq_rise = '1') THEN + IF (dbits_counter = TOP_COUNT) THEN + pack_next_state <= idle; + ELSE + pack_next_state <= building; + END IF; + ELSE + pack_next_state <= building; + END IF; + + WHEN OTHERS => + pack_next_state <= idle; + + END CASE; + END PROCESS; + +save_bits: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + dbits_counter <=(OTHERS => '0') ; + resul_mem_internal <=(OTHERS => '0') ; + ELSE + IF (pack_cur_state = building) THEN + + IF(dat_req_ch = '1') THEN + dbits_counter <=(OTHERS => '0') ; + ELSIF(clk_acq_rise = '1') THEN + dbits_counter <=std_logic_vector(unsigned(dbits_counter)+1); + CASE dbits_counter IS + WHEN "000" => + resul_mem_internal(23 downto 21) <= nibble_aux; + WHEN "001" => + resul_mem_internal(20 downto 18) <= nibble_aux; + WHEN "010" => + resul_mem_internal(17 downto 15) <= nibble_aux; + WHEN "011" => + resul_mem_internal(14 downto 12) <= nibble_aux; + WHEN "100" => + resul_mem_internal(11 downto 9) <= nibble_aux; + WHEN "101" => + resul_mem_internal(8 downto 6) <= nibble_aux; + WHEN "110"=> + resul_mem_internal(5 downto 3) <= nibble_aux; + WHEN "111"=> + resul_mem_internal(2 downto 0) <= nibble_aux; + dbits_counter <=(OTHERS => '0'); + WHEN OTHERS => + dbits_counter <=(OTHERS => '0'); + END CASE; + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +resul_mem_internal_aux(39 downto 36) <= chn_id_acq; + +guardar_dato: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(data_cur_state = idle) THEN + IF(rdy_mem_aux = '1') THEN + resul_mem_internal_aux((RESUL_NP_SZ-5)downto 0) <= --chn_id_acq & + samp_count & + resul_mem_internal; + END IF; + END IF; + END IF; + END PROCESS; + +conteo_muestra: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(dat_req_ch = '1') THEN + IF(samp_count = TOP_SAMPLES_COUNT) THEN + samp_count <= (OTHERS=>'0'); + ELSE + samp_count <= std_logic_vector(unsigned(samp_count)+1) ; + END IF; + END IF; + END IF; + END PROCESS; + +output_rdy_mem: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + rdy_mem_aux <= '0'; + ELSE + IF((dbits_counter = TOP_COUNT)) THEN + IF((clk_acq_rise = '1')) THEN + rdy_mem_aux <= '1'; + END IF; + ELSE + rdy_mem_aux <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + +cambio_estados_data: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + data_cur_state <= idle; + ELSE + data_cur_state <= data_next_state; + END IF; + END IF; + END PROCESS; + +salidas_estados_data: PROCESS(resul_mem_internal_aux,data_cur_state,ack_in,rdy_mem_aux) + BEGIN + CASE data_cur_state IS + WHEN idle => + rdy_samp <= '0'; + resul_samp <= (OTHERS => '0'); + IF (rdy_mem_aux = '1') THEN + data_next_state <= data_pres; + ELSE + data_next_state <= idle; + END IF; + + WHEN data_pres => + rdy_samp <= '1'; + resul_samp <= resul_mem_internal_aux; + IF (ack_in = '1') THEN + data_next_state <= data_proc; + ELSE + data_next_state <= data_pres; + END IF; + + WHEN data_proc => + rdy_samp <= '1'; + resul_samp <= resul_mem_internal_aux; + IF (ack_in = '0') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + data_next_state <= idle; + ELSE + data_next_state <= data_proc; + END IF; + + WHEN OTHERS => + rdy_samp <= '0'; + resul_samp <= (OTHERS => '0'); + data_next_state <= idle; + + END CASE; + END PROCESS; + +end Behavioral; + Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/pepExtractor.prj =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/pepExtractor.prj b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/pepExtractor.prj new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/pepExtractor.prj (revision 225) @@ -0,0 +1,5 @@ +work "DCM_fwd_int.vhd" +work "Data_Req_gen.vhd" +work "DtoDPRAM.vhd" +work "FrontEnd_Acq.vhd" +work "joiner_samp.vhd" Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/tb_FrontEnd_Acq.vhd =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/tb_FrontEnd_Acq.vhd b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/tb_FrontEnd_Acq.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/tb_FrontEnd_Acq.vhd (revision 225) @@ -0,0 +1,146 @@ +-- TestBench Template + + LIBRARY ieee; + USE ieee.std_logic_1164.ALL; + USE ieee.numeric_std.ALL; + + ENTITY testbench IS + GENERIC( + DBIT_SZ: INTEGER := 3; + ADDR_LENGTH: INTEGER := 12; + DATAOUT_LENGTH: INTEGER := 8; + CH_SZ: INTEGER :=5; + NBITS_PERIOD: INTEGER :=18; + NBITS_DUTY: INTEGER :=12; + NBITS_PULSES: INTEGER :=10; + RESUL_NP_SZ: INTEGER :=40; + ID_CH_SZ: INTEGER := 4 + + ); + END testbench; + + ARCHITECTURE behavior OF testbench IS + + -- Component Declaration + COMPONENT FrontEnd_Acq is + GENERIC( + DBIT_SZ: INTEGER := 3; + ADDR_LENGTH: INTEGER := 12; + DATAOUT_LENGTH: INTEGER := 8; + CH_SZ: INTEGER :=5; + NBITS_PERIOD: INTEGER :=18; + NBITS_DUTY: INTEGER :=12; + NBITS_PULSES: INTEGER :=10; + RESUL_NP_SZ: INTEGER :=40; + ID_CH_SZ: INTEGER := 4 + + ); + PORT( + clk_main: IN std_logic; --Reloj principal de 60MHz + pps: IN std_logic; --Senhal de sincronismo + pck_rdy: OUT std_logic; --Senhal de aviso de paquete de 40 muestras por canal(200total) a la RPi + + --Senhales de empaquetadores + data_req_ch1: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch1: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch1: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch2: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch2: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch2: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch3: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch3: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch3: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch4: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch4: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch4: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + data_req_ch5: OUT std_logic; --Senhal de solicitud de datos al uc-ADC + data_ch5: IN std_logic_vector((DBIT_SZ-1)downto 0); --Bits de datos desde el uC + clk_acq_ch5: IN std_logic; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + --DPRAM senhales de control, direccion y data + --Direccion de escritura + addrA: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); --Direccion puerto A a escribir en DPRAM + dataA: OUT std_logic_vector((DATAOUT_LENGTH-1) downto 0); --Datopuerto A a escribir en DPRAM + enA: OUT std_logic --Habilitacion de escritura del puerto A + ); + end COMPONENT; + + SIGNAL clk_main: std_logic := '0'; --Reloj principal de 60MHz + SIGNAL pps: std_logic := '0'; --Senhal de sincronismo + SIGNAL data_ch1: std_logic_vector((DBIT_SZ-1)downto 0) :=(OTHERS =>'0'); --Bits de datos desde el uC + SIGNAL clk_acq_ch1: std_logic := '0'; --Senhal de aviso por flancos(tipo SPI) de datos a leer + SIGNAL data_ch2: std_logic_vector((DBIT_SZ-1)downto 0) :=(OTHERS =>'0'); --Bits de datos desde el uC + SIGNAL clk_acq_ch2: std_logic := '0'; --Senhal de aviso por flancos(tipo SPI) de datos a leer + SIGNAL data_ch3: std_logic_vector((DBIT_SZ-1)downto 0) :=(OTHERS =>'0'); --Bits de datos desde el uC + SIGNAL clk_acq_ch3: std_logic := '0'; --Senhal de aviso por flancos(tipo SPI) de datos a leer + SIGNAL data_ch4: std_logic_vector((DBIT_SZ-1)downto 0) :=(OTHERS =>'0'); --Bits de datos desde el uC + SIGNAL clk_acq_ch4: std_logic := '0'; --Senhal de aviso por flancos(tipo SPI) de datos a leer + SIGNAL data_ch5: std_logic_vector((DBIT_SZ-1)downto 0) :=(OTHERS =>'0'); --Bits de datos desde el uC + SIGNAL clk_acq_ch5: std_logic := '0'; --Senhal de aviso por flancos(tipo SPI) de datos a leer + + --outputs + SIGNAL pck_rdy: std_logic; --Senhal de aviso de paquete de 40 muestras por canal(200total) a la RPi + SIGNAL data_req_ch1: std_logic; --Senhal de solicitud de datos al uc-ADC + SIGNAL data_req_ch2: std_logic; --Senhal de solicitud de datos al uc-ADC + SIGNAL data_req_ch3: std_logic; --Senhal de solicitud de datos al uc-ADC + SIGNAL data_req_ch4: std_logic; --Senhal de solicitud de datos al uc-ADC + SIGNAL data_req_ch5: std_logic; --Senhal de solicitud de datos al uc-ADC + SIGNAL addrA: std_logic_vector((ADDR_LENGTH-1) downto 0); --Direccion puerto A a escribir en DPRAM + SIGNAL dataA: std_logic_vector((DATAOUT_LENGTH-1) downto 0); --Datopuerto A a escribir en DPRAM + SIGNAL enA: std_logic; --Habilitacion de escritura del puerto A + + constant clk_main_period : time := 16.667 ns; + + BEGIN + + -- Component Instantiation + uut: FrontEnd_Acq PORT MAP( + clk_main => clk_main, + pps => pps, + pck_rdy => pck_rdy, + data_req_ch1 => data_req_ch1, + data_ch1 => data_ch1, + clk_acq_ch1 => clk_acq_ch1, + data_req_ch2 => data_req_ch2, + data_ch2 => data_ch2, + clk_acq_ch2 => clk_acq_ch2, + data_req_ch3 => data_req_ch3, + data_ch3 => data_ch3, + clk_acq_ch3 => clk_acq_ch3, + data_req_ch4 => data_req_ch4 , + data_ch4 => data_ch4, + clk_acq_ch4 => clk_acq_ch4, + data_req_ch5 => data_req_ch5, + data_ch5 => data_ch5, + clk_acq_ch5 => clk_acq_ch5, + addrA => addrA, + dataA => dataA, + enA => enA + ); + + -- Clock process definitions + clk_main_process :process + begin + clk_main <= '0'; + wait for clk_main_period/2; + clk_main <= '1'; + wait for clk_main_period/2; + end process; + + -- Test Bench Statements + tb : PROCESS + BEGIN + + wait for 100 ns; -- wait until global set/reset completes + + -- Add user defined stimulus here + + wait; -- will wait forever + END PROCESS tb; + -- End Test Bench + + END; Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/testbench_stx_beh.prj =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/testbench_stx_beh.prj b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/testbench_stx_beh.prj new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/testbench_stx_beh.prj (revision 225) @@ -0,0 +1,6 @@ +vhdl isim_temp "joiner_samp.vhd" +vhdl isim_temp "DtoDPRAM.vhd" +vhdl isim_temp "DCM_fwd_int.vhd" +vhdl isim_temp "Data_Req_gen.vhd" +vhdl isim_temp "FrontEnd_Acq.vhd" +vhdl isim_temp "tb_FrontEnd_Acq.vhd" Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/webtalk_pn.xml =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/webtalk_pn.xml b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/webtalk_pn.xml new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/webtalk_pn.xml (revision 225) @@ -0,0 +1,43 @@ + + + + +
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/xilinxsim.ini =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xilinxsim.ini b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xilinxsim.ini new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xilinxsim.ini (revision 225) @@ -0,0 +1 @@ +isim_temp=isim/temp =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/xst/work/work.vdbl =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xst/work/work.vdbl b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xst/work/work.vdbl new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xst/work/work.vdbl (revision 225) =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Processing2017/FrontEnd_Acq/xst/work/work.vdbx =================================================================== diff --git a/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xst/work/work.vdbx b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xst/work/work.vdbx new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Processing2017/FrontEnd_Acq/xst/work/work.vdbx (revision 225)