Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.atsln =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.atsln b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.atsln new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.atsln (revision 224) @@ -0,0 +1,20 @@ + +Microsoft Visual Studio Solution File, Format Version 11.00 +# Atmel Studio Solution File, Format Version 11.00 +Project("{54F91283-7BC4-4236-8FF9-10F437C3AD48}") = "ADCSPI_v01", "ADCSPI_v01\ADCSPI_v01.cproj", "{7024C456-574A-4FD1-BAA2-1F09B3D11566}" +EndProject +Global + GlobalSection(SolutionConfigurationPlatforms) = preSolution + Debug|AVR = Debug|AVR + Release|AVR = Release|AVR + EndGlobalSection + GlobalSection(ProjectConfigurationPlatforms) = postSolution + {7024C456-574A-4FD1-BAA2-1F09B3D11566}.Debug|AVR.ActiveCfg = Debug|AVR + {7024C456-574A-4FD1-BAA2-1F09B3D11566}.Debug|AVR.Build.0 = Debug|AVR + {7024C456-574A-4FD1-BAA2-1F09B3D11566}.Release|AVR.ActiveCfg = Release|AVR + {7024C456-574A-4FD1-BAA2-1F09B3D11566}.Release|AVR.Build.0 = Release|AVR + EndGlobalSection + GlobalSection(SolutionProperties) = preSolution + HideSolutionNode = FALSE + EndGlobalSection +EndGlobal =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.atsuo =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.atsuo b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.atsuo new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.atsuo (revision 224) Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.cproj =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.cproj b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.cproj new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/ADCSPI_v01.cproj (revision 224) @@ -0,0 +1,147 @@ + + + + 2.0 + 6.2 + com.Atmel.AVRGCC8.C + {7024c456-574a-4fd1-baa2-1f09b3d11566} + ATxmega32D4 + none + Executable + C + $(MSBuildProjectName) + .elf + $(MSBuildProjectDirectory)\$(Configuration) + ADCSPI_v01 + ADCSPI_v01 + ADCSPI_v01 + Native + true + false + true + true + 0x20000000 + + true + exception_table + 2 + 0 + + + + + + + + + + + + + com.atmel.avrdbg.tool.atmelice + PDI + + + + 4000000 + + PDI + + com.atmel.avrdbg.tool.atmelice + J41800030896 + Atmel-ICE + + + + + + True + True + True + True + False + True + True + + + NDEBUG + + + Optimize for size (-Os) + True + True + True + + + libm + + + + + + + + + True + True + True + True + False + True + True + + + DEBUG + + + Optimize (-O1) + True + True + Default (-g2) + True + + + libm + + + Default (-Wa,-g) + + + + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + compile + + + + \ No newline at end of file Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/ADC_7176_2.c =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/ADC_7176_2.c b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/ADC_7176_2.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/ADC_7176_2.c (revision 224) @@ -0,0 +1,257 @@ +/* + * ADC_7176_2.c + * + * Created: 26/04/16 11:46:48 + * Author: Francisco + */ + +/*! + * \fn test_adc + * \brief Lectura de ID del adc + * + * Lectura esperada: 0x0C94 + * + * Esta función tiene como fin probar la comunicación on el ADC + * +#define ADC_WENbar_bm (1<<7) +#define ADC_RWbar_bm (1<<6) +#define ADC_ADDR_READ_ID 0x07 + * \ +*/ + +#define F_CPU 32000000UL +#include +#include "ADC_7176_2.h" +#include + +inline uint16_t test_adc(void){ + uint16_t aux; + PORTSPI.OUTCLR = SPI_SS_bm; + adcport_tranceiv((ADC_ADDR_READ_ID|ADC_RWbar_bm)&(~ADC_WENbar_bm)); + aux = adcport_tranceiv(0); + aux = (aux << 8) | adcport_tranceiv(0); + PORTSPI.OUTSET = SPI_SS_bm; + return aux; +} + +inline void test_adc_2(uint8_t* dato){ + PORTSPI.OUTCLR = SPI_SS_bm; + adcport_read_data(dato,ADC_DATASZ); + PORTSPI.OUTSET = SPI_SS_bm; +} + +inline uint16_t test_adc_3(void){ + uint16_t aux; + PORTSPI.OUTCLR = SPI_SS_bm; + adcport_tranceiv((ADC_FILTCON0|ADC_RWbar_bm)&(~ADC_WENbar_bm)); + aux = adcport_tranceiv(0); + aux = (aux << 8) | adcport_tranceiv(0); + PORTSPI.OUTSET = SPI_SS_bm; + return aux; +} + +/*! + * \fn adcport_tranceiv + * \brief Realiza la transmision y recepcion simultanea de datos entre el ADC y + * el microcontrolador. + * Incluso en para leer un dato del ADC se debe transmitir, ya que solo la + * transmision genera clock en el pin "sclk" + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + + // Return received data + + return SPIC.DATA; +} + +/*! + * \fn adcport_readdata + * \brief Realiza la lectura de datos en modo de conversión continua + * el microcontrolador. + * Incluso en para leer un dato del ADC se debe transmitir, ya que solo la + * transmision genera clock en el pin "sclk" + * \param La dirección del puntero a dato + * \return Ninguno sólo se llena el puntero al dato + */ +inline void adcport_read_data(uint8_t* dato,int j) +{ + + //Envío del comando de lectura de datos 0x44 + adcport_tranceiv((ADC_RD | ADC_RWbar_bm)&(~ADC_WENbar_bm)); + + //x = (i2 >> 16) & (i1 >> 8) & (i0 >>0) + + // Save received data + for(int i=0; i> 16) & (i1 >> 8) & (i0 >>0) + + // Save received data + for(int i=0; i> 16) & (i1 >> 8) & (i0 >>0) + + // Save received data + for(int i=0; i +#include "Ports.h" +#include "commSPI_ADC.h" + +//ID esperada +//tamaño 16 bits +//Valor 0x0C94 +#define ADC_VALFIL0 0x0013 +//#define ADC_VALFIL0 0x8000 +#define ADC_ID 0x0C94 +#define ADC_RD 0x04 + +#define ADC_MODREG 0x01 +#define ADC_DATREG 0x04 +#define ADC_FILTCON0 0x28 +#define ADC_INTERFMODE_REG 0x02 + +#define ADC_ADDR_READ_ID (0x07) +#define ADC_CHMAPREG0 0x10 +#define ADC_CHMAPREG1 0x11 +#define ADC_CHMAPREG2 0x12 +#define ADC_CHMAPREG3 0x13 +#define ADC_SETUPCON0 0x20 + +#define ADC_DATASZ 3 //Numero de bytes + +//Definición de orden de los bits de habilitación, escritura lectura y dirección +#define ADC_WENbar_bm 0b10000000 +#define ADC_RWbar_bm 0b01000000 +#define ADC_FILT0_ORDER0_bp 5 +#define ADC_FILT0_ODR0_bp 0 + +#define ADC_CONTREAD_bp 7 +#define ADC_WL16_bp 0 +#define ADC_IOSTRENGTH_bp 3 +#define ADC_IOSTRENGTH_E 0b1 +#define ADC_IOSTRENGTH_NE 0b0 + +#define ADC_SYNCYC_bp 5 +#define ADC_REFOUT_bp 7 +#define ADC_MODECONV_bp 4 +#define ADC_SINGLECONV 0b001 +#define ADC_CONTCONV 0b000 + +#define ADC_SINC5SINC1 0b00 +#define ADC_CONTREAD_E 0b1 +#define ADC_CONTREAD_NE 0b0 + +#define ADC_REFEN_bm 0b1 +#define ADC_REFNEN_bm 0b0 + +#define ADC_SINGLECONV_EN_bm 0b1 +#define ADC_SINGLECONV_NEN_bm 0b0 + +#define ADC_CHMAPEN_bp 7 +#define ADC_CHMAPEN_E 1 +#define ADC_CHMAPEN_NE 0 + + +#define ADC_CHSETSEL_bp 4 +#define ADC_SET0_bm 0b000 + +#define ADC_AINPOSUB_bp 0 +#define ADC_AINPOSLB_bp 5 +#define ADC_AINNEG_bp 0 + +#define ADC_AINPOSUB0_bm 0b00 +#define ADC_AINPOSLB0_bm 0b000 +#define ADC_AINEG1_bm 0b00001 + +#define ADC_AINPOSUB1_bm 0b00 +#define ADC_AINPOSLB1_bm 0b001 +#define ADC_AINEG0_bm 0b00000 + + +#define ADC_BI_UNIPOLAR_bp 4 +#define ADC_OFFSETBIP_bm 0b1 +#define ADC_REFSEL_bp 4 +#define ADC_INT25V_bm 0b10 + + + + +#define ADC_250000S 0b00000 +#define ADC_125000S 0b00001 +#define ADC_62500S 0b00010 +#define ADC_50000S 0b00011 +#define ADC_31250S 0b00100 +#define ADC_25000S 0b00101 +#define ADC_15625S 0b00110 +#define ADC_10000S 0b00111 +#define ADC_5000S 0b01000 +#define ADC_2500S 0b01001 +#define ADC_1000S 0b01010 +#define ADC_500S 0b01011 +#define ADC_3975S 0b01100 +#define ADC_200S 0b01101 +#define ADC_100S 0b01110 +#define ADC_5994S 0b01111 +#define ADC_4996S 0b10000 +#define ADC_20S 0b10001 +#define ADC_166S 0b10010 +#define ADC_10S 0b10011 +#define ADC_5S 0b10100 + +#define ADC_24b 0b0 +#define ADC_16b 0b1 + +uint8_t adcport_tranceiv(uint8_t data); +void adcport_read_data(uint8_t* dato,int j); + +uint16_t adcport_read_filtcon0(void); + +void adcport_write_filtcon0(void); +void adcport_write_interfmode(void); +void adcport_write_adcmode(void); +void adcport_write_reset(void); +void adcport_write_chmapreg0(void); +void adcport_write_chmapreg1(void); +void adcport_write_chmapreg2(void); +void adcport_write_chmapreg3(void); +void adcport_write_setupcon0(void); +void adcport_write_adcmode_2(void); +void adcport_read_data_synconv(uint8_t* dato,int j); +void adcport_read_data_contread(uint8_t* dato,int j); + + +uint16_t test_adc(void); +void test_adc_2(uint8_t* dato); +uint16_t test_adc_3(void); + +void config_adc(void); + +#endif /* ADC_7176_2_H_ */ \ No newline at end of file Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.eep =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.eep b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.eep new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.eep (revision 224) @@ -0,0 +1 @@ +:00000001FF =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.elf =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.elf b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.elf new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.elf (revision 224) Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.hex =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.hex b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.hex new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.hex (revision 224) @@ -0,0 +1,143 @@ +:100000000C94B6000C94C8000C94AC020C94C8007C +:100010000C94C8000C94C8000C94C8000C94C80040 +:100020000C94C8000C94C8000C94C8000C94C80030 +:100030000C94C8000C94C8000C94C8000C94C80020 +:100040000C94C8000C94C8000C94C8000C94C80010 +:100050000C94C8000C94C8000C94C8000C94C80000 +:100060000C94C8000C94C8000C94C8000C94C800F0 +:100070000C94C8000C94C8000C94C8000C94C800E0 +:100080000C94C8000C94C8000C94C8000C94630233 +:100090000C94C8000C94C8000C94C8000C94C800C0 +:1000A0000C94C8000C94C8000C94C8000C94C800B0 +:1000B0000C94C8000C94C8000C94C8000C94C800A0 +:1000C0000C94C8000C94C8000C94C8000C94C80090 +:1000D0000C94C8000C94C8000C94C8000C94C80080 +:1000E0000C94C8000C94C8000C94C8000C94C80070 +:1000F0000C94C8000C94C8000C94C8000C94C80060 +:100100000C942F020C94C8000C94C8000C94C800E6 +:100110000C94C8000C94C8000C94C8000C94C8003F +:100120000C94C8000C94C8000C94C8000C94C8002F +:100130000C94C8000C94C8000C94C8000C94C8001F +:100140000C94C8000C94C8000C94C8000C94C8000F +:100150000C94C8000C94C8000C94C8000C94C800FF +:100160000C94C8000C94C8000C94C80011241FBE45 +:10017000CFEFCDBFDFE2DEBF20E2A0E0B0E201C002 +:100180001D92A230B207E1F70E941E020C9468048F +:100190000C94000024E4E0ECF8E023832281222385 +:1001A000ECF7E0ECF8E023811616170664F4DC01A6 +:1001B000860F971F138222812223ECF723812D9330 +:1001C000A817B907B9F7089581E0E0ECF8E0838358 +:1001D00082818823ECF7E0ECF8E0838180E8838378 +:1001E00082818823ECF7E0ECF8E08381138282813E +:1001F0008823ECF7E0ECF8E08381089588E2E0ECF6 +:10020000F8E0838382818823ECF7E0ECF8E08381D7 +:10021000138282818823ECF7E0ECF8E0838183E0AD +:10022000838382818823ECF7E0ECF8E083810895F2 +:1002300082E0E0ECF8E0838382818823ECF7E0EC55 +:10024000F8E08381138282818823ECF7E0ECF8E008 +:100250008381138282818823ECF7E0ECF8E08381CC +:10026000089580E1E0ECF8E0838382818823ECF755 +:10027000E0ECF8E0838180E8838382818823ECF7D7 +:10028000E0ECF8E0838180E2838382818823ECF7CD +:10029000E0ECF8E08381089581E1E0ECF8E083830D +:1002A00082818823ECF7E0ECF8E08381138282817D +:1002B0008823ECF7E0ECF8E0838181E0838382819E +:1002C0008823ECF7E0ECF8E08381089582E1E0EC2C +:1002D000F8E0838382818823ECF7E0ECF8E0838107 +:1002E000138282818823ECF7E0ECF8E0838181E0DF +:1002F000838382818823ECF7E0ECF8E08381089522 +:1003000083E1E0ECF8E0838382818823ECF7E0EC82 +:10031000F8E08381138282818823ECF7E0ECF8E037 +:10032000838181E0838382818823ECF7E0ECF8E02D +:100330008381089580E2E0ECF8E083838281882362 +:10034000ECF7E0ECF8E0838180E18383828188230D +:10035000ECF7E0ECF8E0838180E2838382818823FC +:10036000ECF7E0ECF8E0838108958FEFE0ECF8E043 +:10037000838382818823ECF7E0ECF8E083818FEFC0 +:10038000838382818823ECF7E0ECF8E083818FEFB0 +:10039000838382818823ECF7E0ECF8E083818FEFA0 +:1003A000838382818823ECF7E0ECF8E083818FEF90 +:1003B000838382818823ECF7E0ECF8E083818FEF80 +:1003C000838382818823ECF7E0ECF8E083818FEF70 +:1003D000838382818823ECF7E0ECF8E083818FEF60 +:1003E000838382818823ECF7E0ECF8E08381089531 +:1003F0001F93CF93DF93C0E4D6E010E11E830E94E9 +:10040000B5011D838FE599E00197F1F700C0000069 +:100410001E830E94E4000E9418010E94FE000E94B8 +:100420009A010E9431010E944C010E9466010E94C3 +:1004300080011D83DF91CF911F9108950E94CF030A +:100440000E9449040E94E4020E94E8020E94F8010E +:1004500084E0E0EAF0E082830E941B03FFCF1F925A +:100460000F920FB60F9211248F939F93EF93FF93E8 +:10047000E0E6F6E0808581FF11C081E0E0E4F6E08F +:10048000848792E0E0E2F6E09487E0EAF0E092818F +:1004900092609283E0E0F6E085830CC0E0EAF0E051 +:1004A00082818D7F828382818E7F828381E0E0E002 +:1004B000F6E08683FF91EF919F918F910F900FBE91 +:1004C0000F901F9018951F920F920FB60F92112444 +:1004D0008F93EF93FF93E0EAF0E082818E7F828337 +:1004E000E0E4F6E081E084878487000000000000FB +:1004F00000001092002010920120E0EAF0E08281DA +:1005000081608283E0E4F6E081E0848780E1858396 +:10051000000084E0E0E6F6E08583000000000000D3 +:1005200000000000000000000000000000000000CB +:100530000000000080E1E0E4F6E086838AE68A9528 +:10054000F1F700C081E08487FF91EF918F910F90C8 +:100550000FBE0F901F9018951F920F920FB60F921B +:1005600011242F933F934F935F936F937F938F93B8 +:100570009F93AF93BF93EF93FF938091002090914F +:10058000012080329E445CF40E94B90380910020D7 +:10059000909101200196809300209093012081E0AA +:1005A000E0E4F6E08487FF91EF91BF91AF919F91D6 +:1005B0008F917F916F915F914F913F912F910F900C +:1005C0000FBE0F901F9018958CED8093C008089572 +:1005D000CF93DF93E0E6F6E0118A92E0928320E188 +:1005E000228B84E081838683A0E2B6E012968C930E +:1005F000129752962C935297C0E0D6E021E02983BF +:100600002E8321852360218792879189987F918B02 +:100610009185937F986019969C9319971B968C935C +:100620001B9752968C915297887F816052968C933B +:10063000DF91CF91089578940895000000000000A4 +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000084E0E0E6F6E0858300000000000082 +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:1006900000008683868188E48483000000000000D7 +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000084E0858300000000000000000000BE +:1006D000000000000000000000000000000000001A +:1006E0000000000000000000000000000000868301 +:1006F000868180E684830000000000000000000086 +:1007000000000000000000000000000000000000E9 +:10071000000000000000000000000000000084E075 +:1007200085830000000000000000000000000000C1 +:1007300000000000000000000000000000000000B9 +:1007400000000000000008950F931F93CF93DF93E4 +:100750008C01C0E0D0E0F80181918F010E941D035F +:100760002196C330D105B9F7DF91CF911F910F9139 +:100770000895CF93DF9300D01F92CDB7DEB763E02B +:1007800070E0CE0101960E94CA00CE0101960E943F +:10079000A4032396CDBFDEBFDF91CF910895E0E0A3 +:1007A000F6E088E1878B868B858B848B838B828BAD +:1007B000818B9EEF928398E3908B91E09683918357 +:1007C000E0E2F6E0838B828B818B808B2FE02283AB +:1007D000E0E4F6E0868B838B828B818B808B9FE4B9 +:1007E0009283158A178A148A90EB918390E99583F6 +:1007F00090E29683A0E6B6E094E011969C93119760 +:1008000016969C93169757968C93579750968C9361 +:10081000509793E812969C93129790E151969C936F +:10082000519756961C92569755961C9255975496EA +:100830001C92549753961C92539738E711963C9309 +:10084000119716963C93A0E8B6E053968C93539775 +:1008500052968C93529751968C93519750968C93B5 +:10086000509712962C93A0EEB7E051969C93519717 +:1008700093E012969C93129750968C9380E4828713 +:1008800081858C7F816081878689887F8260868B65 +:10089000089510924100E0E5F0E080818660808359 +:1008A000818182FFFDCFE0E5F0E0818181FFFDCF16 +:1008B00081E080936000E0E5F0E096819D7F968383 +:1008C00098ED94BF8093400080818E7F808308954F +:0408D000F894FFCFCA +:00000001FF Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.lss =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.lss b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.lss new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.lss (revision 224) @@ -0,0 +1,1825 @@ + +ADCSPI_v01.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000008d4 00000000 00000000 00000074 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .bss 00000002 00802000 00802000 00000948 2**0 + ALLOC + 2 .comment 00000030 00000000 00000000 00000948 2**0 + CONTENTS, READONLY + 3 .debug_aranges 00000198 00000000 00000000 00000978 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_info 00001a04 00000000 00000000 00000b10 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_abbrev 00000726 00000000 00000000 00002514 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_line 00000c70 00000000 00000000 00002c3a 2**0 + CONTENTS, READONLY, DEBUGGING + 7 .debug_frame 0000034c 00000000 00000000 000038ac 2**2 + CONTENTS, READONLY, DEBUGGING + 8 .debug_str 000008ad 00000000 00000000 00003bf8 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_loc 00000564 00000000 00000000 000044a5 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_ranges 00000138 00000000 00000000 00004a09 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00000000 <__vectors>: + 0: 0c 94 b6 00 jmp 0x16c ; 0x16c <__ctors_end> + 4: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 8: 0c 94 ac 02 jmp 0x558 ; 0x558 <__vector_2> + c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 10: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 14: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 18: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 1c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 20: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 24: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 28: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 2c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 30: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 34: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 38: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 3c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 40: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 44: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 48: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 4c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 50: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 54: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 58: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 5c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 60: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 64: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 68: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 6c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 70: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 74: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 78: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 7c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 80: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 84: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 88: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 8c: 0c 94 63 02 jmp 0x4c6 ; 0x4c6 <__vector_35> + 90: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 94: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 98: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 9c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + a0: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + a4: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + a8: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + ac: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + b0: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + b4: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + b8: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + bc: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + c0: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + c4: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + c8: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + cc: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + d0: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + d4: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + d8: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + dc: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + e0: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + e4: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + e8: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + ec: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + f0: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + f4: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + f8: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + fc: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 100: 0c 94 2f 02 jmp 0x45e ; 0x45e <__vector_64> + 104: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 108: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 10c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 110: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 114: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 118: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 11c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 120: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 124: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 128: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 12c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 130: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 134: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 138: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 13c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 140: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 144: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 148: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 14c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 150: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 154: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 158: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 15c: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 160: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 164: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + 168: 0c 94 c8 00 jmp 0x190 ; 0x190 <__bad_interrupt> + +0000016c <__ctors_end>: + 16c: 11 24 eor r1, r1 + 16e: 1f be out 0x3f, r1 ; 63 + 170: cf ef ldi r28, 0xFF ; 255 + 172: cd bf out 0x3d, r28 ; 61 + 174: df e2 ldi r29, 0x2F ; 47 + 176: de bf out 0x3e, r29 ; 62 + +00000178 <__do_clear_bss>: + 178: 20 e2 ldi r18, 0x20 ; 32 + 17a: a0 e0 ldi r26, 0x00 ; 0 + 17c: b0 e2 ldi r27, 0x20 ; 32 + 17e: 01 c0 rjmp .+2 ; 0x182 <.do_clear_bss_start> + +00000180 <.do_clear_bss_loop>: + 180: 1d 92 st X+, r1 + +00000182 <.do_clear_bss_start>: + 182: a2 30 cpi r26, 0x02 ; 2 + 184: b2 07 cpc r27, r18 + 186: e1 f7 brne .-8 ; 0x180 <.do_clear_bss_loop> + 188: 0e 94 1e 02 call 0x43c ; 0x43c
+ 18c: 0c 94 68 04 jmp 0x8d0 ; 0x8d0 <_exit> + +00000190 <__bad_interrupt>: + 190: 0c 94 00 00 jmp 0 ; 0x0 <__vectors> + +00000194 : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 194: 24 e4 ldi r18, 0x44 ; 68 + 196: e0 ec ldi r30, 0xC0 ; 192 + 198: f8 e0 ldi r31, 0x08 ; 8 + 19a: 23 83 std Z+3, r18 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 19c: 22 81 ldd r18, Z+2 ; 0x02 + 19e: 22 23 and r18, r18 + 1a0: ec f7 brge .-6 ; 0x19c + + // Return received data + + return SPIC.DATA; + 1a2: e0 ec ldi r30, 0xC0 ; 192 + 1a4: f8 e0 ldi r31, 0x08 ; 8 + 1a6: 23 81 ldd r18, Z+3 ; 0x03 + adcport_tranceiv((ADC_RD | ADC_RWbar_bm)&(~ADC_WENbar_bm)); + + //x = (i2 >> 16) & (i1 >> 8) & (i0 >>0) + + // Save received data + for(int i=0; i + 1ae: dc 01 movw r26, r24 + 1b0: 86 0f add r24, r22 + 1b2: 97 1f adc r25, r23 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 1b4: 13 82 std Z+3, r1 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 1b6: 22 81 ldd r18, Z+2 ; 0x02 + 1b8: 22 23 and r18, r18 + 1ba: ec f7 brge .-6 ; 0x1b6 + + // Return received data + + return SPIC.DATA; + 1bc: 23 81 ldd r18, Z+3 ; 0x03 + + //x = (i2 >> 16) & (i1 >> 8) & (i0 >>0) + + // Save received data + for(int i=0; i> 16) & (i1 >> 8) & (i0 >>0) + + // Save received data + for(int i=0; i + 1c6: 08 95 ret + +000001c8 : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 1c8: 81 e0 ldi r24, 0x01 ; 1 + 1ca: e0 ec ldi r30, 0xC0 ; 192 + 1cc: f8 e0 ldi r31, 0x08 ; 8 + 1ce: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 1d0: 82 81 ldd r24, Z+2 ; 0x02 + 1d2: 88 23 and r24, r24 + 1d4: ec f7 brge .-6 ; 0x1d0 + + // Return received data + + return SPIC.DATA; + 1d6: e0 ec ldi r30, 0xC0 ; 192 + 1d8: f8 e0 ldi r31, 0x08 ; 8 + 1da: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 1dc: 80 e8 ldi r24, 0x80 ; 128 + 1de: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 1e0: 82 81 ldd r24, Z+2 ; 0x02 + 1e2: 88 23 and r24, r24 + 1e4: ec f7 brge .-6 ; 0x1e0 + + // Return received data + + return SPIC.DATA; + 1e6: e0 ec ldi r30, 0xC0 ; 192 + 1e8: f8 e0 ldi r31, 0x08 ; 8 + 1ea: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 1ec: 13 82 std Z+3, r1 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 1ee: 82 81 ldd r24, Z+2 ; 0x02 + 1f0: 88 23 and r24, r24 + 1f2: ec f7 brge .-6 ; 0x1ee + + // Return received data + + return SPIC.DATA; + 1f4: e0 ec ldi r30, 0xC0 ; 192 + 1f6: f8 e0 ldi r31, 0x08 ; 8 + 1f8: 83 81 ldd r24, Z+3 ; 0x03 + 1fa: 08 95 ret + +000001fc : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 1fc: 88 e2 ldi r24, 0x28 ; 40 + 1fe: e0 ec ldi r30, 0xC0 ; 192 + 200: f8 e0 ldi r31, 0x08 ; 8 + 202: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 204: 82 81 ldd r24, Z+2 ; 0x02 + 206: 88 23 and r24, r24 + 208: ec f7 brge .-6 ; 0x204 + + // Return received data + + return SPIC.DATA; + 20a: e0 ec ldi r30, 0xC0 ; 192 + 20c: f8 e0 ldi r31, 0x08 ; 8 + 20e: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 210: 13 82 std Z+3, r1 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 212: 82 81 ldd r24, Z+2 ; 0x02 + 214: 88 23 and r24, r24 + 216: ec f7 brge .-6 ; 0x212 + + // Return received data + + return SPIC.DATA; + 218: e0 ec ldi r30, 0xC0 ; 192 + 21a: f8 e0 ldi r31, 0x08 ; 8 + 21c: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 21e: 83 e0 ldi r24, 0x03 ; 3 + 220: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 222: 82 81 ldd r24, Z+2 ; 0x02 + 224: 88 23 and r24, r24 + 226: ec f7 brge .-6 ; 0x222 + + // Return received data + + return SPIC.DATA; + 228: e0 ec ldi r30, 0xC0 ; 192 + 22a: f8 e0 ldi r31, 0x08 ; 8 + 22c: 83 81 ldd r24, Z+3 ; 0x03 + 22e: 08 95 ret + +00000230 : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 230: 82 e0 ldi r24, 0x02 ; 2 + 232: e0 ec ldi r30, 0xC0 ; 192 + 234: f8 e0 ldi r31, 0x08 ; 8 + 236: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 238: 82 81 ldd r24, Z+2 ; 0x02 + 23a: 88 23 and r24, r24 + 23c: ec f7 brge .-6 ; 0x238 + + // Return received data + + return SPIC.DATA; + 23e: e0 ec ldi r30, 0xC0 ; 192 + 240: f8 e0 ldi r31, 0x08 ; 8 + 242: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 244: 13 82 std Z+3, r1 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 246: 82 81 ldd r24, Z+2 ; 0x02 + 248: 88 23 and r24, r24 + 24a: ec f7 brge .-6 ; 0x246 + + // Return received data + + return SPIC.DATA; + 24c: e0 ec ldi r30, 0xC0 ; 192 + 24e: f8 e0 ldi r31, 0x08 ; 8 + 250: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 252: 13 82 std Z+3, r1 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 254: 82 81 ldd r24, Z+2 ; 0x02 + 256: 88 23 and r24, r24 + 258: ec f7 brge .-6 ; 0x254 + + // Return received data + + return SPIC.DATA; + 25a: e0 ec ldi r30, 0xC0 ; 192 + 25c: f8 e0 ldi r31, 0x08 ; 8 + 25e: 83 81 ldd r24, Z+3 ; 0x03 + 260: 08 95 ret + +00000262 : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 262: 80 e1 ldi r24, 0x10 ; 16 + 264: e0 ec ldi r30, 0xC0 ; 192 + 266: f8 e0 ldi r31, 0x08 ; 8 + 268: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 26a: 82 81 ldd r24, Z+2 ; 0x02 + 26c: 88 23 and r24, r24 + 26e: ec f7 brge .-6 ; 0x26a + + // Return received data + + return SPIC.DATA; + 270: e0 ec ldi r30, 0xC0 ; 192 + 272: f8 e0 ldi r31, 0x08 ; 8 + 274: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 276: 80 e8 ldi r24, 0x80 ; 128 + 278: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 27a: 82 81 ldd r24, Z+2 ; 0x02 + 27c: 88 23 and r24, r24 + 27e: ec f7 brge .-6 ; 0x27a + + // Return received data + + return SPIC.DATA; + 280: e0 ec ldi r30, 0xC0 ; 192 + 282: f8 e0 ldi r31, 0x08 ; 8 + 284: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 286: 80 e2 ldi r24, 0x20 ; 32 + 288: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 28a: 82 81 ldd r24, Z+2 ; 0x02 + 28c: 88 23 and r24, r24 + 28e: ec f7 brge .-6 ; 0x28a + + // Return received data + + return SPIC.DATA; + 290: e0 ec ldi r30, 0xC0 ; 192 + 292: f8 e0 ldi r31, 0x08 ; 8 + 294: 83 81 ldd r24, Z+3 ; 0x03 + 296: 08 95 ret + +00000298 : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 298: 81 e1 ldi r24, 0x11 ; 17 + 29a: e0 ec ldi r30, 0xC0 ; 192 + 29c: f8 e0 ldi r31, 0x08 ; 8 + 29e: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 2a0: 82 81 ldd r24, Z+2 ; 0x02 + 2a2: 88 23 and r24, r24 + 2a4: ec f7 brge .-6 ; 0x2a0 + + // Return received data + + return SPIC.DATA; + 2a6: e0 ec ldi r30, 0xC0 ; 192 + 2a8: f8 e0 ldi r31, 0x08 ; 8 + 2aa: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 2ac: 13 82 std Z+3, r1 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 2ae: 82 81 ldd r24, Z+2 ; 0x02 + 2b0: 88 23 and r24, r24 + 2b2: ec f7 brge .-6 ; 0x2ae + + // Return received data + + return SPIC.DATA; + 2b4: e0 ec ldi r30, 0xC0 ; 192 + 2b6: f8 e0 ldi r31, 0x08 ; 8 + 2b8: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 2ba: 81 e0 ldi r24, 0x01 ; 1 + 2bc: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 2be: 82 81 ldd r24, Z+2 ; 0x02 + 2c0: 88 23 and r24, r24 + 2c2: ec f7 brge .-6 ; 0x2be + + // Return received data + + return SPIC.DATA; + 2c4: e0 ec ldi r30, 0xC0 ; 192 + 2c6: f8 e0 ldi r31, 0x08 ; 8 + 2c8: 83 81 ldd r24, Z+3 ; 0x03 + 2ca: 08 95 ret + +000002cc : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 2cc: 82 e1 ldi r24, 0x12 ; 18 + 2ce: e0 ec ldi r30, 0xC0 ; 192 + 2d0: f8 e0 ldi r31, 0x08 ; 8 + 2d2: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 2d4: 82 81 ldd r24, Z+2 ; 0x02 + 2d6: 88 23 and r24, r24 + 2d8: ec f7 brge .-6 ; 0x2d4 + + // Return received data + + return SPIC.DATA; + 2da: e0 ec ldi r30, 0xC0 ; 192 + 2dc: f8 e0 ldi r31, 0x08 ; 8 + 2de: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 2e0: 13 82 std Z+3, r1 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 2e2: 82 81 ldd r24, Z+2 ; 0x02 + 2e4: 88 23 and r24, r24 + 2e6: ec f7 brge .-6 ; 0x2e2 + + // Return received data + + return SPIC.DATA; + 2e8: e0 ec ldi r30, 0xC0 ; 192 + 2ea: f8 e0 ldi r31, 0x08 ; 8 + 2ec: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 2ee: 81 e0 ldi r24, 0x01 ; 1 + 2f0: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 2f2: 82 81 ldd r24, Z+2 ; 0x02 + 2f4: 88 23 and r24, r24 + 2f6: ec f7 brge .-6 ; 0x2f2 + + // Return received data + + return SPIC.DATA; + 2f8: e0 ec ldi r30, 0xC0 ; 192 + 2fa: f8 e0 ldi r31, 0x08 ; 8 + 2fc: 83 81 ldd r24, Z+3 ; 0x03 + 2fe: 08 95 ret + +00000300 : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 300: 83 e1 ldi r24, 0x13 ; 19 + 302: e0 ec ldi r30, 0xC0 ; 192 + 304: f8 e0 ldi r31, 0x08 ; 8 + 306: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 308: 82 81 ldd r24, Z+2 ; 0x02 + 30a: 88 23 and r24, r24 + 30c: ec f7 brge .-6 ; 0x308 + + // Return received data + + return SPIC.DATA; + 30e: e0 ec ldi r30, 0xC0 ; 192 + 310: f8 e0 ldi r31, 0x08 ; 8 + 312: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 314: 13 82 std Z+3, r1 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 316: 82 81 ldd r24, Z+2 ; 0x02 + 318: 88 23 and r24, r24 + 31a: ec f7 brge .-6 ; 0x316 + + // Return received data + + return SPIC.DATA; + 31c: e0 ec ldi r30, 0xC0 ; 192 + 31e: f8 e0 ldi r31, 0x08 ; 8 + 320: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 322: 81 e0 ldi r24, 0x01 ; 1 + 324: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 326: 82 81 ldd r24, Z+2 ; 0x02 + 328: 88 23 and r24, r24 + 32a: ec f7 brge .-6 ; 0x326 + + // Return received data + + return SPIC.DATA; + 32c: e0 ec ldi r30, 0xC0 ; 192 + 32e: f8 e0 ldi r31, 0x08 ; 8 + 330: 83 81 ldd r24, Z+3 ; 0x03 + 332: 08 95 ret + +00000334 : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 334: 80 e2 ldi r24, 0x20 ; 32 + 336: e0 ec ldi r30, 0xC0 ; 192 + 338: f8 e0 ldi r31, 0x08 ; 8 + 33a: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 33c: 82 81 ldd r24, Z+2 ; 0x02 + 33e: 88 23 and r24, r24 + 340: ec f7 brge .-6 ; 0x33c + + // Return received data + + return SPIC.DATA; + 342: e0 ec ldi r30, 0xC0 ; 192 + 344: f8 e0 ldi r31, 0x08 ; 8 + 346: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 348: 80 e1 ldi r24, 0x10 ; 16 + 34a: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 34c: 82 81 ldd r24, Z+2 ; 0x02 + 34e: 88 23 and r24, r24 + 350: ec f7 brge .-6 ; 0x34c + + // Return received data + + return SPIC.DATA; + 352: e0 ec ldi r30, 0xC0 ; 192 + 354: f8 e0 ldi r31, 0x08 ; 8 + 356: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 358: 80 e2 ldi r24, 0x20 ; 32 + 35a: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 35c: 82 81 ldd r24, Z+2 ; 0x02 + 35e: 88 23 and r24, r24 + 360: ec f7 brge .-6 ; 0x35c + + // Return received data + + return SPIC.DATA; + 362: e0 ec ldi r30, 0xC0 ; 192 + 364: f8 e0 ldi r31, 0x08 ; 8 + 366: 83 81 ldd r24, Z+3 ; 0x03 + 368: 08 95 ret + +0000036a : + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 36a: 8f ef ldi r24, 0xFF ; 255 + 36c: e0 ec ldi r30, 0xC0 ; 192 + 36e: f8 e0 ldi r31, 0x08 ; 8 + 370: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 372: 82 81 ldd r24, Z+2 ; 0x02 + 374: 88 23 and r24, r24 + 376: ec f7 brge .-6 ; 0x372 + + // Return received data + + return SPIC.DATA; + 378: e0 ec ldi r30, 0xC0 ; 192 + 37a: f8 e0 ldi r31, 0x08 ; 8 + 37c: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 37e: 8f ef ldi r24, 0xFF ; 255 + 380: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 382: 82 81 ldd r24, Z+2 ; 0x02 + 384: 88 23 and r24, r24 + 386: ec f7 brge .-6 ; 0x382 + + // Return received data + + return SPIC.DATA; + 388: e0 ec ldi r30, 0xC0 ; 192 + 38a: f8 e0 ldi r31, 0x08 ; 8 + 38c: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 38e: 8f ef ldi r24, 0xFF ; 255 + 390: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 392: 82 81 ldd r24, Z+2 ; 0x02 + 394: 88 23 and r24, r24 + 396: ec f7 brge .-6 ; 0x392 + + // Return received data + + return SPIC.DATA; + 398: e0 ec ldi r30, 0xC0 ; 192 + 39a: f8 e0 ldi r31, 0x08 ; 8 + 39c: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 39e: 8f ef ldi r24, 0xFF ; 255 + 3a0: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 3a2: 82 81 ldd r24, Z+2 ; 0x02 + 3a4: 88 23 and r24, r24 + 3a6: ec f7 brge .-6 ; 0x3a2 + + // Return received data + + return SPIC.DATA; + 3a8: e0 ec ldi r30, 0xC0 ; 192 + 3aa: f8 e0 ldi r31, 0x08 ; 8 + 3ac: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 3ae: 8f ef ldi r24, 0xFF ; 255 + 3b0: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 3b2: 82 81 ldd r24, Z+2 ; 0x02 + 3b4: 88 23 and r24, r24 + 3b6: ec f7 brge .-6 ; 0x3b2 + + // Return received data + + return SPIC.DATA; + 3b8: e0 ec ldi r30, 0xC0 ; 192 + 3ba: f8 e0 ldi r31, 0x08 ; 8 + 3bc: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 3be: 8f ef ldi r24, 0xFF ; 255 + 3c0: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 3c2: 82 81 ldd r24, Z+2 ; 0x02 + 3c4: 88 23 and r24, r24 + 3c6: ec f7 brge .-6 ; 0x3c2 + + // Return received data + + return SPIC.DATA; + 3c8: e0 ec ldi r30, 0xC0 ; 192 + 3ca: f8 e0 ldi r31, 0x08 ; 8 + 3cc: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 3ce: 8f ef ldi r24, 0xFF ; 255 + 3d0: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 3d2: 82 81 ldd r24, Z+2 ; 0x02 + 3d4: 88 23 and r24, r24 + 3d6: ec f7 brge .-6 ; 0x3d2 + + // Return received data + + return SPIC.DATA; + 3d8: e0 ec ldi r30, 0xC0 ; 192 + 3da: f8 e0 ldi r31, 0x08 ; 8 + 3dc: 83 81 ldd r24, Z+3 ; 0x03 + * \param El dato a transmitir + * \return El dato leido del ADC + */ +inline uint8_t adcport_tranceiv(uint8_t data){ + // + SPIC.DATA = data; + 3de: 8f ef ldi r24, 0xFF ; 255 + 3e0: 83 83 std Z+3, r24 ; 0x03 + + //Wait until transmission complete + while( !(SPIC.STATUS & SPI_IF_bm)); + 3e2: 82 81 ldd r24, Z+2 ; 0x02 + 3e4: 88 23 and r24, r24 + 3e6: ec f7 brge .-6 ; 0x3e2 + + // Return received data + + return SPIC.DATA; + 3e8: e0 ec ldi r30, 0xC0 ; 192 + 3ea: f8 e0 ldi r31, 0x08 ; 8 + 3ec: 83 81 ldd r24, Z+3 ; 0x03 + 3ee: 08 95 ret + +000003f0 : + dato[i] = adcport_tranceiv(0); //Escribo el bit más significativo en el byte de orden 0 y el menos significativo en el orden (ADC_DATASZ-1) + //Si se desease almacenar el dato de byte menos significativo a más signficativo: dato[j-i-1] = adcport_tranceiv(0); +} + +void config_adc(void) +{ + 3f0: 1f 93 push r17 + 3f2: cf 93 push r28 + 3f4: df 93 push r29 + PORTSPI.OUTCLR = SPI_SS_bm; + 3f6: c0 e4 ldi r28, 0x40 ; 64 + 3f8: d6 e0 ldi r29, 0x06 ; 6 + 3fa: 10 e1 ldi r17, 0x10 ; 16 + 3fc: 1e 83 std Y+6, r17 ; 0x06 + adcport_write_reset(); + 3fe: 0e 94 b5 01 call 0x36a ; 0x36a + PORTSPI.OUTSET = SPI_SS_bm; + 402: 1d 83 std Y+5, r17 ; 0x05 + #else + //round up by default + __ticks_dc = (uint32_t)(ceil(fabs(__tmp))); + #endif + + __builtin_avr_delay_cycles(__ticks_dc); + 404: 8f e5 ldi r24, 0x5F ; 95 + 406: 99 e0 ldi r25, 0x09 ; 9 + 408: 01 97 sbiw r24, 0x01 ; 1 + 40a: f1 f7 brne .-4 ; 0x408 + 40c: 00 c0 rjmp .+0 ; 0x40e + 40e: 00 00 nop + + _delay_us(300); + + PORTSPI.OUTCLR = SPI_SS_bm; + 410: 1e 83 std Y+6, r17 ; 0x06 + adcport_write_adcmode(); + 412: 0e 94 e4 00 call 0x1c8 ; 0x1c8 + //PORTSPI.OUTSET = SPI_SS_bm; + + //PORTSPI.OUTCLR = SPI_SS_bm; + adcport_write_interfmode(); + 416: 0e 94 18 01 call 0x230 ; 0x230 + //PORTSPI.OUTSET = SPI_SS_bm; + + //PORTSPI.OUTCLR = SPI_SS_bm; + adcport_write_filtcon0(); + 41a: 0e 94 fe 00 call 0x1fc ; 0x1fc + //PORTSPI.OUTSET = SPI_SS_bm; + + //PORTSPI.OUTCLR = SPI_SS_bm; + adcport_write_setupcon0(); + 41e: 0e 94 9a 01 call 0x334 ; 0x334 + //PORTSPI.OUTSET = SPI_SS_bm; + + //PORTSPI.OUTCLR = SPI_SS_bm; + adcport_write_chmapreg0(); + 422: 0e 94 31 01 call 0x262 ; 0x262 + //PORTSPI.OUTSET = SPI_SS_bm; + + //PORTSPI.OUTCLR = SPI_SS_bm; + adcport_write_chmapreg1(); + 426: 0e 94 4c 01 call 0x298 ; 0x298 + //PORTSPI.OUTSET = SPI_SS_bm; + + //PORTSPI.OUTCLR = SPI_SS_bm; + adcport_write_chmapreg2(); + 42a: 0e 94 66 01 call 0x2cc ; 0x2cc + //PORTSPI.OUTSET = SPI_SS_bm; + + //PORTSPI.OUTCLR = SPI_SS_bm; + adcport_write_chmapreg3(); + 42e: 0e 94 80 01 call 0x300 ; 0x300 + PORTSPI.OUTSET = SPI_SS_bm; + 432: 1d 83 std Y+5, r17 ; 0x05 + +} + 434: df 91 pop r29 + 436: cf 91 pop r28 + 438: 1f 91 pop r17 + 43a: 08 95 ret + +0000043c
: + +int aux = 0; +int main(void) +{ + //uint8_t datos_adc[3]; + config_puertos(); + 43c: 0e 94 cf 03 call 0x79e ; 0x79e + config_sysclock(); + 440: 0e 94 49 04 call 0x892 ; 0x892 + config_spiparm(); + 444: 0e 94 e4 02 call 0x5c8 ; 0x5c8 + config_fpgaport(); + 448: 0e 94 e8 02 call 0x5d0 ; 0x5d0 + config_adc(); + 44c: 0e 94 f8 01 call 0x3f0 ; 0x3f0 + //datos_adc[0] = 0x00; + //datos_adc[1] = 0x00; + //datos_adc[2] = 0x00; + //PORTD.OUTSET = PIN5_bm; + + PMIC.CTRL = hab_prioridad_alta; + 450: 84 e0 ldi r24, 0x04 ; 4 + 452: e0 ea ldi r30, 0xA0 ; 160 + 454: f0 e0 ldi r31, 0x00 ; 0 + 456: 82 83 std Z+2, r24 ; 0x02 + habilitar_interrupciones_globales(); + 458: 0e 94 1b 03 call 0x636 ; 0x636 + 45c: ff cf rjmp .-2 ; 0x45c + +0000045e <__vector_64>: + return 0; +} + + +ISR(INT_LOCK_FPGA) +{ + 45e: 1f 92 push r1 + 460: 0f 92 push r0 + 462: 0f b6 in r0, 0x3f ; 63 + 464: 0f 92 push r0 + 466: 11 24 eor r1, r1 + 468: 8f 93 push r24 + 46a: 9f 93 push r25 + 46c: ef 93 push r30 + 46e: ff 93 push r31 + if((PORT_FPGA.IN & LOCK_FPGA) == LOCK_FPGA) + 470: e0 e6 ldi r30, 0x60 ; 96 + 472: f6 e0 ldi r31, 0x06 ; 6 + 474: 80 85 ldd r24, Z+8 ; 0x08 + 476: 81 ff sbrs r24, 1 + 478: 11 c0 rjmp .+34 ; 0x49c <__vector_64+0x3e> + { + PORTSPI.SPI_INTFLAGS =0b00000001; + 47a: 81 e0 ldi r24, 0x01 ; 1 + 47c: e0 e4 ldi r30, 0x40 ; 64 + 47e: f6 e0 ldi r31, 0x06 ; 6 + 480: 84 87 std Z+12, r24 ; 0x0c + PORT_PPS.PPS_FPGA_INTFLAGS =0b00000010; + 482: 92 e0 ldi r25, 0x02 ; 2 + 484: e0 e2 ldi r30, 0x20 ; 32 + 486: f6 e0 ldi r31, 0x06 ; 6 + 488: 94 87 std Z+12, r25 ; 0x0c + PMIC.CTRL |= hab_prioridad_media; + 48a: e0 ea ldi r30, 0xA0 ; 160 + 48c: f0 e0 ldi r31, 0x00 ; 0 + 48e: 92 81 ldd r25, Z+2 ; 0x02 + 490: 92 60 ori r25, 0x02 ; 2 + 492: 92 83 std Z+2, r25 ; 0x02 + PORT_LOCKOUT.OUTSET = LOCK_OUT; + 494: e0 e0 ldi r30, 0x00 ; 0 + 496: f6 e0 ldi r31, 0x06 ; 6 + 498: 85 83 std Z+5, r24 ; 0x05 + 49a: 0c c0 rjmp .+24 ; 0x4b4 <__vector_64+0x56> + //PORTD.OUTSET = PIN4_bm; + } + else + { + PMIC.CTRL &= ~hab_prioridad_media; + 49c: e0 ea ldi r30, 0xA0 ; 160 + 49e: f0 e0 ldi r31, 0x00 ; 0 + 4a0: 82 81 ldd r24, Z+2 ; 0x02 + 4a2: 8d 7f andi r24, 0xFD ; 253 + 4a4: 82 83 std Z+2, r24 ; 0x02 + PMIC.CTRL &= ~hab_prioridad_baja; + 4a6: 82 81 ldd r24, Z+2 ; 0x02 + 4a8: 8e 7f andi r24, 0xFE ; 254 + 4aa: 82 83 std Z+2, r24 ; 0x02 + PORT_LOCKOUT.OUTCLR = LOCK_OUT; + 4ac: 81 e0 ldi r24, 0x01 ; 1 + 4ae: e0 e0 ldi r30, 0x00 ; 0 + 4b0: f6 e0 ldi r31, 0x06 ; 6 + 4b2: 86 83 std Z+6, r24 ; 0x06 + //PORTSPI.OUTSET = SPI_SS_bm; + //PORTD.OUTCLR = PIN4_bm; + } +} + 4b4: ff 91 pop r31 + 4b6: ef 91 pop r30 + 4b8: 9f 91 pop r25 + 4ba: 8f 91 pop r24 + 4bc: 0f 90 pop r0 + 4be: 0f be out 0x3f, r0 ; 63 + 4c0: 0f 90 pop r0 + 4c2: 1f 90 pop r1 + 4c4: 18 95 reti + +000004c6 <__vector_35>: +//Habilito interrupciones de prioridad baja +//Selecciono el chip del ADC para iniciar comunicación. +//Al iniciar esta comunicación se indicará al ADC que use el RDYbar +//Esta será nuestra interrupción para lectura del ADC y envío de dato a la FPGA +ISR(INT_PPS) +{ + 4c6: 1f 92 push r1 + 4c8: 0f 92 push r0 + 4ca: 0f b6 in r0, 0x3f ; 63 + 4cc: 0f 92 push r0 + 4ce: 11 24 eor r1, r1 + 4d0: 8f 93 push r24 + 4d2: ef 93 push r30 + 4d4: ff 93 push r31 + PMIC.CTRL &= ~hab_prioridad_baja; + 4d6: e0 ea ldi r30, 0xA0 ; 160 + 4d8: f0 e0 ldi r31, 0x00 ; 0 + 4da: 82 81 ldd r24, Z+2 ; 0x02 + 4dc: 8e 7f andi r24, 0xFE ; 254 + 4de: 82 83 std Z+2, r24 ; 0x02 + PORTSPI.SPI_INTFLAGS =0b00000001; + 4e0: e0 e4 ldi r30, 0x40 ; 64 + 4e2: f6 e0 ldi r31, 0x06 ; 6 + 4e4: 81 e0 ldi r24, 0x01 ; 1 + 4e6: 84 87 std Z+12, r24 ; 0x0c + PORTSPI.SPI_INTFLAGS =0b00000001; + 4e8: 84 87 std Z+12, r24 ; 0x0c + ... + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + aux = 0; + 4f2: 10 92 00 20 sts 0x2000, r1 + 4f6: 10 92 01 20 sts 0x2001, r1 + //if(aux==0) + PMIC.CTRL |= hab_prioridad_baja; + 4fa: e0 ea ldi r30, 0xA0 ; 160 + 4fc: f0 e0 ldi r31, 0x00 ; 0 + 4fe: 82 81 ldd r24, Z+2 ; 0x02 + 500: 81 60 ori r24, 0x01 ; 1 + 502: 82 83 std Z+2, r24 ; 0x02 + PORTSPI.SPI_INTFLAGS =0b00000001; + 504: e0 e4 ldi r30, 0x40 ; 64 + 506: f6 e0 ldi r31, 0x06 ; 6 + 508: 81 e0 ldi r24, 0x01 ; 1 + 50a: 84 87 std Z+12, r24 ; 0x0c + PORTSPI.OUTSET = SPI_SS_bm; + 50c: 80 e1 ldi r24, 0x10 ; 16 + 50e: 85 83 std Z+5, r24 ; 0x05 + asm("nop"); + 510: 00 00 nop + PORT_FPGA.OUTSET = CLK_FPGA; + 512: 84 e0 ldi r24, 0x04 ; 4 + 514: e0 e6 ldi r30, 0x60 ; 96 + 516: f6 e0 ldi r31, 0x06 ; 6 + 518: 85 83 std Z+5, r24 ; 0x05 + ... + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + 532: 00 00 nop + PORTSPI.OUTCLR = SPI_SS_bm; + 534: 80 e1 ldi r24, 0x10 ; 16 + 536: e0 e4 ldi r30, 0x40 ; 64 + 538: f6 e0 ldi r31, 0x06 ; 6 + 53a: 86 83 std Z+6, r24 ; 0x06 + 53c: 8a e6 ldi r24, 0x6A ; 106 + 53e: 8a 95 dec r24 + 540: f1 f7 brne .-4 ; 0x53e <__vector_35+0x78> + 542: 00 c0 rjmp .+0 ; 0x544 <__vector_35+0x7e> + + _delay_us(10); + PORTSPI.SPI_INTFLAGS =0b00000001; + 544: 81 e0 ldi r24, 0x01 ; 1 + 546: 84 87 std Z+12, r24 ; 0x0c + //envio_datos_fpga(); + //PORTSPI.OUTSET = SPI_SS_bm; + +} + 548: ff 91 pop r31 + 54a: ef 91 pop r30 + 54c: 8f 91 pop r24 + 54e: 0f 90 pop r0 + 550: 0f be out 0x3f, r0 ; 63 + 552: 0f 90 pop r0 + 554: 1f 90 pop r1 + 556: 18 95 reti + +00000558 <__vector_2>: + +ISR(INT_RDY) +{ + 558: 1f 92 push r1 + 55a: 0f 92 push r0 + 55c: 0f b6 in r0, 0x3f ; 63 + 55e: 0f 92 push r0 + 560: 11 24 eor r1, r1 + 562: 2f 93 push r18 + 564: 3f 93 push r19 + 566: 4f 93 push r20 + 568: 5f 93 push r21 + 56a: 6f 93 push r22 + 56c: 7f 93 push r23 + 56e: 8f 93 push r24 + 570: 9f 93 push r25 + 572: af 93 push r26 + 574: bf 93 push r27 + 576: ef 93 push r30 + 578: ff 93 push r31 + //PMIC.CTRL &= ~hab_prioridad_media; + //PMIC.CTRL &= ~hab_prioridad_alta; + if(aux < 20000){ + 57a: 80 91 00 20 lds r24, 0x2000 + 57e: 90 91 01 20 lds r25, 0x2001 + 582: 80 32 cpi r24, 0x20 ; 32 + 584: 9e 44 sbci r25, 0x4E ; 78 + 586: 5c f4 brge .+22 ; 0x59e <__vector_2+0x46> + envio_datos_fpga(); + 588: 0e 94 b9 03 call 0x772 ; 0x772 + aux = aux+1; + 58c: 80 91 00 20 lds r24, 0x2000 + 590: 90 91 01 20 lds r25, 0x2001 + 594: 01 96 adiw r24, 0x01 ; 1 + 596: 80 93 00 20 sts 0x2000, r24 + 59a: 90 93 01 20 sts 0x2001, r25 + } + //PORTSPI.OUTCLR = SPI_SS_bm; + //adcport_read_filtcon0(); + //PMIC.CTRL |= hab_prioridad_media; + //PMIC.CTRL |= hab_prioridad_alta; + PORTSPI.SPI_INTFLAGS =0b00000001; + 59e: 81 e0 ldi r24, 0x01 ; 1 + 5a0: e0 e4 ldi r30, 0x40 ; 64 + 5a2: f6 e0 ldi r31, 0x06 ; 6 + 5a4: 84 87 std Z+12, r24 ; 0x0c +} + 5a6: ff 91 pop r31 + 5a8: ef 91 pop r30 + 5aa: bf 91 pop r27 + 5ac: af 91 pop r26 + 5ae: 9f 91 pop r25 + 5b0: 8f 91 pop r24 + 5b2: 7f 91 pop r23 + 5b4: 6f 91 pop r22 + 5b6: 5f 91 pop r21 + 5b8: 4f 91 pop r20 + 5ba: 3f 91 pop r19 + 5bc: 2f 91 pop r18 + 5be: 0f 90 pop r0 + 5c0: 0f be out 0x3f, r0 ; 63 + 5c2: 0f 90 pop r0 + 5c4: 1f 90 pop r1 + 5c6: 18 95 reti + +000005c8 : +inline void config_spiparm(void){ + // Preescaler: clkper/2 = f_cpu/2. + // Master + // Mode 3: CPOL=1,CPHA=1 + // MSB --- LSB + SPIC.CTRL = (SPI_ENABLE_bm | SPI_MASTER_bm | SPI_MODE1_bm | SPI_MODE0_bm | SPI_CLK2X_bm);//SPI_PRESCALER1_bm | SPI_CLK2X_bm); + 5c8: 8c ed ldi r24, 0xDC ; 220 + 5ca: 80 93 c0 08 sts 0x08C0, r24 + 5ce: 08 95 ret + +000005d0 : +#include + +#include "fpga_port.h" + +inline void config_fpgaport() +{ + 5d0: cf 93 push r28 + 5d2: df 93 push r29 + //Configuracion pines del puerto D: PD6-PA1 + //Pines de entrada CLK_FPGA, LOCK_FPGA + //Pines de salida CH_BIT0_FPGA, CH_BIT1_FPGA,CH_BIT2_FPGA, CH_BIT3_FPGA + + PORT_FPGA.LOCK_FPGA_CTRL = PORT_OPC_TOTEM_gc; + 5d4: e0 e6 ldi r30, 0x60 ; 96 + 5d6: f6 e0 ldi r31, 0x06 ; 6 + 5d8: 11 8a std Z+17, r1 ; 0x11 + PORT_FPGA.DIRCLR = LOCK_FPGA; + 5da: 92 e0 ldi r25, 0x02 ; 2 + 5dc: 92 83 std Z+2, r25 ; 0x02 + + PORT_FPGA.CLK_FPGA_CTRL = PORT_OPC_PULLDOWN_gc; + 5de: 20 e1 ldi r18, 0x10 ; 16 + 5e0: 22 8b std Z+18, r18 ; 0x12 + PORT_FPGA.DIRSET = CLK_FPGA; + 5e2: 84 e0 ldi r24, 0x04 ; 4 + 5e4: 81 83 std Z+1, r24 ; 0x01 + PORT_FPGA.OUTCLR = CLK_FPGA; + 5e6: 86 83 std Z+6, r24 ; 0x06 + //PORT_FPGA.OUTCLR = CH_BIT3_FPGA | CH_BIT2_FPGA | CH_BIT1_FPGA | CH_BIT0_FPGA; + + //Configuracion pines del puerto B: PPS + //Pines de entrada PPS_FPGA + //Pines de entrada en pulldown + PORT_PPS.DIRCLR = PPS_FPGA; + 5e8: a0 e2 ldi r26, 0x20 ; 32 + 5ea: b6 e0 ldi r27, 0x06 ; 6 + 5ec: 12 96 adiw r26, 0x02 ; 2 + 5ee: 8c 93 st X, r24 + 5f0: 12 97 sbiw r26, 0x02 ; 2 + PORT_PPS.PPS_FPGA_CTRL = PORT_OPC_PULLDOWN_gc; + 5f2: 52 96 adiw r26, 0x12 ; 18 + 5f4: 2c 93 st X, r18 + 5f6: 52 97 sbiw r26, 0x12 ; 18 + + //Configuracion pines del puerto A: LOCKOUT + //Pines de salida LOCK_OUT + //Pines de salida en baja + PORT_LOCKOUT.DIRSET = LOCK_OUT; + 5f8: c0 e0 ldi r28, 0x00 ; 0 + 5fa: d6 e0 ldi r29, 0x06 ; 6 + 5fc: 21 e0 ldi r18, 0x01 ; 1 + 5fe: 29 83 std Y+1, r18 ; 0x01 + PORT_LOCKOUT.OUTCLR = LOCK_OUT; + 600: 2e 83 std Y+6, r18 ; 0x06 + + + + //Configuracion de interrupciones de LOCK_FPGA + + PORT_FPGA.INTCTRL = ( PORT_FPGA.INTCTRL & ~PORT_INT0LVL_gm ) | PORT_INT0LVL_HI_gc; + 602: 21 85 ldd r18, Z+9 ; 0x09 + 604: 23 60 ori r18, 0x03 ; 3 + 606: 21 87 std Z+9, r18 ; 0x09 + PORT_FPGA.INT0MASK = LOCK_FPGA; + 608: 92 87 std Z+10, r25 ; 0x0a + PORT_FPGA.LOCK_FPGA_CTRL = ( PORT_FPGA.LOCK_FPGA_CTRL & ~PORT_ISC_gm ) | PORT_ISC_BOTHEDGES_gc; + 60a: 91 89 ldd r25, Z+17 ; 0x11 + 60c: 98 7f andi r25, 0xF8 ; 248 + 60e: 91 8b std Z+17, r25 ; 0x11 + //PORT_FPGA.INTCTRL = ( PORT_FPGA.INTCTRL & ~PORT_INT1LVL_gm ) | PORT_INT1LVL_MED_gc; + //PORT_FPGA.INT1MASK = CLK_FPGA; + //PORT_FPGA.CLK_FPGA_CTRL = ( PORT_FPGA.CLK_FPGA_CTRL & ~PORT_ISC_gm ) | PORT_ISC_FALLING_gc; + + //Configuracion de interrupciones de PPS + PORT_PPS.INTCTRL = ( PORT_FPGA.INTCTRL & ~PORT_INT1LVL_gm ) | PORT_INT1LVL_MED_gc; + 610: 91 85 ldd r25, Z+9 ; 0x09 + 612: 93 7f andi r25, 0xF3 ; 243 + 614: 98 60 ori r25, 0x08 ; 8 + 616: 19 96 adiw r26, 0x09 ; 9 + 618: 9c 93 st X, r25 + 61a: 19 97 sbiw r26, 0x09 ; 9 + PORT_PPS.INT1MASK = PPS_FPGA; + 61c: 1b 96 adiw r26, 0x0b ; 11 + 61e: 8c 93 st X, r24 + 620: 1b 97 sbiw r26, 0x0b ; 11 + PORT_PPS.PPS_FPGA_CTRL = ( PORT_PPS.PPS_FPGA_CTRL & ~PORT_ISC_gm ) | PORT_ISC_RISING_gc; + 622: 52 96 adiw r26, 0x12 ; 18 + 624: 8c 91 ld r24, X + 626: 52 97 sbiw r26, 0x12 ; 18 + 628: 88 7f andi r24, 0xF8 ; 248 + 62a: 81 60 ori r24, 0x01 ; 1 + 62c: 52 96 adiw r26, 0x12 ; 18 + 62e: 8c 93 st X, r24 +} + 630: df 91 pop r29 + 632: cf 91 pop r28 + 634: 08 95 ret + +00000636 : + PMIC.CTRL |= level_mask; +} + +void habilitar_interrupciones_globales( void ) +{ + sei(); + 636: 78 94 sei + 638: 08 95 ret + +0000063a : + ... + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORT_FPGA.OUTSET = CLK_FPGA; + 662: 84 e0 ldi r24, 0x04 ; 4 + 664: e0 e6 ldi r30, 0x60 ; 96 + 666: f6 e0 ldi r31, 0x06 ; 6 + 668: 85 83 std Z+5, r24 ; 0x05 + ... + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORT_FPGA.OUTCLR = CLK_FPGA; + 692: 86 83 std Z+6, r24 ; 0x06 + //_delay_ms(100); + temp_regin = PORT_FPGA.OUTCLR; + 694: 86 81 ldd r24, Z+6 ; 0x06 + temp_dato = fpga_dato & nibble_alto_bm; + //PORT_FPGA.OUT = (temp_regin & fpga_salidas_bm) | (temp_dato >> fpga_salidas_nibblealto_bp); + PORT_FPGA.OUT = (0b01001000); + 696: 88 e4 ldi r24, 0x48 ; 72 + 698: 84 83 std Z+4, r24 ; 0x04 + ... + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORT_FPGA.OUTSET = CLK_FPGA; + 6c2: 84 e0 ldi r24, 0x04 ; 4 + 6c4: 85 83 std Z+5, r24 ; 0x05 + ... + asm("nop"); + asm("nop"); + asm("nop"); + //_delay_ms(200); + //Nibble inferior 3 a 0 + PORT_FPGA.OUTCLR = CLK_FPGA; + 6ee: 86 83 std Z+6, r24 ; 0x06 + temp_regin = PORT_FPGA.OUTCLR; + 6f0: 86 81 ldd r24, Z+6 ; 0x06 + temp_dato = fpga_dato & nibble_bajo_bm; + //_delay_ms(100); + //PORT_FPGA.OUT = (temp_regin & fpga_salidas_bm) | (temp_dato << fpga_salidas_nibblebajo_bp); + PORT_FPGA.OUT = (0b01100000); + 6f2: 80 e6 ldi r24, 0x60 ; 96 + 6f4: 84 83 std Z+4, r24 ; 0x04 + ... + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORT_FPGA.OUTSET = CLK_FPGA; + 71e: 84 e0 ldi r24, 0x04 ; 4 + 720: 85 83 std Z+5, r24 ; 0x05 + ... + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + 746: 08 95 ret + +00000748 : + //envio_dato_adc(aux_dato); + envio_dato_adc(fpga_dato); +} + +void envio_dato_adc(uint8_t* dato_adc) +{ + 748: 0f 93 push r16 + 74a: 1f 93 push r17 + 74c: cf 93 push r28 + 74e: df 93 push r29 + 750: 8c 01 movw r16, r24 + //dato_adc[1] = 0b11111110; + //dato_adc[0] = 0b11111110; + //dato_adc[2] = 0b11111110; + //dato_adc[1] = 0b11111111; + //dato_adc[0] = 0b11111110; + for(int i=0; i + //dato_adc[1] = 0b11111110; + //dato_adc[0] = 0b11111110; + //dato_adc[2] = 0b11111110; + //dato_adc[1] = 0b11111111; + //dato_adc[0] = 0b11111110; + for(int i=0; i + envio_nibble(dato_adc[i]); + + //envio_nibble(dato_adc[0]); +} + 768: df 91 pop r29 + 76a: cf 91 pop r28 + 76c: 1f 91 pop r17 + 76e: 0f 91 pop r16 + 770: 08 95 ret + +00000772 : + asm("nop"); + //_delay_ms(200); +} + +void envio_datos_fpga(void) +{ + 772: cf 93 push r28 + 774: df 93 push r29 + 776: 00 d0 rcall .+0 ; 0x778 + 778: 1f 92 push r1 + 77a: cd b7 in r28, 0x3d ; 61 + 77c: de b7 in r29, 0x3e ; 62 + uint8_t fpga_dato[ADC_DATASZ] ; + //uint8_t aux_dato[ADC_DATASZ] ; + + adcport_read_data(fpga_dato,ADC_DATASZ); + 77e: 63 e0 ldi r22, 0x03 ; 3 + 780: 70 e0 ldi r23, 0x00 ; 0 + 782: ce 01 movw r24, r28 + 784: 01 96 adiw r24, 0x01 ; 1 + 786: 0e 94 ca 00 call 0x194 ; 0x194 + //aux_dato[1] = fpga_dato[1]; + //aux_dato[2] = fpga_dato[2]; + //adcport_read_data(fpga_dato,ADC_DATASZ); + + //envio_dato_adc(aux_dato); + envio_dato_adc(fpga_dato); + 78a: ce 01 movw r24, r28 + 78c: 01 96 adiw r24, 0x01 ; 1 + 78e: 0e 94 a4 03 call 0x748 ; 0x748 +} + 792: 23 96 adiw r28, 0x03 ; 3 + 794: cd bf out 0x3d, r28 ; 61 + 796: de bf out 0x3e, r29 ; 62 + 798: df 91 pop r29 + 79a: cf 91 pop r28 + 79c: 08 95 ret + +0000079e : +#include "commSPI_ADC.h" + +inline void config_puertos(void){ +//Configuracion pines del puerto A: PA7-PA0 +//Pines de entrada y totem_pullup +PORTA.PIN7CTRL = PORT_OPC_PULLUP_gc; + 79e: e0 e0 ldi r30, 0x00 ; 0 + 7a0: f6 e0 ldi r31, 0x06 ; 6 + 7a2: 88 e1 ldi r24, 0x18 ; 24 + 7a4: 87 8b std Z+23, r24 ; 0x17 +PORTA.PIN6CTRL = PORT_OPC_PULLUP_gc; + 7a6: 86 8b std Z+22, r24 ; 0x16 +PORTA.PIN5CTRL = PORT_OPC_PULLUP_gc; + 7a8: 85 8b std Z+21, r24 ; 0x15 +PORTA.PIN4CTRL = PORT_OPC_PULLUP_gc; + 7aa: 84 8b std Z+20, r24 ; 0x14 +PORTA.PIN3CTRL = PORT_OPC_PULLUP_gc; + 7ac: 83 8b std Z+19, r24 ; 0x13 +PORTA.PIN2CTRL = PORT_OPC_PULLUP_gc; + 7ae: 82 8b std Z+18, r24 ; 0x12 +PORTA.PIN1CTRL = PORT_OPC_PULLUP_gc; + 7b0: 81 8b std Z+17, r24 ; 0x11 +PORTA.DIRCLR = PIN7_bm | PIN6_bm | PIN5_bm | PIN4_bm | PIN3_bm | PIN2_bm | PIN1_bm; + 7b2: 9e ef ldi r25, 0xFE ; 254 + 7b4: 92 83 std Z+2, r25 ; 0x02 +//Pin de salida A0 +//Wired AND. Esto pues podrá irse a alta por defecto y si existe una tensión +//La línea tendrá el valor de tensión externa pero si es entrada con impedancia alta leerá el valor en alta +//Valor por defecto salida: PA0 = low + +PORTA.PIN0CTRL = PORT_OPC_WIREDANDPULL_gc; + 7b6: 98 e3 ldi r25, 0x38 ; 56 + 7b8: 90 8b std Z+16, r25 ; 0x10 +PORTA.OUTCLR = PIN0_bm; + 7ba: 91 e0 ldi r25, 0x01 ; 1 + 7bc: 96 83 std Z+6, r25 ; 0x06 +PORTA.DIRSET = PIN0_bm; + 7be: 91 83 std Z+1, r25 ; 0x01 + +//Configuracion pines del puerto B: PB3-PB0 +//Pines de entrada y totem_pullup +PORTB.PIN3CTRL = PORT_OPC_PULLUP_gc; + 7c0: e0 e2 ldi r30, 0x20 ; 32 + 7c2: f6 e0 ldi r31, 0x06 ; 6 + 7c4: 83 8b std Z+19, r24 ; 0x13 +PORTB.PIN2CTRL = PORT_OPC_PULLUP_gc; + 7c6: 82 8b std Z+18, r24 ; 0x12 +PORTB.PIN1CTRL = PORT_OPC_PULLUP_gc; + 7c8: 81 8b std Z+17, r24 ; 0x11 +PORTB.PIN0CTRL = PORT_OPC_PULLUP_gc; + 7ca: 80 8b std Z+16, r24 ; 0x10 +PORTB.DIRCLR = PIN3_bm | PIN2_bm | PIN1_bm | PIN0_bm; + 7cc: 2f e0 ldi r18, 0x0F ; 15 + 7ce: 22 83 std Z+2, r18 ; 0x02 + +//Configuracion pines del puerto C: PC7-PC0 Con PC7-PC4:SPI +//Pines de entrada y totem_pullup: PC3, PC2, PC1, PC0, SPI_MISO PC6 +//Pines de salida y totem_wiredand-pull: SPI_MOSI, SCK, SS. Valores por defecto de 1's en SCK y SS. Por defecto 0 en MOSI. +PORTSPI.PINSPIMISOCTRL = PORT_OPC_PULLUP_gc; + 7d0: e0 e4 ldi r30, 0x40 ; 64 + 7d2: f6 e0 ldi r31, 0x06 ; 6 + 7d4: 86 8b std Z+22, r24 ; 0x16 +PORTC.PIN3CTRL = PORT_OPC_PULLUP_gc; + 7d6: 83 8b std Z+19, r24 ; 0x13 +PORTC.PIN2CTRL = PORT_OPC_PULLUP_gc; + 7d8: 82 8b std Z+18, r24 ; 0x12 +PORTC.PIN1CTRL = PORT_OPC_PULLUP_gc; + 7da: 81 8b std Z+17, r24 ; 0x11 +PORTC.PIN0CTRL = PORT_OPC_PULLUP_gc; + 7dc: 80 8b std Z+16, r24 ; 0x10 +PORTC.DIRCLR = SPI_MISO_bm | PIN3_bm | PIN2_bm | PIN1_bm | PIN0_bm; //En este paso ya se sabe que el puerto SPI es el C + 7de: 9f e4 ldi r25, 0x4F ; 79 + 7e0: 92 83 std Z+2, r25 ; 0x02 + +//Pines de salida wiredand-pull +//Valor por defecto salida: PC4,PC7 = low +//Valor por defecto salida: PC5 = set + +PORTSPI.PINSPIMOSICTRL = PORT_OPC_TOTEM_gc; + 7e2: 15 8a std Z+21, r1 ; 0x15 +PORTSPI.PINSPISCKCTRL = PORT_OPC_TOTEM_gc; + 7e4: 17 8a std Z+23, r1 ; 0x17 +PORTSPI.PINSPISSCTRL = PORT_OPC_TOTEM_gc; + 7e6: 14 8a std Z+20, r1 ; 0x14 +PORTSPI.DIRSET = SPI_MOSI_bm | SPI_SS_bm |SPI_SCK_bm; + 7e8: 90 eb ldi r25, 0xB0 ; 176 + 7ea: 91 83 std Z+1, r25 ; 0x01 +PORTSPI.OUTSET = SPI_SS_bm |SPI_SCK_bm; + 7ec: 90 e9 ldi r25, 0x90 ; 144 + 7ee: 95 83 std Z+5, r25 ; 0x05 +PORTSPI.OUTCLR = SPI_MOSI_bm; + 7f0: 90 e2 ldi r25, 0x20 ; 32 + 7f2: 96 83 std Z+6, r25 ; 0x06 +//Configuracion pines del puerto D: PD7-PD0 +//Pines de entrada y totem_pullup: PIN7 y PIN0 +//NOTA +//PARA EL FW FINAL REVISAR SI PD2 SERÁ ENTRADA O NO +//NOTA FIN +PORTD.DIRSET = PIN2_bm; + 7f4: a0 e6 ldi r26, 0x60 ; 96 + 7f6: b6 e0 ldi r27, 0x06 ; 6 + 7f8: 94 e0 ldi r25, 0x04 ; 4 + 7fa: 11 96 adiw r26, 0x01 ; 1 + 7fc: 9c 93 st X, r25 + 7fe: 11 97 sbiw r26, 0x01 ; 1 +PORTD.OUTCLR = PIN2_bm; + 800: 16 96 adiw r26, 0x06 ; 6 + 802: 9c 93 st X, r25 + 804: 16 97 sbiw r26, 0x06 ; 6 + + +PORTD.PIN7CTRL = PORT_OPC_PULLUP_gc; + 806: 57 96 adiw r26, 0x17 ; 23 + 808: 8c 93 st X, r24 + 80a: 57 97 sbiw r26, 0x17 ; 23 +PORTD.PIN0CTRL = PORT_OPC_PULLUP_gc; + 80c: 50 96 adiw r26, 0x10 ; 16 + 80e: 8c 93 st X, r24 + 810: 50 97 sbiw r26, 0x10 ; 16 +PORTD.DIRCLR = PIN7_bm | PIN1_bm| PIN0_bm; + 812: 93 e8 ldi r25, 0x83 ; 131 + 814: 12 96 adiw r26, 0x02 ; 2 + 816: 9c 93 st X, r25 + 818: 12 97 sbiw r26, 0x02 ; 2 +//Pines de entrada y totem_pulldown: PIN2 y PIN1. Ambas serán entradas provenientes de la fpga +//PORTD.PIN2CTRL = PORT_OPC_PULLDOWN_gc; + +PORTD.PIN1CTRL = PORT_OPC_PULLDOWN_gc; + 81a: 90 e1 ldi r25, 0x10 ; 16 + 81c: 51 96 adiw r26, 0x11 ; 17 + 81e: 9c 93 st X, r25 + 820: 51 97 sbiw r26, 0x11 ; 17 +//Pines de salida tipo wired-and-pull +//Valor por defecto PD6, PD5, PD4, PD3 = low + +PORTD.PIN6CTRL = PORT_OPC_TOTEM_gc; + 822: 56 96 adiw r26, 0x16 ; 22 + 824: 1c 92 st X, r1 + 826: 56 97 sbiw r26, 0x16 ; 22 +PORTD.PIN5CTRL = PORT_OPC_TOTEM_gc; + 828: 55 96 adiw r26, 0x15 ; 21 + 82a: 1c 92 st X, r1 + 82c: 55 97 sbiw r26, 0x15 ; 21 +PORTD.PIN4CTRL = PORT_OPC_TOTEM_gc; + 82e: 54 96 adiw r26, 0x14 ; 20 + 830: 1c 92 st X, r1 + 832: 54 97 sbiw r26, 0x14 ; 20 +PORTD.PIN3CTRL = PORT_OPC_TOTEM_gc; + 834: 53 96 adiw r26, 0x13 ; 19 + 836: 1c 92 st X, r1 + 838: 53 97 sbiw r26, 0x13 ; 19 +PORTD.DIRSET = PIN6_bm | PIN5_bm | PIN4_bm | PIN3_bm; + 83a: 38 e7 ldi r19, 0x78 ; 120 + 83c: 11 96 adiw r26, 0x01 ; 1 + 83e: 3c 93 st X, r19 + 840: 11 97 sbiw r26, 0x01 ; 1 +PORTD.OUTCLR = PIN6_bm | PIN5_bm | PIN4_bm | PIN3_bm; + 842: 16 96 adiw r26, 0x06 ; 6 + 844: 3c 93 st X, r19 + +//Configuracion pines del puerto E: PE3-PE0 +//Pines de entrada y totem_pullup: PIN3 - PIN0 + +PORTE.PIN3CTRL = PORT_OPC_PULLUP_gc; + 846: a0 e8 ldi r26, 0x80 ; 128 + 848: b6 e0 ldi r27, 0x06 ; 6 + 84a: 53 96 adiw r26, 0x13 ; 19 + 84c: 8c 93 st X, r24 + 84e: 53 97 sbiw r26, 0x13 ; 19 +PORTE.PIN2CTRL = PORT_OPC_PULLUP_gc; + 850: 52 96 adiw r26, 0x12 ; 18 + 852: 8c 93 st X, r24 + 854: 52 97 sbiw r26, 0x12 ; 18 +PORTE.PIN1CTRL = PORT_OPC_PULLUP_gc; + 856: 51 96 adiw r26, 0x11 ; 17 + 858: 8c 93 st X, r24 + 85a: 51 97 sbiw r26, 0x11 ; 17 +PORTE.PIN0CTRL = PORT_OPC_PULLUP_gc; + 85c: 50 96 adiw r26, 0x10 ; 16 + 85e: 8c 93 st X, r24 + 860: 50 97 sbiw r26, 0x10 ; 16 +PORTE.DIRCLR = PIN3_bm | PIN2_bm | PIN1_bm| PIN0_bm; + 862: 12 96 adiw r26, 0x02 ; 2 + 864: 2c 93 st X, r18 + +//Configuracion pines del puerto R: PR1-PR0 +//Pines de entrada y totem_pulldown: PIN0 +PORTR.PIN1CTRL = PORT_OPC_PULLDOWN_gc; + 866: a0 ee ldi r26, 0xE0 ; 224 + 868: b7 e0 ldi r27, 0x07 ; 7 + 86a: 51 96 adiw r26, 0x11 ; 17 + 86c: 9c 93 st X, r25 + 86e: 51 97 sbiw r26, 0x11 ; 17 +PORTR.DIRCLR = PIN1_bm| PIN0_bm; + 870: 93 e0 ldi r25, 0x03 ; 3 + 872: 12 96 adiw r26, 0x02 ; 2 + 874: 9c 93 st X, r25 + 876: 12 97 sbiw r26, 0x02 ; 2 +//Pines de entrada y totem_pulldup:PIN1 +PORTR.PIN0CTRL = PORT_OPC_PULLUP_gc; + 878: 50 96 adiw r26, 0x10 ; 16 + 87a: 8c 93 st X, r24 + +//Configuración como interrupción del pin SPI_MISO_RDY + +PORTSPI.INT0MASK = SPI_MISO_bm; + 87c: 80 e4 ldi r24, 0x40 ; 64 + 87e: 82 87 std Z+10, r24 ; 0x0a +PORTSPI.INTCTRL = ( PORTSPI.INTCTRL & ~PORT_INT0LVL_gm ) | PORT_INT0LVL_LO_gc; + 880: 81 85 ldd r24, Z+9 ; 0x09 + 882: 8c 7f andi r24, 0xFC ; 252 + 884: 81 60 ori r24, 0x01 ; 1 + 886: 81 87 std Z+9, r24 ; 0x09 +PORTSPI.PINSPIMISOCTRL = ( PORTSPI.PINSPIMISOCTRL & ~PORT_ISC_gm ) | PORT_ISC_FALLING_gc; + 888: 86 89 ldd r24, Z+22 ; 0x16 + 88a: 88 7f andi r24, 0xF8 ; 248 + 88c: 82 60 ori r24, 0x02 ; 2 + 88e: 86 8b std Z+22, r24 ; 0x16 + 890: 08 95 ret + +00000892 : +#define F_CPU 32000000UL +#include + +void config_sysclock(void){ + +CLK_PSCTRL = ((0< +while(!(OSC.STATUS & OSC_RC32MRDY_bm)); /* Wait for 32MHz oscillator to stabilize */ + 8a6: e0 e5 ldi r30, 0x50 ; 80 + 8a8: f0 e0 ldi r31, 0x00 ; 0 + 8aa: 81 81 ldd r24, Z+1 ; 0x01 + 8ac: 81 ff sbrs r24, 1 + 8ae: fd cf rjmp .-6 ; 0x8aa +DFLLRC32M.CTRL = DFLL_ENABLE_bm ; /* Enable DFLL - defaults to calibrate against internal 32Khz clock */ + 8b0: 81 e0 ldi r24, 0x01 ; 1 + 8b2: 80 93 60 00 sts 0x0060, r24 +OSC.DFLLCTRL &= 0xFD; //Habilito calibración interna mediante reloj de 32K + 8b6: e0 e5 ldi r30, 0x50 ; 80 + 8b8: f0 e0 ldi r31, 0x00 ; 0 + 8ba: 96 81 ldd r25, Z+6 ; 0x06 + 8bc: 9d 7f andi r25, 0xFD ; 253 + 8be: 96 83 std Z+6, r25 ; 0x06 +CCP = CCP_IOREG_gc; /* Disable register security for clock update */ + 8c0: 98 ed ldi r25, 0xD8 ; 216 + 8c2: 94 bf out 0x34, r25 ; 52 +CLK.CTRL = CLK_SCLKSEL_RC32M_gc; /* Switch to 32MHz clock */ + 8c4: 80 93 40 00 sts 0x0040, r24 +OSC.CTRL &= ~OSC_RC2MEN_bm; + 8c8: 80 81 ld r24, Z + 8ca: 8e 7f andi r24, 0xFE ; 254 + 8cc: 80 83 st Z, r24 + 8ce: 08 95 ret + +000008d0 <_exit>: + 8d0: f8 94 cli + +000008d2 <__stop_program>: + 8d2: ff cf rjmp .-2 ; 0x8d2 <__stop_program> Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.map =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.map b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.map new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.map (revision 224) @@ -0,0 +1,639 @@ +Archive member included because of file (symbol) + +c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o (exit) +c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + main.o (__do_clear_bss) + +Discarded input sections + + .data 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o + .bss 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o + .text 0x00000000 0x0 ADC_7176_2.o + .data 0x00000000 0x0 ADC_7176_2.o + .bss 0x00000000 0x0 ADC_7176_2.o + .text.test_adc + 0x00000000 0x4a ADC_7176_2.o + .text.test_adc_2 + 0x00000000 0x42 ADC_7176_2.o + .text.test_adc_3 + 0x00000000 0x4a ADC_7176_2.o + .text.adcport_tranceiv + 0x00000000 0x14 ADC_7176_2.o + .text.adcport_read_data_contread + 0x00000000 0x24 ADC_7176_2.o + .text.adcport_read_data_synconv + 0x00000000 0x54 ADC_7176_2.o + .text.adcport_write_adcmode_2 + 0x00000000 0x44 ADC_7176_2.o + .text.adcport_read_filtcon0 + 0x00000000 0x3a ADC_7176_2.o + .text 0x00000000 0x0 main.o + .data 0x00000000 0x0 main.o + .bss 0x00000000 0x0 main.o + .text 0x00000000 0x0 commSPI_ADC.o + .data 0x00000000 0x0 commSPI_ADC.o + .bss 0x00000000 0x0 commSPI_ADC.o + .text 0x00000000 0x0 fpga_port.o + .data 0x00000000 0x0 fpga_port.o + .bss 0x00000000 0x0 fpga_port.o + .text.habilitar_interrupciones + 0x00000000 0xc fpga_port.o + .text.deshabilitar_interrupciones_globales + 0x00000000 0x4 fpga_port.o + .text 0x00000000 0x0 Ports.o + .data 0x00000000 0x0 Ports.o + .bss 0x00000000 0x0 Ports.o + .text 0x00000000 0x0 sys_clock.o + .data 0x00000000 0x0 sys_clock.o + .bss 0x00000000 0x0 sys_clock.o + .text 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .text.libgcc.mul + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .text.libgcc.div + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .text.libgcc 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .text.libgcc.prologue + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .text.libgcc.builtins + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .text.libgcc.fmul + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .text.libgcc.fixed + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + .text 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .data 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .bss 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .text.libgcc.mul + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .text.libgcc.div + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .text.libgcc 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .text.libgcc.prologue + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .text.libgcc.builtins + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .text.libgcc.fmul + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + .text.libgcc.fixed + 0x00000000 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + +Memory Configuration + +Name Origin Length Attributes +text 0x00000000 0x00100000 xr +data 0x00802000 0x0000ffa0 rw !x +eeprom 0x00810000 0x00010000 rw !x +fuse 0x00820000 0x00000400 rw !x +lock 0x00830000 0x00000400 rw !x +signature 0x00840000 0x00000400 rw !x +user_signatures 0x00850000 0x00000400 rw !x +*default* 0x00000000 0xffffffff + +Linker script and memory map + +LOAD c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o +LOAD ADC_7176_2.o +LOAD main.o +LOAD commSPI_ADC.o +LOAD fpga_port.o +LOAD Ports.o +LOAD sys_clock.o +START GROUP +LOAD c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2\libm.a +END GROUP +START GROUP +LOAD c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a +LOAD c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2\libm.a +LOAD c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2\libc.a +END GROUP + +.hash + *(.hash) + +.dynsym + *(.dynsym) + +.dynstr + *(.dynstr) + +.gnu.version + *(.gnu.version) + +.gnu.version_d + *(.gnu.version_d) + +.gnu.version_r + *(.gnu.version_r) + +.rel.init + *(.rel.init) + +.rela.init + *(.rela.init) + +.rel.text + *(.rel.text) + *(.rel.text.*) + *(.rel.gnu.linkonce.t*) + +.rela.text + *(.rela.text) + *(.rela.text.*) + *(.rela.gnu.linkonce.t*) + +.rel.fini + *(.rel.fini) + +.rela.fini + *(.rela.fini) + +.rel.rodata + *(.rel.rodata) + *(.rel.rodata.*) + *(.rel.gnu.linkonce.r*) + +.rela.rodata + *(.rela.rodata) + *(.rela.rodata.*) + *(.rela.gnu.linkonce.r*) + +.rel.data + *(.rel.data) + *(.rel.data.*) + *(.rel.gnu.linkonce.d*) + +.rela.data + *(.rela.data) + *(.rela.data.*) + *(.rela.gnu.linkonce.d*) + +.rel.ctors + *(.rel.ctors) + +.rela.ctors + *(.rela.ctors) + +.rel.dtors + *(.rel.dtors) + +.rela.dtors + *(.rela.dtors) + +.rel.got + *(.rel.got) + +.rela.got + *(.rela.got) + +.rel.bss + *(.rel.bss) + +.rela.bss + *(.rela.bss) + +.rel.plt + *(.rel.plt) + +.rela.plt + *(.rela.plt) + +.text 0x00000000 0x8d4 + *(.vectors) + .vectors 0x00000000 0x16c c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o + 0x00000000 __vector_default + 0x00000000 __vectors + *(.vectors) + *(.progmem.gcc*) + 0x0000016c . = ALIGN (0x2) + 0x0000016c __trampolines_start = . + *(.trampolines) + .trampolines 0x0000016c 0x0 linker stubs + *(.trampolines*) + 0x0000016c __trampolines_end = . + *(.progmem*) + 0x0000016c . = ALIGN (0x2) + *(.jumptables) + *(.jumptables*) + *(.lowtext) + *(.lowtext*) + 0x0000016c __ctors_start = . + *(.ctors) + 0x0000016c __ctors_end = . + 0x0000016c __dtors_start = . + *(.dtors) + 0x0000016c __dtors_end = . + SORT(*)(.ctors) + SORT(*)(.dtors) + *(.init0) + .init0 0x0000016c 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o + 0x0000016c __init + *(.init0) + *(.init1) + *(.init1) + *(.init2) + .init2 0x0000016c 0xc c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o + *(.init2) + *(.init3) + *(.init3) + *(.init4) + .init4 0x00000178 0x10 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_clear_bss.o) + 0x00000178 __do_clear_bss + *(.init4) + *(.init5) + *(.init5) + *(.init6) + *(.init6) + *(.init7) + *(.init7) + *(.init8) + *(.init8) + *(.init9) + .init9 0x00000188 0x8 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o + *(.init9) + *(.text) + .text 0x00000190 0x4 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/../../../../avr/lib/avrxmega2/crtx32d4.o + 0x00000190 __vector_38 + 0x00000190 __vector_22 + 0x00000190 __vector_63 + 0x00000190 __vector_28 + 0x00000190 __vector_67 + 0x00000190 __vector_1 + 0x00000190 __vector_32 + 0x00000190 __vector_75 + 0x00000190 __vector_71 + 0x00000190 __vector_34 + 0x00000190 __vector_62 + 0x00000190 __vector_77 + 0x00000190 __vector_24 + 0x00000190 __vector_12 + 0x00000190 __vector_55 + 0x00000190 __vector_69 + 0x00000190 __vector_81 + 0x00000190 __vector_90 + 0x00000190 __vector_46 + 0x00000190 __bad_interrupt + 0x00000190 __vector_72 + 0x00000190 __vector_6 + 0x00000190 __vector_31 + 0x00000190 __vector_78 + 0x00000190 __vector_74 + 0x00000190 __vector_39 + 0x00000190 __vector_3 + 0x00000190 __vector_23 + 0x00000190 __vector_68 + 0x00000190 __vector_30 + 0x00000190 __vector_73 + 0x00000190 __vector_45 + 0x00000190 __vector_25 + 0x00000190 __vector_61 + 0x00000190 __vector_11 + 0x00000190 __vector_54 + 0x00000190 __vector_13 + 0x00000190 __vector_17 + 0x00000190 __vector_19 + 0x00000190 __vector_56 + 0x00000190 __vector_7 + 0x00000190 __vector_49 + 0x00000190 __vector_41 + 0x00000190 __vector_86 + 0x00000190 __vector_88 + 0x00000190 __vector_43 + 0x00000190 __vector_27 + 0x00000190 __vector_5 + 0x00000190 __vector_33 + 0x00000190 __vector_76 + 0x00000190 __vector_47 + 0x00000190 __vector_52 + 0x00000190 __vector_37 + 0x00000190 __vector_89 + 0x00000190 __vector_4 + 0x00000190 __vector_44 + 0x00000190 __vector_82 + 0x00000190 __vector_51 + 0x00000190 __vector_9 + 0x00000190 __vector_21 + 0x00000190 __vector_15 + 0x00000190 __vector_66 + 0x00000190 __vector_36 + 0x00000190 __vector_79 + 0x00000190 __vector_58 + 0x00000190 __vector_70 + 0x00000190 __vector_83 + 0x00000190 __vector_29 + 0x00000190 __vector_60 + 0x00000190 __vector_40 + 0x00000190 __vector_85 + 0x00000190 __vector_8 + 0x00000190 __vector_26 + 0x00000190 __vector_48 + 0x00000190 __vector_80 + 0x00000190 __vector_14 + 0x00000190 __vector_84 + 0x00000190 __vector_57 + 0x00000190 __vector_53 + 0x00000190 __vector_10 + 0x00000190 __vector_50 + 0x00000190 __vector_16 + 0x00000190 __vector_59 + 0x00000190 __vector_18 + 0x00000190 __vector_20 + 0x00000190 __vector_42 + 0x00000190 __vector_87 + 0x00000190 __vector_65 + 0x00000194 . = ALIGN (0x2) + *(.text.*) + .text.adcport_read_data + 0x00000194 0x34 ADC_7176_2.o + 0x00000194 adcport_read_data + .text.adcport_write_adcmode + 0x000001c8 0x34 ADC_7176_2.o + 0x000001c8 adcport_write_adcmode + .text.adcport_write_filtcon0 + 0x000001fc 0x34 ADC_7176_2.o + 0x000001fc adcport_write_filtcon0 + .text.adcport_write_interfmode + 0x00000230 0x32 ADC_7176_2.o + 0x00000230 adcport_write_interfmode + .text.adcport_write_chmapreg0 + 0x00000262 0x36 ADC_7176_2.o + 0x00000262 adcport_write_chmapreg0 + .text.adcport_write_chmapreg1 + 0x00000298 0x34 ADC_7176_2.o + 0x00000298 adcport_write_chmapreg1 + .text.adcport_write_chmapreg2 + 0x000002cc 0x34 ADC_7176_2.o + 0x000002cc adcport_write_chmapreg2 + .text.adcport_write_chmapreg3 + 0x00000300 0x34 ADC_7176_2.o + 0x00000300 adcport_write_chmapreg3 + .text.adcport_write_setupcon0 + 0x00000334 0x36 ADC_7176_2.o + 0x00000334 adcport_write_setupcon0 + .text.adcport_write_reset + 0x0000036a 0x86 ADC_7176_2.o + 0x0000036a adcport_write_reset + .text.config_adc + 0x000003f0 0x4c ADC_7176_2.o + 0x000003f0 config_adc + .text.main 0x0000043c 0x22 main.o + 0x0000043c main + .text.__vector_64 + 0x0000045e 0x68 main.o + 0x0000045e __vector_64 + .text.__vector_35 + 0x000004c6 0x92 main.o + 0x000004c6 __vector_35 + .text.__vector_2 + 0x00000558 0x70 main.o + 0x00000558 __vector_2 + .text.config_spiparm + 0x000005c8 0x8 commSPI_ADC.o + 0x000005c8 config_spiparm + .text.config_fpgaport + 0x000005d0 0x66 fpga_port.o + 0x000005d0 config_fpgaport + .text.habilitar_interrupciones_globales + 0x00000636 0x4 fpga_port.o + 0x00000636 habilitar_interrupciones_globales + .text.envio_nibble + 0x0000063a 0x10e fpga_port.o + 0x0000063a envio_nibble + .text.envio_dato_adc + 0x00000748 0x2a fpga_port.o + 0x00000748 envio_dato_adc + .text.envio_datos_fpga + 0x00000772 0x2c fpga_port.o + 0x00000772 envio_datos_fpga + .text.config_puertos + 0x0000079e 0xf4 Ports.o + 0x0000079e config_puertos + .text.config_sysclock + 0x00000892 0x3e sys_clock.o + 0x00000892 config_sysclock + 0x000008d0 . = ALIGN (0x2) + *(.fini9) + .fini9 0x000008d0 0x0 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + 0x000008d0 _exit + 0x000008d0 exit + *(.fini9) + *(.fini8) + *(.fini8) + *(.fini7) + *(.fini7) + *(.fini6) + *(.fini6) + *(.fini5) + *(.fini5) + *(.fini4) + *(.fini4) + *(.fini3) + *(.fini3) + *(.fini2) + *(.fini2) + *(.fini1) + *(.fini1) + *(.fini0) + .fini0 0x000008d0 0x4 c:/program files (x86)/atmel/atmel toolchain/avr8 gcc/native/3.4.1061/avr8-gnu-toolchain/bin/../lib/gcc/avr/4.8.1/avrxmega2\libgcc.a(_exit.o) + *(.fini0) + 0x000008d4 _etext = . + +.data 0x00802000 0x0 load address 0x000008d4 + 0x00802000 PROVIDE (__data_start, .) + *(.data) + *(.data*) + *(.rodata) + *(.rodata*) + *(.gnu.linkonce.d*) + 0x00802000 . = ALIGN (0x2) + 0x00802000 _edata = . + 0x00802000 PROVIDE (__data_end, .) + +.bss 0x00802000 0x2 + 0x00802000 PROVIDE (__bss_start, .) + *(.bss) + *(.bss*) + .bss.aux 0x00802000 0x2 main.o + 0x00802000 aux + *(COMMON) + 0x00802002 PROVIDE (__bss_end, .) + 0x000008d4 __data_load_start = LOADADDR (.data) + 0x000008d4 __data_load_end = (__data_load_start + SIZEOF (.data)) + +.noinit 0x00802002 0x0 + 0x00802002 PROVIDE (__noinit_start, .) + *(.noinit*) + 0x00802002 PROVIDE (__noinit_end, .) + 0x00802002 _end = . + 0x00802002 PROVIDE (__heap_start, .) + +.eeprom 0x00810000 0x0 + *(.eeprom*) + 0x00810000 __eeprom_end = . + +.fuse + *(.fuse) + *(.lfuse) + *(.hfuse) + *(.efuse) + +.lock + *(.lock*) + +.signature + *(.signature*) + +.user_signatures + *(.user_signatures*) + +.stab + *(.stab) + +.stabstr + *(.stabstr) + +.stab.excl + *(.stab.excl) + +.stab.exclstr + *(.stab.exclstr) + +.stab.index + *(.stab.index) + +.stab.indexstr + *(.stab.indexstr) + +.comment 0x00000000 0x30 + *(.comment) + .comment 0x00000000 0x30 ADC_7176_2.o + 0x31 (size before relaxing) + .comment 0x00000000 0x31 main.o + .comment 0x00000000 0x31 commSPI_ADC.o + .comment 0x00000000 0x31 fpga_port.o + .comment 0x00000000 0x31 Ports.o + .comment 0x00000000 0x31 sys_clock.o + +.note.gnu.build-id + *(.note.gnu.build-id) + +.debug + *(.debug) + +.line + *(.line) + +.debug_srcinfo + *(.debug_srcinfo) + +.debug_sfnames + *(.debug_sfnames) + +.debug_aranges 0x00000000 0x198 + *(.debug_aranges) + .debug_aranges + 0x00000000 0xb0 ADC_7176_2.o + .debug_aranges + 0x000000b0 0x38 main.o + .debug_aranges + 0x000000e8 0x20 commSPI_ADC.o + .debug_aranges + 0x00000108 0x50 fpga_port.o + .debug_aranges + 0x00000158 0x20 Ports.o + .debug_aranges + 0x00000178 0x20 sys_clock.o + +.debug_pubnames + *(.debug_pubnames) + +.debug_info 0x00000000 0x1a04 + *(.debug_info .gnu.linkonce.wi.*) + .debug_info 0x00000000 0xbc5 ADC_7176_2.o + .debug_info 0x00000bc5 0x3d8 main.o + .debug_info 0x00000f9d 0xf0 commSPI_ADC.o + .debug_info 0x0000108d 0x487 fpga_port.o + .debug_info 0x00001514 0x2ab Ports.o + .debug_info 0x000017bf 0x245 sys_clock.o + +.debug_abbrev 0x00000000 0x726 + *(.debug_abbrev) + .debug_abbrev 0x00000000 0x21e ADC_7176_2.o + .debug_abbrev 0x0000021e 0x17a main.o + .debug_abbrev 0x00000398 0x83 commSPI_ADC.o + .debug_abbrev 0x0000041b 0x1a8 fpga_port.o + .debug_abbrev 0x000005c3 0xaa Ports.o + .debug_abbrev 0x0000066d 0xb9 sys_clock.o + +.debug_line 0x00000000 0xc70 + *(.debug_line .debug_line.* .debug_line_end) + .debug_line 0x00000000 0x43a ADC_7176_2.o + .debug_line 0x0000043a 0x24b main.o + .debug_line 0x00000685 0x127 commSPI_ADC.o + .debug_line 0x000007ac 0x239 fpga_port.o + .debug_line 0x000009e5 0x153 Ports.o + .debug_line 0x00000b38 0x138 sys_clock.o + +.debug_frame 0x00000000 0x34c + *(.debug_frame) + .debug_frame 0x00000000 0x154 ADC_7176_2.o + .debug_frame 0x00000154 0xd8 main.o + .debug_frame 0x0000022c 0x24 commSPI_ADC.o + .debug_frame 0x00000250 0xb4 fpga_port.o + .debug_frame 0x00000304 0x24 Ports.o + .debug_frame 0x00000328 0x24 sys_clock.o + +.debug_str 0x00000000 0x8ad + *(.debug_str) + .debug_str 0x00000000 0x417 ADC_7176_2.o + 0x45a (size before relaxing) + .debug_str 0x00000417 0x9d main.o + 0x367 (size before relaxing) + .debug_str 0x000004b4 0x13 commSPI_ADC.o + 0x1c0 (size before relaxing) + .debug_str 0x000004c7 0x284 fpga_port.o + 0x54e (size before relaxing) + .debug_str 0x0000074b 0xd Ports.o + 0x3f1 (size before relaxing) + .debug_str 0x00000758 0x155 sys_clock.o + 0x2e5 (size before relaxing) + +.debug_loc 0x00000000 0x564 + *(.debug_loc) + .debug_loc 0x00000000 0x26b ADC_7176_2.o + .debug_loc 0x0000026b 0x184 main.o + .debug_loc 0x000003ef 0x175 fpga_port.o + +.debug_macinfo + *(.debug_macinfo) + +.debug_weaknames + *(.debug_weaknames) + +.debug_funcnames + *(.debug_funcnames) + +.debug_typenames + *(.debug_typenames) + +.debug_varnames + *(.debug_varnames) + +.debug_pubtypes + *(.debug_pubtypes) + +.debug_ranges 0x00000000 0x138 + *(.debug_ranges) + .debug_ranges 0x00000000 0xa0 ADC_7176_2.o + .debug_ranges 0x000000a0 0x28 main.o + .debug_ranges 0x000000c8 0x10 commSPI_ADC.o + .debug_ranges 0x000000d8 0x40 fpga_port.o + .debug_ranges 0x00000118 0x10 Ports.o + .debug_ranges 0x00000128 0x10 sys_clock.o + +.debug_macro + *(.debug_macro) +OUTPUT(ADCSPI_v01.elf elf32-avr) +LOAD linker stubs Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.srec =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.srec b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.srec new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADCSPI_v01.srec (revision 224) @@ -0,0 +1,144 @@ +S01200004144435350495F7630312E7372656328 +S11300000C94B6000C94C8000C94AC020C94C80078 +S11300100C94C8000C94C8000C94C8000C94C8003C +S11300200C94C8000C94C8000C94C8000C94C8002C +S11300300C94C8000C94C8000C94C8000C94C8001C +S11300400C94C8000C94C8000C94C8000C94C8000C +S11300500C94C8000C94C8000C94C8000C94C800FC +S11300600C94C8000C94C8000C94C8000C94C800EC +S11300700C94C8000C94C8000C94C8000C94C800DC +S11300800C94C8000C94C8000C94C8000C9463022F +S11300900C94C8000C94C8000C94C8000C94C800BC +S11300A00C94C8000C94C8000C94C8000C94C800AC +S11300B00C94C8000C94C8000C94C8000C94C8009C +S11300C00C94C8000C94C8000C94C8000C94C8008C +S11300D00C94C8000C94C8000C94C8000C94C8007C +S11300E00C94C8000C94C8000C94C8000C94C8006C +S11300F00C94C8000C94C8000C94C8000C94C8005C +S11301000C942F020C94C8000C94C8000C94C800E2 +S11301100C94C8000C94C8000C94C8000C94C8003B +S11301200C94C8000C94C8000C94C8000C94C8002B +S11301300C94C8000C94C8000C94C8000C94C8001B +S11301400C94C8000C94C8000C94C8000C94C8000B +S11301500C94C8000C94C8000C94C8000C94C800FB +S11301600C94C8000C94C8000C94C80011241FBE41 +S1130170CFEFCDBFDFE2DEBF20E2A0E0B0E201C0FE +S11301801D92A230B207E1F70E941E020C9468048B +S11301900C94000024E4E0ECF8E023832281222381 +S11301A0ECF7E0ECF8E023811616170664F4DC01A2 +S11301B0860F971F138222812223ECF723812D932C +S11301C0A817B907B9F7089581E0E0ECF8E0838354 +S11301D082818823ECF7E0ECF8E0838180E8838374 +S11301E082818823ECF7E0ECF8E08381138282813A +S11301F08823ECF7E0ECF8E08381089588E2E0ECF2 +S1130200F8E0838382818823ECF7E0ECF8E08381D3 +S1130210138282818823ECF7E0ECF8E0838183E0A9 +S1130220838382818823ECF7E0ECF8E083810895EE +S113023082E0E0ECF8E0838382818823ECF7E0EC51 +S1130240F8E08381138282818823ECF7E0ECF8E004 +S11302508381138282818823ECF7E0ECF8E08381C8 +S1130260089580E1E0ECF8E0838382818823ECF751 +S1130270E0ECF8E0838180E8838382818823ECF7D3 +S1130280E0ECF8E0838180E2838382818823ECF7C9 +S1130290E0ECF8E08381089581E1E0ECF8E0838309 +S11302A082818823ECF7E0ECF8E083811382828179 +S11302B08823ECF7E0ECF8E0838181E0838382819A +S11302C08823ECF7E0ECF8E08381089582E1E0EC28 +S11302D0F8E0838382818823ECF7E0ECF8E0838103 +S11302E0138282818823ECF7E0ECF8E0838181E0DB +S11302F0838382818823ECF7E0ECF8E0838108951E +S113030083E1E0ECF8E0838382818823ECF7E0EC7E +S1130310F8E08381138282818823ECF7E0ECF8E033 +S1130320838181E0838382818823ECF7E0ECF8E029 +S11303308381089580E2E0ECF8E08383828188235E +S1130340ECF7E0ECF8E0838180E183838281882309 +S1130350ECF7E0ECF8E0838180E2838382818823F8 +S1130360ECF7E0ECF8E0838108958FEFE0ECF8E03F +S1130370838382818823ECF7E0ECF8E083818FEFBC +S1130380838382818823ECF7E0ECF8E083818FEFAC +S1130390838382818823ECF7E0ECF8E083818FEF9C +S11303A0838382818823ECF7E0ECF8E083818FEF8C +S11303B0838382818823ECF7E0ECF8E083818FEF7C +S11303C0838382818823ECF7E0ECF8E083818FEF6C +S11303D0838382818823ECF7E0ECF8E083818FEF5C +S11303E0838382818823ECF7E0ECF8E0838108952D +S11303F01F93CF93DF93C0E4D6E010E11E830E94E5 +S1130400B5011D838FE599E00197F1F700C0000065 +S11304101E830E94E4000E9418010E94FE000E94B4 +S11304209A010E9431010E944C010E9466010E94BF +S113043080011D83DF91CF911F9108950E94CF0306 +S11304400E9449040E94E4020E94E8020E94F8010A +S113045084E0E0EAF0E082830E941B03FFCF1F9256 +S11304600F920FB60F9211248F939F93EF93FF93E4 +S1130470E0E6F6E0808581FF11C081E0E0E4F6E08B +S1130480848792E0E0E2F6E09487E0EAF0E092818B +S113049092609283E0E0F6E085830CC0E0EAF0E04D +S11304A082818D7F828382818E7F828381E0E0E0FE +S11304B0F6E08683FF91EF919F918F910F900FBE8D +S11304C00F901F9018951F920F920FB60F92112440 +S11304D08F93EF93FF93E0EAF0E082818E7F828333 +S11304E0E0E4F6E081E084878487000000000000F7 +S11304F000001092002010920120E0EAF0E08281D6 +S113050081608283E0E4F6E081E0848780E1858392 +S1130510000084E0E0E6F6E08583000000000000CF +S113052000000000000000000000000000000000C7 +S11305300000000080E1E0E4F6E086838AE68A9524 +S1130540F1F700C081E08487FF91EF918F910F90C4 +S11305500FBE0F901F9018951F920F920FB60F9217 +S113056011242F933F934F935F936F937F938F93B4 +S11305709F93AF93BF93EF93FF938091002090914B +S1130580012080329E445CF40E94B90380910020D3 +S1130590909101200196809300209093012081E0A6 +S11305A0E0E4F6E08487FF91EF91BF91AF919F91D2 +S11305B08F917F916F915F914F913F912F910F9008 +S11305C00FBE0F901F9018958CED8093C00808956E +S11305D0CF93DF93E0E6F6E0118A92E0928320E184 +S11305E0228B84E081838683A0E2B6E012968C930A +S11305F0129752962C935297C0E0D6E021E02983BB +S11306002E8321852360218792879189987F918BFE +S11306109185937F986019969C9319971B968C9358 +S11306201B9752968C915297887F816052968C9337 +S1130630DF91CF91089578940895000000000000A0 +S113064000000000000000000000000000000000A6 +S11306500000000000000000000000000000000096 +S1130660000084E0E0E6F6E085830000000000007E +S11306700000000000000000000000000000000076 +S11306800000000000000000000000000000000066 +S113069000008683868188E48483000000000000D3 +S11306A00000000000000000000000000000000046 +S11306B00000000000000000000000000000000036 +S11306C0000084E0858300000000000000000000BA +S11306D00000000000000000000000000000000016 +S11306E000000000000000000000000000008683FD +S11306F0868180E684830000000000000000000082 +S113070000000000000000000000000000000000E5 +S1130710000000000000000000000000000084E071 +S113072085830000000000000000000000000000BD +S113073000000000000000000000000000000000B5 +S113074000000000000008950F931F93CF93DF93E0 +S11307508C01C0E0D0E0F80181918F010E941D035B +S11307602196C330D105B9F7DF91CF911F910F9135 +S11307700895CF93DF9300D01F92CDB7DEB763E027 +S113078070E0CE0101960E94CA00CE0101960E943B +S1130790A4032396CDBFDEBFDF91CF910895E0E09F +S11307A0F6E088E1878B868B858B848B838B828BA9 +S11307B0818B9EEF928398E3908B91E09683918353 +S11307C0E0E2F6E0838B828B818B808B2FE02283A7 +S11307D0E0E4F6E0868B838B828B818B808B9FE4B5 +S11307E09283158A178A148A90EB918390E99583F2 +S11307F090E29683A0E6B6E094E011969C9311975C +S113080016969C93169757968C93579750968C935D +S1130810509793E812969C93129790E151969C936B +S1130820519756961C92569755961C9255975496E6 +S11308301C92549753961C92539738E711963C9305 +S1130840119716963C93A0E8B6E053968C93539771 +S113085052968C93529751968C93519750968C93B1 +S1130860509712962C93A0EEB7E051969C93519713 +S113087093E012969C93129750968C9380E482870F +S113088081858C7F816081878689887F8260868B61 +S1130890089510924100E0E5F0E080818660808355 +S11308A0818182FFFDCFE0E5F0E0818181FFFDCF12 +S11308B081E080936000E0E5F0E096819D7F96837F +S11308C098ED94BF8093400080818E7F808308954B +S10708D0F894FFCFC6 +S9030000FC Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADC_7176_2.d =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADC_7176_2.d b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADC_7176_2.d new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/ADC_7176_2.d (revision 224) @@ -0,0 +1,53 @@ +ADC_7176_2.d ADC_7176_2.o: .././ADC_7176_2.c \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h \ + .././ADC_7176_2.h .././Ports.h .././commSPI_ADC.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay_basic.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\math.h + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h: + +.././ADC_7176_2.h: + +.././Ports.h: + +.././commSPI_ADC.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay_basic.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\math.h: Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/Makefile =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/Makefile b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/Makefile new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/Makefile (revision 224) @@ -0,0 +1,164 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL := cmd.exe +RM := rm -rf + +USER_OBJS := + +LIBS := +PROJ := + +O_SRCS := +C_SRCS := +S_SRCS := +S_UPPER_SRCS := +OBJ_SRCS := +ASM_SRCS := +PREPROCESSING_SRCS := +OBJS := +OBJS_AS_ARGS := +C_DEPS := +C_DEPS_AS_ARGS := +EXECUTABLES := +OUTPUT_FILE_PATH := +OUTPUT_FILE_PATH_AS_ARGS := +AVR_APP_PATH :=$$$AVR_APP_PATH$$$ +QUOTE := " +ADDITIONAL_DEPENDENCIES:= +OUTPUT_FILE_DEP:= +LIB_DEP:= +LINKER_SCRIPT_DEP:= + +# Every subdirectory with source files must be described here +SUBDIRS := + + +# Add inputs and outputs from these tool invocations to the build variables +C_SRCS += \ +../ADC_7176_2.c \ +../main.c \ +../commSPI_ADC.c \ +../fpga_port.c \ +../Ports.c \ +../sys_clock.c + + +PREPROCESSING_SRCS += + + +ASM_SRCS += + + +OBJS += \ +ADC_7176_2.o \ +main.o \ +commSPI_ADC.o \ +fpga_port.o \ +Ports.o \ +sys_clock.o + +OBJS_AS_ARGS += \ +ADC_7176_2.o \ +main.o \ +commSPI_ADC.o \ +fpga_port.o \ +Ports.o \ +sys_clock.o + +C_DEPS += \ +ADC_7176_2.d \ +main.d \ +commSPI_ADC.d \ +fpga_port.d \ +Ports.d \ +sys_clock.d + +C_DEPS_AS_ARGS += \ +ADC_7176_2.d \ +main.d \ +commSPI_ADC.d \ +fpga_port.d \ +Ports.d \ +sys_clock.d + +OUTPUT_FILE_PATH +=ADCSPI_v01.elf + +OUTPUT_FILE_PATH_AS_ARGS +=ADCSPI_v01.elf + +ADDITIONAL_DEPENDENCIES:= + +OUTPUT_FILE_DEP:= ./makedep.mk + +LIB_DEP+= + +LINKER_SCRIPT_DEP+= + + +# AVR32/GNU C Compiler + + + + + + + + + + + + + +./%.o: .././%.c + @echo Building file: $< + @echo Invoking: AVR/GNU C Compiler : 4.8.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR8 GCC\Native\3.4.1061\avr8-gnu-toolchain\bin\avr-gcc.exe$(QUOTE) -x c -funsigned-char -funsigned-bitfields -DDEBUG -O1 -ffunction-sections -fdata-sections -fpack-struct -fshort-enums -g2 -Wall -mmcu=atxmega32d4 -c -std=gnu99 -MD -MP -MF "$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -MT"$(@:%.o=%.o)" -o "$@" "$<" + @echo Finished building: $< + + + + +# AVR32/GNU Preprocessing Assembler + + + +# AVR32/GNU Assembler + + + + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +endif + +# Add inputs and outputs from these tool invocations to the build variables + +# All Target +all: $(OUTPUT_FILE_PATH) $(ADDITIONAL_DEPENDENCIES) + +$(OUTPUT_FILE_PATH): $(OBJS) $(USER_OBJS) $(OUTPUT_FILE_DEP) $(LIB_DEP) $(LINKER_SCRIPT_DEP) + @echo Building target: $@ + @echo Invoking: AVR/GNU Linker : 4.8.1 + $(QUOTE)C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR8 GCC\Native\3.4.1061\avr8-gnu-toolchain\bin\avr-gcc.exe$(QUOTE) -o$(OUTPUT_FILE_PATH_AS_ARGS) $(OBJS_AS_ARGS) $(USER_OBJS) $(LIBS) -Wl,-Map="ADCSPI_v01.map" -Wl,--start-group -Wl,-lm -Wl,--end-group -Wl,--gc-sections -mmcu=atxmega32d4 + @echo Finished building target: $@ + "C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR8 GCC\Native\3.4.1061\avr8-gnu-toolchain\bin\avr-objcopy.exe" -O ihex -R .eeprom -R .fuse -R .lock -R .signature -R .user_signatures "ADCSPI_v01.elf" "ADCSPI_v01.hex" + "C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR8 GCC\Native\3.4.1061\avr8-gnu-toolchain\bin\avr-objcopy.exe" -j .eeprom --set-section-flags=.eeprom=alloc,load --change-section-lma .eeprom=0 --no-change-warnings -O ihex "ADCSPI_v01.elf" "ADCSPI_v01.eep" || exit 0 + "C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR8 GCC\Native\3.4.1061\avr8-gnu-toolchain\bin\avr-objdump.exe" -h -S "ADCSPI_v01.elf" > "ADCSPI_v01.lss" + "C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR8 GCC\Native\3.4.1061\avr8-gnu-toolchain\bin\avr-objcopy.exe" -O srec -R .eeprom -R .fuse -R .lock -R .signature -R .user_signatures "ADCSPI_v01.elf" "ADCSPI_v01.srec" + "C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR8 GCC\Native\3.4.1061\avr8-gnu-toolchain\bin\avr-size.exe" "ADCSPI_v01.elf" + + + + + + + +# Other Targets +clean: + -$(RM) $(OBJS_AS_ARGS) $(EXECUTABLES) + -$(RM) $(C_DEPS_AS_ARGS) + rm -rf "ADCSPI_v01.elf" "ADCSPI_v01.a" "ADCSPI_v01.hex" "ADCSPI_v01.lss" "ADCSPI_v01.eep" "ADCSPI_v01.map" "ADCSPI_v01.srec" "ADCSPI_v01.usersignatures" + \ No newline at end of file Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/Ports.d =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/Ports.d b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/Ports.d new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/Ports.d (revision 224) @@ -0,0 +1,42 @@ +Ports.d Ports.o: .././Ports.c \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h \ + .././Ports.h .././commSPI_ADC.h + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h: + +.././Ports.h: + +.././commSPI_ADC.h: Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/commSPI_ADC.d =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/commSPI_ADC.d b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/commSPI_ADC.d new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/commSPI_ADC.d (revision 224) @@ -0,0 +1,42 @@ +commSPI_ADC.d commSPI_ADC.o: .././commSPI_ADC.c \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h \ + .././commSPI_ADC.h .././Ports.h + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h: + +.././commSPI_ADC.h: + +.././Ports.h: Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/fpga_port.d =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/fpga_port.d b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/fpga_port.d new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/fpga_port.d (revision 224) @@ -0,0 +1,59 @@ +fpga_port.d fpga_port.o: .././fpga_port.c \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay_basic.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\math.h \ + .././fpga_port.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\interrupt.h \ + .././ADC_7176_2.h .././Ports.h .././commSPI_ADC.h + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay_basic.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\math.h: + +.././fpga_port.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\interrupt.h: + +.././ADC_7176_2.h: + +.././Ports.h: + +.././commSPI_ADC.h: Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/main.d =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/main.d b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/main.d new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/main.d (revision 224) @@ -0,0 +1,61 @@ +main.d main.o: .././main.c \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h \ + .././Ports.h .././sys_clock.h .././ADC_7176_2.h .././commSPI_ADC.h \ + .././fpga_port.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\interrupt.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay_basic.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\math.h + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h: + +.././Ports.h: + +.././sys_clock.h: + +.././ADC_7176_2.h: + +.././commSPI_ADC.h: + +.././fpga_port.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\interrupt.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\util\delay_basic.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\math.h: Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/makedep.mk =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/makedep.mk b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/makedep.mk new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/makedep.mk (revision 224) @@ -0,0 +1,16 @@ +################################################################################ +# Automatically-generated file. Do not edit or delete the file +################################################################################ + +ADC_7176_2.c + +main.c + +commSPI_ADC.c + +fpga_port.c + +Ports.c + +sys_clock.c + Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/sys_clock.d =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/sys_clock.d b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/sys_clock.d new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Debug/sys_clock.d (revision 224) @@ -0,0 +1,37 @@ +sys_clock.d sys_clock.o: .././sys_clock.c \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h \ + c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\io.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\sfr_defs.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\inttypes.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\lib\gcc\avr\4.8.1\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\stdint.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\iox32d4.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\portpins.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\common.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\version.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\xmega.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\fuse.h: + +c:\program\ files\ (x86)\atmel\atmel\ toolchain\avr8\ gcc\native\3.4.1061\avr8-gnu-toolchain\avr\include\avr\lock.h: Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Ports.c =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Ports.c b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Ports.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Ports.c (revision 224) @@ -0,0 +1,126 @@ +/* + * Ports.c + * + * Created: 26/04/16 11:39:52 + * Author: Francisco + */ +/*! +* \fn config_puertos +* \brief Configuración de todos los pines de I/O a usarse +* para la prueba. Para el firmware final se deben dejar de configurar en este segmento +* los pines PR0 y PR1 que corresponden a las entradas de tierra y reloj externo. +* Los criterios de asignación de control: +* Pullup: Para evitar ruido se envía a una tensión conocida. De preferencia si +* Se sabe que la entrada será casi siempre alta. +* Pulldown: Para evitar ruido se envía a una tensión conocida. De preferencia si +* Se sabe que la entrada será casi siempre baja. +* WiredAndpull: Para evitar cortos de una salida al exterior del board. +* Totem: Si se tiene certeza que el otro extremo es una salida o entrada con un valor predecible(Mismo board). +* \ +*/ + +#define F_CPU 32000000UL +#include + +#include "Ports.h" +#include "commSPI_ADC.h" + +inline void config_puertos(void){ +//Configuracion pines del puerto A: PA7-PA0 +//Pines de entrada y totem_pullup +PORTA.PIN7CTRL = PORT_OPC_PULLUP_gc; +PORTA.PIN6CTRL = PORT_OPC_PULLUP_gc; +PORTA.PIN5CTRL = PORT_OPC_PULLUP_gc; +PORTA.PIN4CTRL = PORT_OPC_PULLUP_gc; +PORTA.PIN3CTRL = PORT_OPC_PULLUP_gc; +PORTA.PIN2CTRL = PORT_OPC_PULLUP_gc; +PORTA.PIN1CTRL = PORT_OPC_PULLUP_gc; +PORTA.DIRCLR = PIN7_bm | PIN6_bm | PIN5_bm | PIN4_bm | PIN3_bm | PIN2_bm | PIN1_bm; + +//Pin de salida A0 +//Wired AND. Esto pues podrá irse a alta por defecto y si existe una tensión +//La línea tendrá el valor de tensión externa pero si es entrada con impedancia alta leerá el valor en alta +//Valor por defecto salida: PA0 = low + +PORTA.PIN0CTRL = PORT_OPC_WIREDANDPULL_gc; +PORTA.OUTCLR = PIN0_bm; +PORTA.DIRSET = PIN0_bm; + +//Configuracion pines del puerto B: PB3-PB0 +//Pines de entrada y totem_pullup +PORTB.PIN3CTRL = PORT_OPC_PULLUP_gc; +PORTB.PIN2CTRL = PORT_OPC_PULLUP_gc; +PORTB.PIN1CTRL = PORT_OPC_PULLUP_gc; +PORTB.PIN0CTRL = PORT_OPC_PULLUP_gc; +PORTB.DIRCLR = PIN3_bm | PIN2_bm | PIN1_bm | PIN0_bm; + +//Configuracion pines del puerto C: PC7-PC0 Con PC7-PC4:SPI +//Pines de entrada y totem_pullup: PC3, PC2, PC1, PC0, SPI_MISO PC6 +//Pines de salida y totem_wiredand-pull: SPI_MOSI, SCK, SS. Valores por defecto de 1's en SCK y SS. Por defecto 0 en MOSI. +PORTSPI.PINSPIMISOCTRL = PORT_OPC_PULLUP_gc; +PORTC.PIN3CTRL = PORT_OPC_PULLUP_gc; +PORTC.PIN2CTRL = PORT_OPC_PULLUP_gc; +PORTC.PIN1CTRL = PORT_OPC_PULLUP_gc; +PORTC.PIN0CTRL = PORT_OPC_PULLUP_gc; +PORTC.DIRCLR = SPI_MISO_bm | PIN3_bm | PIN2_bm | PIN1_bm | PIN0_bm; //En este paso ya se sabe que el puerto SPI es el C + +//Pines de salida wiredand-pull +//Valor por defecto salida: PC4,PC7 = low +//Valor por defecto salida: PC5 = set + +PORTSPI.PINSPIMOSICTRL = PORT_OPC_TOTEM_gc; +PORTSPI.PINSPISCKCTRL = PORT_OPC_TOTEM_gc; +PORTSPI.PINSPISSCTRL = PORT_OPC_TOTEM_gc; +PORTSPI.DIRSET = SPI_MOSI_bm | SPI_SS_bm |SPI_SCK_bm; +PORTSPI.OUTSET = SPI_SS_bm |SPI_SCK_bm; +PORTSPI.OUTCLR = SPI_MOSI_bm; + +//Configuracion pines del puerto D: PD7-PD0 +//Pines de entrada y totem_pullup: PIN7 y PIN0 +//NOTA +//PARA EL FW FINAL REVISAR SI PD2 SERÁ ENTRADA O NO +//NOTA FIN +PORTD.DIRSET = PIN2_bm; +PORTD.OUTCLR = PIN2_bm; + + +PORTD.PIN7CTRL = PORT_OPC_PULLUP_gc; +PORTD.PIN0CTRL = PORT_OPC_PULLUP_gc; +PORTD.DIRCLR = PIN7_bm | PIN1_bm| PIN0_bm; +//Pines de entrada y totem_pulldown: PIN2 y PIN1. Ambas serán entradas provenientes de la fpga +//PORTD.PIN2CTRL = PORT_OPC_PULLDOWN_gc; + +PORTD.PIN1CTRL = PORT_OPC_PULLDOWN_gc; +//Pines de salida tipo wired-and-pull +//Valor por defecto PD6, PD5, PD4, PD3 = low + +PORTD.PIN6CTRL = PORT_OPC_TOTEM_gc; +PORTD.PIN5CTRL = PORT_OPC_TOTEM_gc; +PORTD.PIN4CTRL = PORT_OPC_TOTEM_gc; +PORTD.PIN3CTRL = PORT_OPC_TOTEM_gc; +PORTD.DIRSET = PIN6_bm | PIN5_bm | PIN4_bm | PIN3_bm; +PORTD.OUTCLR = PIN6_bm | PIN5_bm | PIN4_bm | PIN3_bm; + +//Configuracion pines del puerto E: PE3-PE0 +//Pines de entrada y totem_pullup: PIN3 - PIN0 + +PORTE.PIN3CTRL = PORT_OPC_PULLUP_gc; +PORTE.PIN2CTRL = PORT_OPC_PULLUP_gc; +PORTE.PIN1CTRL = PORT_OPC_PULLUP_gc; +PORTE.PIN0CTRL = PORT_OPC_PULLUP_gc; +PORTE.DIRCLR = PIN3_bm | PIN2_bm | PIN1_bm| PIN0_bm; + +//Configuracion pines del puerto R: PR1-PR0 +//Pines de entrada y totem_pulldown: PIN0 +PORTR.PIN1CTRL = PORT_OPC_PULLDOWN_gc; +PORTR.DIRCLR = PIN1_bm| PIN0_bm; +//Pines de entrada y totem_pulldup:PIN1 +PORTR.PIN0CTRL = PORT_OPC_PULLUP_gc; + +//Configuración como interrupción del pin SPI_MISO_RDY + +PORTSPI.INT0MASK = SPI_MISO_bm; +PORTSPI.INTCTRL = ( PORTSPI.INTCTRL & ~PORT_INT0LVL_gm ) | PORT_INT0LVL_LO_gc; +PORTSPI.PINSPIMISOCTRL = ( PORTSPI.PINSPIMISOCTRL & ~PORT_ISC_gm ) | PORT_ISC_FALLING_gc; + +} \ No newline at end of file Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Ports.h =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Ports.h b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Ports.h new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/Ports.h (revision 224) @@ -0,0 +1,22 @@ +/* + * Ports.h + * + * Created: 26/04/16 11:40:34 + * Author: Francisco + */ + + + +#ifndef PORTS_H_ +#define PORTS_H_ + +#define F_CPU 32000000UL +#include + +#define INT_RDY PORTC_INT0_vect + +void config_puertos(void); + + + +#endif /* PORTS_H_ */ \ No newline at end of file Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/commSPI_ADC.c =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/commSPI_ADC.c b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/commSPI_ADC.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/commSPI_ADC.c (revision 224) @@ -0,0 +1,29 @@ +/* + * commSPI_ADC.c + * + * Created: 26/04/16 11:44:43 + * Author: Francisco + */ + +#define F_CPU 32000000UL +#include +#include "commSPI_ADC.h" + +/*! + * \fn config_spiparm + * \brief Configuración de los parámetros de reloj SPI + * + * fspi = fper/2 = fcpu/2 = 16MHz + * + * En nuestra aplicación final el reloj será externo, de 16MHz. Por lo que se tendrá que realizar una + * nueva evaluación + * \ +*/ +inline void config_spiparm(void){ + // Preescaler: clkper/2 = f_cpu/2. + // Master + // Mode 3: CPOL=1,CPHA=1 + // MSB --- LSB + SPIC.CTRL = (SPI_ENABLE_bm | SPI_MASTER_bm | SPI_MODE1_bm | SPI_MODE0_bm | SPI_CLK2X_bm);//SPI_PRESCALER1_bm | SPI_CLK2X_bm); +} + Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/commSPI_ADC.h =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/commSPI_ADC.h b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/commSPI_ADC.h new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/commSPI_ADC.h (revision 224) @@ -0,0 +1,32 @@ +/* + * commSPI_ADC.h + * + * Created: 26/04/16 11:46:06 + * Author: Francisco + */ + + +#ifndef COMMSPI_ADC_H_ +#define COMMSPI_ADC_H_ + +#define F_CPU 32000000UL +#include + +#include "Ports.h" + +#define PORTSPI PORTC //Puerto en el que se definió el puerto SPI + +#define PINSPISSCTRL PIN4CTRL //Pin de control de salida SS +#define PINSPIMOSICTRL PIN5CTRL //Pin de control de salida MOSI +#define PINSPIMISOCTRL PIN6CTRL //Pin de control de entrada MISO +#define PINSPISCKCTRL PIN7CTRL //Pin de control de salida SCK + +#define SPI_SS_bm PIN4_bm // Pin de entrada - Totem +#define SPI_MOSI_bm PIN5_bm // Pin de salida - Totem +#define SPI_MISO_bm PIN6_bm // Pin de entrada - Totem +#define SPI_SCK_bm PIN7_bm // Pin de salida - Totem +#define SPI_INTFLAGS INTFLAGS +void config_spiparm(void); + + +#endif /* COMMSPI_ADC_H_ */ \ No newline at end of file Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/fpga_port.c =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/fpga_port.c b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/fpga_port.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/fpga_port.c (revision 224) @@ -0,0 +1,259 @@ +/* + * fpga_port.c + * + * Created: 26/04/16 11:42:02 + * Author: Francisco + */ + +#define F_CPU 32000000UL +#include + +#include + +#include "fpga_port.h" + +inline void config_fpgaport() +{ + //Configuracion pines del puerto D: PD6-PA1 + //Pines de entrada CLK_FPGA, LOCK_FPGA + //Pines de salida CH_BIT0_FPGA, CH_BIT1_FPGA,CH_BIT2_FPGA, CH_BIT3_FPGA + + PORT_FPGA.LOCK_FPGA_CTRL = PORT_OPC_TOTEM_gc; + PORT_FPGA.DIRCLR = LOCK_FPGA; + + PORT_FPGA.CLK_FPGA_CTRL = PORT_OPC_PULLDOWN_gc; + PORT_FPGA.DIRSET = CLK_FPGA; + PORT_FPGA.OUTCLR = CLK_FPGA; + + //Pin de salida CH_BIT0_FPGA, CH_BIT1_FPGA,CH_BIT2_FPGA, CH_BIT3_FPGA + //PORT_FPGA.DIRSET = CH_BIT3_FPGA | CH_BIT2_FPGA | CH_BIT1_FPGA | CH_BIT0_FPGA; + //PORT_FPGA.CH_BIT0_FPGA_CTRL = PORT_OPC_TOTEM_gc; //PORT_OPC_WIREDANDPULL_gc; + //PORT_FPGA.OUTCLR = CH_BIT3_FPGA | CH_BIT2_FPGA | CH_BIT1_FPGA | CH_BIT0_FPGA; + + //Configuracion pines del puerto B: PPS + //Pines de entrada PPS_FPGA + //Pines de entrada en pulldown + PORT_PPS.DIRCLR = PPS_FPGA; + PORT_PPS.PPS_FPGA_CTRL = PORT_OPC_PULLDOWN_gc; + + //Configuracion pines del puerto A: LOCKOUT + //Pines de salida LOCK_OUT + //Pines de salida en baja + PORT_LOCKOUT.DIRSET = LOCK_OUT; + PORT_LOCKOUT.OUTCLR = LOCK_OUT; + + + + //Configuracion de interrupciones de LOCK_FPGA + + PORT_FPGA.INTCTRL = ( PORT_FPGA.INTCTRL & ~PORT_INT0LVL_gm ) | PORT_INT0LVL_HI_gc; + PORT_FPGA.INT0MASK = LOCK_FPGA; + PORT_FPGA.LOCK_FPGA_CTRL = ( PORT_FPGA.LOCK_FPGA_CTRL & ~PORT_ISC_gm ) | PORT_ISC_BOTHEDGES_gc; + + //Configuracion de interrupciones de CLK + //PORT_FPGA.INTCTRL = ( PORT_FPGA.INTCTRL & ~PORT_INT1LVL_gm ) | PORT_INT1LVL_MED_gc; + //PORT_FPGA.INT1MASK = CLK_FPGA; + //PORT_FPGA.CLK_FPGA_CTRL = ( PORT_FPGA.CLK_FPGA_CTRL & ~PORT_ISC_gm ) | PORT_ISC_FALLING_gc; + + //Configuracion de interrupciones de PPS + PORT_PPS.INTCTRL = ( PORT_FPGA.INTCTRL & ~PORT_INT1LVL_gm ) | PORT_INT1LVL_MED_gc; + PORT_PPS.INT1MASK = PPS_FPGA; + PORT_PPS.PPS_FPGA_CTRL = ( PORT_PPS.PPS_FPGA_CTRL & ~PORT_ISC_gm ) | PORT_ISC_RISING_gc; +} + +void habilitar_interrupciones( uint8_t level_mask ) +{ + PMIC.CTRL |= level_mask; +} + +void habilitar_interrupciones_globales( void ) +{ + sei(); +} + +void deshabilitar_interrupciones_globales( void ) +{ + cli(); +} + +void envio_nibble(uint8_t fpga_dato) +{ + uint8_t temp_regin; + uint8_t temp_dato; + //fpga_dato = 0b100000000000100001110000; + //Nibble superior 7 a 4 + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORT_FPGA.OUTSET = CLK_FPGA; + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORT_FPGA.OUTCLR = CLK_FPGA; + //_delay_ms(100); + temp_regin = PORT_FPGA.OUTCLR; + temp_dato = fpga_dato & nibble_alto_bm; + //PORT_FPGA.OUT = (temp_regin & fpga_salidas_bm) | (temp_dato >> fpga_salidas_nibblealto_bp); + PORT_FPGA.OUT = (0b01001000); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORT_FPGA.OUTSET = CLK_FPGA; + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + //_delay_ms(200); + //Nibble inferior 3 a 0 + PORT_FPGA.OUTCLR = CLK_FPGA; + temp_regin = PORT_FPGA.OUTCLR; + temp_dato = fpga_dato & nibble_bajo_bm; + //_delay_ms(100); + //PORT_FPGA.OUT = (temp_regin & fpga_salidas_bm) | (temp_dato << fpga_salidas_nibblebajo_bp); + PORT_FPGA.OUT = (0b01100000); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORT_FPGA.OUTSET = CLK_FPGA; + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + //_delay_ms(200); +} + +void envio_datos_fpga(void) +{ + uint8_t fpga_dato[ADC_DATASZ] ; + //uint8_t aux_dato[ADC_DATASZ] ; + + adcport_read_data(fpga_dato,ADC_DATASZ); + //adcport_read_data_synconv(fpga_dato,ADC_DATASZ); + //adcport_read_data_contread(fpga_dato,ADC_DATASZ); + //aux_dato[0] = fpga_dato[0]; + //aux_dato[1] = fpga_dato[1]; + //aux_dato[2] = fpga_dato[2]; + //adcport_read_data(fpga_dato,ADC_DATASZ); + + //envio_dato_adc(aux_dato); + envio_dato_adc(fpga_dato); +} + +void envio_dato_adc(uint8_t* dato_adc) +{ + //fpga_dato = 0b100000000000100001110000; + //dato_adc[2] = 0b11111101; + //dato_adc[1] = 0b11111110; + //dato_adc[0] = 0b11111110; + //dato_adc[2] = 0b11111110; + //dato_adc[1] = 0b11111111; + //dato_adc[0] = 0b11111110; + for(int i=0; i +#include +#include "ADC_7176_2.h" + +//Port B +#define PORT_PPS PORTB +#define PPS_FPGA PIN2_bm +#define PPS_FPGA_CTRL PIN2CTRL +#define PPS_FPGA_INTFLAGS INTFLAGS +#define INT_PPS PORTB_INT1_vect + +//Port A +#define PORT_LOCKOUT PORTA +#define LOCK_OUT PIN0_bm + + +//Port D +#define PORT_FPGA PORTD +#define LOCK_FPGA PIN1_bm +#define CLK_FPGA PIN2_bm +#define CH_BIT0_FPGA PIN3_bm +#define CH_BIT1_FPGA PIN4_bm +#define CH_BIT2_FPGA PIN5_bm +#define CH_BIT3_FPGA PIN6_bm +#define INT_LOCK_FPGA PORTD_INT0_vect + +#define LOCK_FPGA_CTRL PIN1CTRL +#define CLK_FPGA_CTRL PIN2CTRL +#define CH_BIT0_FPGA_CTRL PIN3CTRL +#define CH_BIT1_FPGA_CTRL PIN4CTRL +#define CH_BIT2_FPGA_CTRL PIN5CTRL +#define CH_BIT3_FPGA_CTRL PIN6CTRL + +void config_fpgaport(); +void habilitar_interrupciones( uint8_t level_mask ); +void habilitar_interrupciones_globales( ); +void deshabilitar_interrupciones_globales( ); + +//Habilitar +#define hab_prioridad_alta PMIC_HILVLEN_bm +#define hab_prioridad_media PMIC_MEDLVLEN_bm +#define hab_prioridad_baja PMIC_LOLVLEN_bm + +//Máscara de nibbles +#define nibble_alto_bm 0xF0 +#define nibble_bajo_bm 0x0F +#define fpga_salidas_nibblealto_bp 1 +#define fpga_salidas_nibblebajo_bp 3 +#define fpga_salidas_bm 0x87 + +//funciones de envío de datos +void envio_nibble(uint8_t fpga_dato); + +//funcion interrupcion por flanco de subida de RDY +void envio_datos_fpga(void); +void envio_dato_adc(uint8_t* dato_adc); + +#endif /* FPGA_PORT_H_ */ \ No newline at end of file Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/main.c =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/main.c b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/main.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/main.c (revision 224) @@ -0,0 +1,149 @@ +/* + * ADCSPI_v01.c + * + * Created: 26/04/16 11:26:57 + * Author: Francisco + */ + +#define F_CPU 32000000UL +#include +#include "Ports.h" +//#include "commSPI_ADC.h" +#include "sys_clock.h" +#include "ADC_7176_2.h" +#include "fpga_port.h" +#include + +int aux = 0; +int main(void) +{ + //uint8_t datos_adc[3]; + config_puertos(); + config_sysclock(); + config_spiparm(); + config_fpgaport(); + config_adc(); + //datos_adc[0] = 0x00; + //datos_adc[1] = 0x00; + //datos_adc[2] = 0x00; + //PORTD.OUTSET = PIN5_bm; + + PMIC.CTRL = hab_prioridad_alta; + habilitar_interrupciones_globales(); + + /* Replace with your application code */ + //adcport_write_adcmode_2(); + while (1) + { + //PORTSPI.OUTCLR = SPI_SS_bm; + //if (adcport_read_filtcon0() == ADC_VALFIL0){ + // PORTD.OUTSET = PIN6_bm | PIN5_bm; + // adcport_write_adcmode_2(); + //while(1){ + // PORTSPI.OUTCLR = SPI_SS_bm; + //} + //} + //else{ + // PORTD.OUTCLR = PIN6_bm | PIN5_bm; + //} + //PORTD.OUTSET = PIN2_bm; + //_delay_ms(1000); + //PORTD.OUTCLR = PIN2_bm; + //_delay_ms(1000); + //if (test_adc() == ADC_ID){ + // PORTD.OUTSET = PIN6_bm | PIN5_bm; + // _delay_ms(1000); + //} + + //else{ + // PORTD.OUTCLR = PIN6_bm | PIN5_bm; + //} + //_delay_ms(10); + //test_adc_2(datos_adc); + //if (((datos_adc[0]<<16)+(datos_adc[1]<<8)+(datos_adc[2]<<0))!= 0x00){ + //PORTD.OUTSET = PIN4_bm; + //} + } + return 0; +} + + +ISR(INT_LOCK_FPGA) +{ + if((PORT_FPGA.IN & LOCK_FPGA) == LOCK_FPGA) + { + PORTSPI.SPI_INTFLAGS =0b00000001; + PORT_PPS.PPS_FPGA_INTFLAGS =0b00000010; + PMIC.CTRL |= hab_prioridad_media; + PORT_LOCKOUT.OUTSET = LOCK_OUT; + //PORTD.OUTSET = PIN4_bm; + } + else + { + PMIC.CTRL &= ~hab_prioridad_media; + PMIC.CTRL &= ~hab_prioridad_baja; + PORT_LOCKOUT.OUTCLR = LOCK_OUT; + //PORTSPI.OUTSET = SPI_SS_bm; + //PORTD.OUTCLR = PIN4_bm; + } +} + +//Interrupcion por flanco de subida de PPS +//Habilito interrupciones de prioridad baja +//Selecciono el chip del ADC para iniciar comunicación. +//Al iniciar esta comunicación se indicará al ADC que use el RDYbar +//Esta será nuestra interrupción para lectura del ADC y envío de dato a la FPGA +ISR(INT_PPS) +{ + PMIC.CTRL &= ~hab_prioridad_baja; + PORTSPI.SPI_INTFLAGS =0b00000001; + PORTSPI.SPI_INTFLAGS =0b00000001; + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + aux = 0; + //if(aux==0) + PMIC.CTRL |= hab_prioridad_baja; + PORTSPI.SPI_INTFLAGS =0b00000001; + PORTSPI.OUTSET = SPI_SS_bm; + asm("nop"); + PORT_FPGA.OUTSET = CLK_FPGA; + //PORT_FPGA.OUT = (0b01111000); + asm("nop"); + + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + asm("nop"); + PORTSPI.OUTCLR = SPI_SS_bm; + + _delay_us(10); + PORTSPI.SPI_INTFLAGS =0b00000001; + //envio_datos_fpga(); + //PORTSPI.OUTSET = SPI_SS_bm; + +} + +ISR(INT_RDY) +{ + //PMIC.CTRL &= ~hab_prioridad_media; + //PMIC.CTRL &= ~hab_prioridad_alta; + if(aux < 20000){ + envio_datos_fpga(); + aux = aux+1; + } + //PORTSPI.OUTCLR = SPI_SS_bm; + //adcport_read_filtcon0(); + //PMIC.CTRL |= hab_prioridad_media; + //PMIC.CTRL |= hab_prioridad_alta; + PORTSPI.SPI_INTFLAGS =0b00000001; +} Index: trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/sys_clock.c =================================================================== diff --git a/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/sys_clock.c b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/sys_clock.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Acquisition/32d4_ADCSPI_v01/ADCSPI_v01/ADCSPI_v01/sys_clock.c (revision 224) @@ -0,0 +1,51 @@ +/* + * sys_clock.c + * + * Created: 26/04/16 11:32:16 + * Author: Francisco + */ +/*! + * \fn config_sysclock + * \brief Configuración del reloj interno del sistema + * Reloj interno + * fsys = 32MHz + * + * En nuestra aplicación final el reloj será externo de 16MHz por lo que se tendrá que usar el PLL + * \ +*/ + +#define F_CPU 32000000UL +#include + +void config_sysclock(void){ + +CLK_PSCTRL = ((0< + +void config_sysclock(void); + + + +#endif /* SYS_CLOCK_H_ */ \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.lso =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.lso b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.lso new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.lso (revision 224) @@ -0,0 +1 @@ +work Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.prj =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.prj b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.prj new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.prj (revision 224) @@ -0,0 +1 @@ +vhdl work "DCM_fwd_int.vhd" Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.stx =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.stx b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.stx new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.stx (revision 224) @@ -0,0 +1,57 @@ +Release 14.7 - xst P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +--> Parameter TMPDIR set to C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/xst/projnav.tmp + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.11 secs + +--> Parameter xsthdpdir set to C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/xst + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.12 secs + +--> Reading design: DCM_fwd_int.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Parsing + 3) HDL Elaboration + 4) HDL Synthesis + 4.1) HDL Synthesis Report + 5) Advanced HDL Synthesis + 5.1) Advanced HDL Synthesis Report + 6) Low Level Synthesis + 7) Partition Report + 8) Design Summary + 8.1) Primitive and Black Box Usage + 8.2) Device utilization summary + 8.3) Partition Resource Summary + 8.4) Timing Report + 8.4.1) Clock Information + 8.4.2) Asynchronous Control Signals Information + 8.4.3) Timing Summary + 8.4.4) Timing Details + 8.4.5) Cross Clock Domains Report + + +========================================================================= +* HDL Parsing * +========================================================================= +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\DCM_fwd_int.vhd" into library work +Parsing entity . +Parsing architecture of entity . + + +Total REAL time to Xst completion: 5.00 secs +Total CPU time to Xst completion: 5.00 secs + +--> + +Total memory usage is 184976 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 0 ( 0 filtered) +Number of infos : 0 ( 0 filtered) + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.vhd (revision 224) @@ -0,0 +1,160 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 17:23:06 02/16/2016 +-- Design Name: +-- Module Name: DCM_fwd_int - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity DCM_fwd_int is +PORT( + clk_main_io: IN std_logic; + c_16MHz_io: OUT std_logic; + c_200MHz_in: OUT std_logic +); +end DCM_fwd_int; + +architecture Behavioral of DCM_fwd_int is +SIGNAL clk_main: std_logic; +SIGNAL c_16MHz: std_logic; + +SIGNAL clk_fb_aux: std_logic; +SIGNAL clk_fb_aux_200MHz: std_logic; + +SIGNAL c_16MHz_inv: std_logic; + +begin + +c_16MHz_inv <= NOT(c_16MHz); + +ODDR2_inst : ODDR2 + generic map( + DDR_ALIGNMENT => "NONE", -- Sets output alignment to "NONE", "C0", "C1" + INIT => '0', -- Sets initial state of the Q output to '0' or '1' + SRTYPE => "SYNC") -- Specifies "SYNC" or "ASYNC" set/reset + port map ( + Q => c_16MHz_io, -- 1-bit output data + C0 => c_16MHz, -- 1-bit clock input + C1 => c_16MHz_inv, -- 1-bit clock input + CE => '1', -- 1-bit clock enable input + D0 => '0', -- 1-bit data input (associated with C0) + D1 => '1', -- 1-bit data input (associated with C1) + R => '0', -- 1-bit reset input + S => '0' -- 1-bit set input + ); + +--IBUFG_inst : IBUFG +-- generic map ( +-- IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards +-- IOSTANDARD => "DEFAULT") +-- port map ( +-- O => clk_main, -- Clock buffer output +-- I => clk_main_io -- Clock buffer input (connect directly to top-level port) +-- ); + +DCM_SP_inst : DCM_SP + generic map ( + CLKDV_DIVIDE => 2.0, -- CLKDV divide value + -- (1.5,2,2.5,3,3.5,4,4.5,5,5.5,6,6.5,7,7.5,8,9,10,11,12,13,14,15,16). + CLKFX_DIVIDE => 15, -- Divide value on CLKFX outputs - D - (1-32) + CLKFX_MULTIPLY => 4, -- Multiply value on CLKFX outputs - M - (2-32) + CLKIN_DIVIDE_BY_2 => FALSE, -- CLKIN divide by two (TRUE/FALSE) + CLKIN_PERIOD => 16.667, -- Input clock period specified in nS + CLKOUT_PHASE_SHIFT => "NONE", -- Output phase shift (NONE, FIXED, VARIABLE) + CLK_FEEDBACK => "1X", -- Feedback source (NONE, 1X, 2X) + DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SYSTEM_SYNCHRNOUS or SOURCE_SYNCHRONOUS + DFS_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value + DLL_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value + DSS_MODE => "NONE", -- Unsupported - Do not change value + DUTY_CYCLE_CORRECTION => TRUE, -- Unsupported - Do not change value + FACTORY_JF => X"c080", -- Unsupported - Do not change value + PHASE_SHIFT => 0, -- Amount of fixed phase shift (-255 to 255) + STARTUP_WAIT => FALSE -- Delay config DONE until DCM_SP LOCKED (TRUE/FALSE) + ) + port map ( + CLK0 => clk_fb_aux, -- 1-bit output: 0 degree clock output + CLK180 => open, -- 1-bit output: 180 degree clock output + CLK270 => open, -- 1-bit output: 270 degree clock output + CLK2X => open, -- 1-bit output: 2X clock frequency clock output + CLK2X180 => open, -- 1-bit output: 2X clock frequency, 180 degree clock output + CLK90 => open, -- 1-bit output: 90 degree clock output + CLKDV => open, -- 1-bit output: Divided clock output + CLKFX => c_16MHz, -- 1-bit output: Digital Frequency Synthesizer output (DFS) + CLKFX180 => open, -- 1-bit output: 180 degree CLKFX output + LOCKED => open, -- 1-bit output: DCM_SP Lock Output + PSDONE => open, -- 1-bit output: Phase shift done output + STATUS => open, -- 8-bit output: DCM_SP status output + CLKFB => clk_fb_aux, -- 1-bit input: Clock feedback input + CLKIN => clk_main_io, -- 1-bit input: Clock input + DSSEN => '0', -- 1-bit input: Unsupported, specify to GND. + PSCLK => '1', -- 1-bit input: Phase shift clock input + PSEN => '0', -- 1-bit input: Phase shift enable + PSINCDEC => '0', -- 1-bit input: Phase shift increment/decrement input + RST => '0' -- 1-bit input: Active high reset input + ); + +DCM_SP_inst_int : DCM_SP + generic map ( + CLKDV_DIVIDE => 2.0, -- CLKDV divide value + -- (1.5,2,2.5,3,3.5,4,4.5,5,5.5,6,6.5,7,7.5,8,9,10,11,12,13,14,15,16). + CLKFX_DIVIDE => 1, -- Divide value on CLKFX outputs - D - (1-32) + CLKFX_MULTIPLY => 4, -- Multiply value on CLKFX outputs - M - (2-32) + CLKIN_DIVIDE_BY_2 => FALSE, -- CLKIN divide by two (TRUE/FALSE) + CLKIN_PERIOD => 16.667, -- Input clock period specified in nS + CLKOUT_PHASE_SHIFT => "NONE", -- Output phase shift (NONE, FIXED, VARIABLE) + CLK_FEEDBACK => "1X", -- Feedback source (NONE, 1X, 2X) + DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SYSTEM_SYNCHRNOUS or SOURCE_SYNCHRONOUS + DFS_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value + DLL_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value + DSS_MODE => "NONE", -- Unsupported - Do not change value + DUTY_CYCLE_CORRECTION => TRUE, -- Unsupported - Do not change value + FACTORY_JF => X"c080", -- Unsupported - Do not change value + PHASE_SHIFT => 0, -- Amount of fixed phase shift (-255 to 255) + STARTUP_WAIT => FALSE -- Delay config DONE until DCM_SP LOCKED (TRUE/FALSE) + ) + port map ( + CLK0 => clk_fb_aux_200MHz, -- 1-bit output: 0 degree clock output + CLK180 => open, -- 1-bit output: 180 degree clock output + CLK270 => open, -- 1-bit output: 270 degree clock output + CLK2X => open, -- 1-bit output: 2X clock frequency clock output + CLK2X180 => open, -- 1-bit output: 2X clock frequency, 180 degree clock output + CLK90 => open, -- 1-bit output: 90 degree clock output + CLKDV => open, -- 1-bit output: Divided clock output + CLKFX => c_200MHz_in, -- 1-bit output: Digital Frequency Synthesizer output (DFS) + CLKFX180 => open, -- 1-bit output: 180 degree CLKFX output + LOCKED => open, -- 1-bit output: DCM_SP Lock Output + PSDONE => open, -- 1-bit output: Phase shift done output + STATUS => open, -- 8-bit output: DCM_SP status output + CLKFB => clk_fb_aux_200MHz, -- 1-bit input: Clock feedback input + CLKIN => clk_main_io, -- 1-bit input: Clock input + DSSEN => '0', -- 1-bit input: Unsupported, specify to GND. + PSCLK => '1', -- 1-bit input: Phase shift clock input + PSEN => '0', -- 1-bit input: Phase shift enable + PSINCDEC => '0', -- 1-bit input: Phase shift increment/decrement input + RST => '0' -- 1-bit input: Active high reset input + ); + +end Behavioral; \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.xst =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.xst b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.xst new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/DCM_fwd_int.xst (revision 224) @@ -0,0 +1,50 @@ +set -tmpdir "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/xst/projnav.tmp" +set -xsthdpdir "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/xst" +run -compileonly yes +-p xc6slx9-3-tqg144 +-top DCM_fwd_int +-opt_mode Speed +-opt_level 1 +-power NO +-iuc NO +-keep_hierarchy No +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-dsp_utilization_ratio 100 +-lc Auto +-reduce_control_sets Auto +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-shreg_extract YES +-rom_style Auto +-auto_bram_packing NO +-resource_sharing YES +-async_to_sync NO +-shreg_min_size 2 +-use_dsp48 Auto +-iobuf YES +-max_fanout 100000 +-bufg 16 +-register_duplication YES +-register_balancing No +-optimize_primitives NO +-use_clock_enable Auto +-use_sync_set Auto +-use_sync_reset Auto +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 +-ifn DCM_fwd_int.prj Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/SEL_WRbar.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/SEL_WRbar.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/SEL_WRbar.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/SEL_WRbar.vhd (revision 224) @@ -0,0 +1,54 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 10:45:36 05/16/2016 +-- Design Name: +-- Module Name: SEL_OEbar - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity SEL_WRbar is +GENERIC(RESUL_IOSEL_SZ: INTEGER :=16 + ); + +PORT( + DATA_W : IN std_logic_vector((RESUL_IOSEL_SZ-1) downto 0); + DATA_R : OUT std_logic_vector((RESUL_IOSEL_SZ-1) downto 0); + OEbar: IN std_logic; + DATA_INOUT: INOUT std_logic_vector((RESUL_IOSEL_SZ-1) downto 0) +); +end SEL_WRbar; + +architecture Behavioral of SEL_WRbar is + +begin + +DATA_INOUT <= DATA_W WHEN OEbar = '1' ELSE + (others => 'Z'); + +DATA_R <= DATA_INOUT; + +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/SPI_SLAVE.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/SPI_SLAVE.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/SPI_SLAVE.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/SPI_SLAVE.vhd (revision 224) @@ -0,0 +1,290 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 19:55:49 05/06/2016 +-- Design Name: +-- Module Name: spi_slave - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + + +entity SPI_SLAVE is +GENERIC( + SPI_DATA_WIDTH: INTEGER :=8; + SPI_BIT_COUNT_WIDTH: INTEGER :=3 +); + +PORT ( + MOSI : IN std_logic; + SCK : IN std_logic; + CS : IN std_logic; + data_in : IN std_logic_vector (SPI_DATA_WIDTH-1 downto 0); + clk_main : IN std_logic; + rst_bar : IN std_logic; + MISO : OUT std_logic; + data_out : OUT std_logic_vector (SPI_DATA_WIDTH-1 downto 0); + spi_ready : OUT std_logic; + + spi_free: OUT std_logic + --spi_ld_8_in: IN STD_LOGIC; + + --spi_req : OUT std_logic + + +); +end SPI_SLAVE; + +architecture Behavioral of SPI_SLAVE is +SIGNAL SCKr : std_logic_vector (1 downto 0) :=( OTHERS => '0'); +SIGNAL CSr : std_logic_vector (1 downto 0) :=( OTHERS => '0'); +SIGNAL MOSIr : std_logic_vector (1 downto 0) :=( OTHERS => '0'); +SIGNAL SCK_rise : std_logic := '0'; +SIGNAL SCK_fall : std_logic := '0'; +--SIGNAL CS_fall : std_logic := '0'; + +SIGNAL bit_counter : std_logic_vector (SPI_BIT_COUNT_WIDTH-1 downto 0) :=( OTHERS => '0'); +SIGNAL buffer_in : std_logic_vector (SPI_DATA_WIDTH-1 downto 0) :=( OTHERS => '0'); +SIGNAL buffer_out : std_logic_vector (SPI_DATA_WIDTH-1 downto 0) :=( OTHERS => '0'); + +TYPE spi_states IS ( + idle, + free, + busy + ); +SIGNAL spi_cur_state: spi_states := free; +SIGNAL spi_next_state: spi_states := free; +SIGNAL spi_ready_aux: std_logic := '0'; +--SIGNAL spi_req_aux: std_logic := '0'; + +SIGNAL MISO_int: std_logic :='1'; +begin + +-- Shifting the SCK line for synchronization purposes + SCK_shift_register: process (clk_main) + --VARIABLE SCKr : std_logic_vector (2 downto 0) :=( OTHERS => '0'); + begin + if rising_edge (clk_main) then + if rst_bar = '0' then + SCKr <= (others => '1'); + else + SCKr <= SCKr(0) & SCK; + end if; + end if; + end process; + + -- Shifting the SCK line for edge detection + SCK_edge_det: process (clk_main) + --VARIABLE SCKr : std_logic_vector (2 downto 0) :=( OTHERS => '0'); + begin + if rising_edge (clk_main) then + if rst_bar = '0' then + SCK_rise <= '0'; + SCK_fall <= '0'; + else + IF (SCKr(1 downto 0) = "01") THEN + SCK_rise <= '1'; + ELSE + SCK_rise <= '0'; + END IF; + + IF (SCKr(1 downto 0) = "10") THEN + SCK_fall <= '1'; + ELSE + SCK_fall <= '0'; + END IF; + end if; + end if; + end process; + + -- Shifting the CS line for synchronization purposes and edge detection + CS_shift_register: process (clk_main) + begin + if rising_edge (clk_main) then + if rst_bar = '0' then + --CSr <= (others => '0'); + else + CSr <= CSr(0) & CS; + end if; + end if; + end process; + + -- Shifting the MOSI line for synchronization purposes + MOSI_shift_register: process (clk_main) + begin + if rising_edge (clk_main) then + if rst_bar = '0' then + MOSIr <= (others => '0'); + else + MOSIr <= MOSIr(0) & MOSI; + end if; + end if; + end process; + + receive_process: process (clk_main) + begin + if rising_edge (clk_main) then + if rst_bar = '0' then + bit_counter <= (others => '0'); + buffer_in <= (others => '0'); + spi_ready_aux <= '0'; + --spi_req_aux <= '0'; + else + if (CSr(1) = '1') then + bit_counter <= (others => '0'); + elsif (SCK_rise = '1') then + bit_counter <= std_logic_vector(unsigned(bit_counter) + 1); + buffer_in <= buffer_in(SPI_DATA_WIDTH-2 downto 0) & MOSIr(1); + IF((bit_counter = "111")) THEN + bit_counter <= (others => '0'); + END IF; + end if; + + IF((bit_counter = "111")) THEN + + IF(CSr(1) = '0') THEN + IF (SCK_rise = '1')THEN + spi_ready_aux <= '1'; + ELSE + spi_ready_aux <= '0'; + END IF; + ELSE + spi_ready_aux <= '0'; + END IF; + ELSE + spi_ready_aux <= '0'; + END IF; + + --IF((bit_counter = "000")) THEN + + --IF(CSr(1) = '0') THEN + -- IF (SCK_fall = '1')THEN + -- spi_req_aux <= '1'; + -- ELSE + -- spi_req_aux <= '0'; + -- END IF; + --ELSE + -- spi_req_aux <= '0'; + --END IF; + --ELSE + --spi_req_aux <= '0'; + --END IF; + end if; + end if; + end process; + + spi_ready <= spi_ready_aux; + --spi_req <= spi_req_aux; + + transmit_process: process (clk_main) + begin + if rising_edge (clk_main) then + if rst_bar = '0' then + buffer_out <= (others => '0'); + elsif (CSr(1) = '0') then + + if ((bit_counter = "000")) then + --IF (SCK_fall = '1') THEN + buffer_out <= data_in; + --buffer_out <= "01000000"; + --end if; + elsif (SCK_fall = '1') then + --if((bit_counter /= "000")) then + buffer_out <= buffer_out(6 downto 0) & '0'; + --buffer_out <= "10000000"; + end if; + --end if; + end if; + end if; + end process; + + --MISO_asignacion: PROCESS(clk_main) + -- BEGIN + -- IF(rising_edge(clk_main)) THEN + -- MISO_int <=buffer_out(7); + -- END iF; + -- END PROCESS; + + MISO <= buffer_out(7); + + data_out <= buffer_in; + + ----------------------------------- + + cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + spi_cur_state <= idle; + ELSE + spi_cur_state <= spi_next_state; + END IF; + END IF; + END PROCESS; + + salidas_estados: PROCESS(spi_cur_state,spi_ready_aux,bit_counter, + --spi_req_aux, + SCK_rise) + BEGIN + CASE spi_cur_state IS + WHEN idle => + spi_free <= '0'; --spi atendido por spi_controller + spi_next_state <= free; + + + WHEN free => + spi_free <= '1'; --spi atendido por spi_controller + IF (SCK_rise = '1') THEN + spi_next_state <= busy; + ELSE + spi_next_state <= free; + END IF; + + WHEN busy => + spi_free <= '0'; + IF (spi_ready_aux = '1') THEN + spi_next_state <= free; + ELSE + spi_next_state <= busy; + END IF; + + WHEN OTHERS => + spi_free <= '0'; + spi_next_state <= idle; + + END CASE; + END PROCESS; +end Behavioral; \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/W_R_RAM_CONTROLLER.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/W_R_RAM_CONTROLLER.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/W_R_RAM_CONTROLLER.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/W_R_RAM_CONTROLLER.vhd (revision 224) @@ -0,0 +1,1751 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 17:26:56 05/12/2016 +-- Design Name: +-- Module Name: W_R_RAM_CONTROLLER - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity W_R_RAM_CONTROLLER is +GENERIC( + ADDR_LENGTH: INTEGER := 19; + CHAN_NUM: INTEGER := 5 + +); + +PORT( + --Reset general + rst_bar: IN std_logic; + + --Entrada de reloj principal + clk_main: IN std_logic; + + --Entradas para indicar dato preparado por leer + --Desde los nibble to packets + wr_ch_vector: IN std_logic_vector((CHAN_NUM-1) downto 0); + + --Senhal para que indica que termino con una peticion + --Hacia los nibble to packets + ack_ch_vector: OUT std_logic_vector((CHAN_NUM-1) downto 0); + + --Senhal de requerimiento de lectura de dato + --Desde el SPI_Controller + rd_req: IN std_logic; + --Hacia el SPI_Controller + ld_ram: OUT std_logic; + + --Senhales de control de memoria RAM + we_bar: OUT std_logic; + ub_bar: OUT std_logic; + lb_bar: OUT std_logic; + cs1_bar: OUT std_logic; + cs2: OUT std_logic; + oe_ram_bar: OUT std_logic; + + --Selector de canal de salida hacia RAM + --Hacia el multiplexor + oe_ch_vector: OUT std_logic_vector((CHAN_NUM-1) downto 0); + hb_lbbar: OUT std_logic; + + --Direccion de escritura/lectura + addr: OUT std_logic_vector((ADDR_LENGTH-1) downto 0) +); + +end W_R_RAM_CONTROLLER; + +architecture Behavioral of W_R_RAM_CONTROLLER is +--Estados del controlador de memoria +--Incluye el estado de espera, proceso de borrado, espera de "listos" para llenado de memoria +--espera de pedido y estados para proceder a una lectura +TYPE ram_cont_states IS (idle, + set_erase_ram, act_erase_ram,exe_erase_ram, + ------------------------------------------- + ------------------------------------------- + espera_rdy, + ------------------------------------------ + set_wrt_ch1_1, act_wrt_ch1_1,exe_wrt_ch1_1, + set_wrt_ch1_2, act_wrt_ch1_2,exe_wrt_ch1_2, + ------------------------------------------ + set_wrt_ch2_1, act_wrt_ch2_1,exe_wrt_ch2_1, + set_wrt_ch2_2, act_wrt_ch2_2,exe_wrt_ch2_2, + ------------------------------------------ + set_wrt_ch3_1, act_wrt_ch3_1,exe_wrt_ch3_1, + set_wrt_ch3_2, act_wrt_ch3_2,exe_wrt_ch3_2, + ------------------------------------------ + set_wrt_ch4_1, act_wrt_ch4_1,exe_wrt_ch4_1, + set_wrt_ch4_2, act_wrt_ch4_2,exe_wrt_ch4_2, + ------------------------------------------ + set_wrt_ch5_1, act_wrt_ch5_1,exe_wrt_ch5_1, + set_wrt_ch5_2, act_wrt_ch5_2,exe_wrt_ch5_2, + ------------------------------------------- + end_wrt_cycle_1,end_wrt_cycle_2, + ------------------------------------------- + ------------------------------------------- + espera_req, + set_rd, act_rd,exe_rd,end_rd + ); + +SIGNAL ram_contr_cur_state: ram_cont_states := idle; +SIGNAL ram_contr_next_state: ram_cont_states := idle; + +--Constante del tamanho maximo por canal +CONSTANT TOP_GL: std_logic_vector((ADDR_LENGTH-1) downto 0) := "0000000100111000011"; --5000 bytes maximo direcciones /2=2500 = 1001 1100 0100. Se coloca el -1 +CONSTANT TOP_GL_2: std_logic_vector(11 downto 0) := "100111000011"; +CONSTANT TOP_CH: std_logic_vector((ADDR_LENGTH-1) downto 0) := "0000000000111110011"; --500 "010 1010 1010 1010 1010" se coloca el -1 +CONSTANT TOP_CH_2: std_logic_vector(8 downto 0) := "111110011"; + +--Direcciones base por canal +CONSTANT BASE_ADD_CH1: std_logic_vector((ADDR_LENGTH-1) downto 0) := (OTHERS=>'0'); +CONSTANT BASE_ADD_CH2: std_logic_vector((ADDR_LENGTH-1) downto 0) := "0000000000111110100"; --500 +CONSTANT BASE_ADD_CH3: std_logic_vector((ADDR_LENGTH-1) downto 0) := "0000000001111101000"; --1000 +CONSTANT BASE_ADD_CH4: std_logic_vector((ADDR_LENGTH-1) downto 0) := "0000000010111011100"; --1500 +CONSTANT BASE_ADD_CH5: std_logic_vector((ADDR_LENGTH-1) downto 0) := "0000000011111010000"; --2000 + +--Contador de número de ciclos de reloj en los estados de lectura-escritura +SIGNAL count_slw: std_logic_vector(3 downto 0) := "0000"; + +--Constantes de espera para el proceso de lectura-escritura +--Recordar para 200MHz->Periodo=5ns +--6ciclos=30ns y 12ciclos=60ns +--Para el 62WV51216BLL-55T los tiempos para preparar y escribir dato son: 20ns y 55ns resp. +CONSTANT ramwait_const1: std_logic_vector(3 downto 0) := "1000"; --3 6 +CONSTANT ramwait_const2: std_logic_vector(3 downto 0) := "1111"; --6 12 + +--Punteros de direccion para escritura por cada espacio de memoria asignado a canal +SIGNAL rel_pos_ch1: std_logic_vector((ADDR_LENGTH-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch1_int: std_logic_vector(8 downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch2: std_logic_vector((ADDR_LENGTH-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch2_int: std_logic_vector(8 downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch3: std_logic_vector((ADDR_LENGTH-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch3_int: std_logic_vector(8 downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch4: std_logic_vector((ADDR_LENGTH-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch4_int: std_logic_vector(8 downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch5: std_logic_vector((ADDR_LENGTH-1) downto 0) :=(OTHERS=>'0'); +SIGNAL rel_pos_ch5_int: std_logic_vector(8 downto 0) :=(OTHERS=>'0'); + +--Senhal auxiliar de direccion a memoria para escritura +SIGNAL addr_wr_aux: std_logic_vector((ADDR_LENGTH-1) downto 0) :=(OTHERS=>'0'); + +--Senhal auxiliar de direccion a memoria para lectura +SIGNAL addr_rd_aux: std_logic_vector((ADDR_LENGTH-1) downto 0) :=(OTHERS=>'0'); +SIGNAL addr_rd_aux_int: std_logic_vector(11 downto 0) :=(OTHERS=>'0'); + +--Senhal auxiliar de direccion a memoria para borrado +SIGNAL addr_erase_aux: std_logic_vector((ADDR_LENGTH-1) downto 0) :=(OTHERS=>'0'); +SIGNAL addr_erase_aux_int: std_logic_vector(11 downto 0) :=(OTHERS=>'0'); + +--Estados de la atencion a ready's +-- +TYPE chn_cont_states IS ( idle, + checking_ch1, attending_ch1, + checking_ch2, attending_ch2, + checking_ch3, attending_ch3, + checking_ch4, attending_ch4, + checking_ch5, attending_ch5 + ); + +SIGNAL ch_cont_cur_state: chn_cont_states := idle; +SIGNAL ch_cont_next_state: chn_cont_states := idle; + +--Senhal de reset interna formada de rst_bar y pps_r +--SIGNAL rst_bar_int: std_logic :='0'; + +--Registro para detección de flanco +--SIGNAL pps_r: std_logic_vector(1 downto 0) :="00"; +--SIGNAL pps_rst: std_logic :='0'; + +begin + + +--Deteccion de flanco de pps +--pps_bloco: PROCESS(clk_main) +-- BEGIN +-- IF (rising_edge(clk_main)) THEN +-- IF(rst_bar = '0') THEN +-- pps_rst <= '0'; +-- pps_r <= (OTHERS=>'0'); +-- pps_rst <= '0'; +-- ELSE +-- pps_r <= pps_r(0) & pps; +-- IF(pps_r(1 downto 0) = "01") THEN +-- pps_rst <= '0'; +-- ELSE +-- pps_rst <= '1'; +-- END IF; +-- END IF; +-- END IF; +-- END PROCESS; + +--Generacion de reset a partir del resetbar y flanco de subida del pps +--rst_bar_int <= rst_bar AND (pps_rst); + +--------------------- +--Estados para atencion de canales +ch_cont_cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + ch_cont_cur_state <= idle; + ELSE + ch_cont_cur_state <= ch_cont_next_state; + END IF; + END IF; + END PROCESS; + +ch_salidas_estados: PROCESS(ch_cont_cur_state, + wr_ch_vector, + ram_contr_cur_state + ) + BEGIN + + CASE ch_cont_cur_state IS + WHEN idle => + ch_cont_next_state <= checking_ch1; + + WHEN checking_ch1 => + IF(wr_ch_vector(0) = '1') THEN + ch_cont_next_state <= attending_ch1; + ELSE + ch_cont_next_state <= checking_ch2; + END IF; + + WHEN attending_ch1 => + IF(ram_contr_cur_state = end_wrt_cycle_2) THEN + ch_cont_next_state <= checking_ch2; + ELSE + ch_cont_next_state <= attending_ch1; + END IF; + + WHEN checking_ch2 => + IF(wr_ch_vector(1) = '1') THEN + ch_cont_next_state <= attending_ch2; + ELSE + ch_cont_next_state <= checking_ch3; + END IF; + + WHEN attending_ch2 => + IF(ram_contr_cur_state = end_wrt_cycle_2) THEN + ch_cont_next_state <= checking_ch3; + ELSE + ch_cont_next_state <= attending_ch2; + END IF; + + WHEN checking_ch3 => + IF(wr_ch_vector(2) = '1') THEN + ch_cont_next_state <= attending_ch3; + ELSE + ch_cont_next_state <= checking_ch4; + END IF; + + WHEN attending_ch3 => + IF(ram_contr_cur_state = end_wrt_cycle_2) THEN + ch_cont_next_state <= checking_ch4; + ELSE + ch_cont_next_state <= attending_ch3; + END IF; + + WHEN checking_ch4 => + IF(wr_ch_vector(3) = '1') THEN + ch_cont_next_state <= attending_ch4; + ELSE + ch_cont_next_state <= checking_ch5; + END IF; + + WHEN attending_ch4 => + IF(ram_contr_cur_state = end_wrt_cycle_2) THEN + ch_cont_next_state <= checking_ch5; + ELSE + ch_cont_next_state <= attending_ch4; + END IF; + + WHEN checking_ch5 => + IF(wr_ch_vector(4) = '1') THEN + ch_cont_next_state <= attending_ch5; + ELSE + ch_cont_next_state <= checking_ch1; + END IF; + + WHEN attending_ch5 => + IF(ram_contr_cur_state = end_wrt_cycle_2) THEN + ch_cont_next_state <= checking_ch1; + ELSE + ch_cont_next_state <= attending_ch5; + END IF; + + WHEN OTHERS => + + ch_cont_next_state <= idle; + + END CASE; + END PROCESS; + +----------------------------------------- +--Asignacion de direccion de escritura +asignacion_direccion_base_escritura: + PROCESS(ch_cont_cur_state,rel_pos_ch1,rel_pos_ch2,rel_pos_ch3,rel_pos_ch4,rel_pos_ch5) + BEGIN + CASE ch_cont_cur_state IS + WHEN idle => + addr_wr_aux <= (OTHERS => '0'); + WHEN attending_ch1 => + addr_wr_aux <= std_logic_vector(unsigned(BASE_ADD_CH1)+unsigned(rel_pos_ch1)); + WHEN attending_ch2 => + addr_wr_aux <= std_logic_vector(unsigned(BASE_ADD_CH2)+unsigned(rel_pos_ch2)); + WHEN attending_ch3 => + addr_wr_aux <= std_logic_vector(unsigned(BASE_ADD_CH3)+unsigned(rel_pos_ch3)); + WHEN attending_ch4 => + addr_wr_aux <= std_logic_vector(unsigned(BASE_ADD_CH4)+unsigned(rel_pos_ch4)); + WHEN attending_ch5 => + addr_wr_aux <= std_logic_vector(unsigned(BASE_ADD_CH5)+unsigned(rel_pos_ch5)); + WHEN OTHERS => + addr_wr_aux <= (OTHERS => '0'); + END CASE; + END PROCESS; + +--Asignacion de direccion de lectura +puntero_rd: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + addr_rd_aux_int <= (OTHERS=>'0'); + ELSE + IF(ram_contr_cur_state = idle) THEN + addr_rd_aux_int <= (OTHERS=>'0'); + END IF; + + --Cuentas de ram_ptr en proceso de lectura + IF(ram_contr_cur_state = end_rd) THEN + IF(addr_rd_aux_int = TOP_GL_2) THEN + addr_rd_aux_int <= addr_rd_aux_int; + ELSE + addr_rd_aux_int <= std_logic_vector(unsigned(addr_rd_aux_int) + 1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +addr_rd_aux <= "0000000" & addr_rd_aux_int; + +--Asignacion de direccion de borrado +puntero_erase: PROCESS(clk_main) + --VARIABLE var_addr_erase_aux: std_logic_vector(11 downto 0) :=(OTHERS => '0'); + BEGIN + IF (rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + addr_erase_aux_int <= (OTHERS=>'0'); + ELSE + IF(ram_contr_cur_state = idle) THEN + addr_erase_aux_int <= (OTHERS=>'0'); + END IF; + + --Cuentas de ram_ptr en proceso de borrado + IF(ram_contr_cur_state = exe_erase_ram) THEN + IF(addr_erase_aux_int = TOP_GL_2) THEN + addr_erase_aux_int <= (OTHERS=>'0'); + ELSE + addr_erase_aux_int <= std_logic_vector(unsigned(addr_erase_aux_int) + 1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +addr_erase_aux <= "0000000" & addr_erase_aux_int; + +--Asignación de salida addr_mem +addr_mem_asig: PROCESS(ram_contr_cur_state,addr_erase_aux,addr_rd_aux,addr_wr_aux) + BEGIN + CASE ram_contr_cur_state IS + WHEN idle | espera_rdy => + addr <= (OTHERS => '0'); + + WHEN set_erase_ram | act_erase_ram | exe_erase_ram => + addr <= addr_erase_aux; + + WHEN set_wrt_ch1_1 | act_wrt_ch1_1 | exe_wrt_ch1_1 | + set_wrt_ch1_2 | act_wrt_ch1_2 | exe_wrt_ch1_2 | + set_wrt_ch2_1 | act_wrt_ch2_1 | exe_wrt_ch2_1 | + set_wrt_ch2_2 | act_wrt_ch2_2 | exe_wrt_ch2_2 | + set_wrt_ch3_1 | act_wrt_ch3_1 | exe_wrt_ch3_1 | + set_wrt_ch3_2 | act_wrt_ch3_2 | exe_wrt_ch3_2 | + set_wrt_ch4_1 | act_wrt_ch4_1 | exe_wrt_ch4_1 | + set_wrt_ch4_2 | act_wrt_ch4_2 | exe_wrt_ch4_2 | + set_wrt_ch5_1 | act_wrt_ch5_1 | exe_wrt_ch5_1 | + set_wrt_ch5_2 | act_wrt_ch5_2 | exe_wrt_ch5_2 | + end_wrt_cycle_1 | end_wrt_cycle_2 => + addr <= addr_wr_aux; + + WHEN espera_req | set_rd | act_rd | exe_rd | end_rd => + addr <= addr_rd_aux; + + WHEN OTHERS => + addr <= (OTHERS => '0'); + END CASE; + + END PROCESS; + +--Avance de punteros de escritura +puntero_ch1: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + rel_pos_ch1_int <= (OTHERS=>'0'); + ELSE + IF(ram_contr_cur_state = idle) THEN + rel_pos_ch1_int <= (OTHERS=>'0'); + END IF; + + IF(ram_contr_cur_state = exe_wrt_ch1_1 OR ram_contr_cur_state = exe_wrt_ch1_2) THEN + IF(rel_pos_ch1_int /= TOP_CH_2) THEN + rel_pos_ch1_int <= std_logic_vector(unsigned(rel_pos_ch1_int) + 1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +rel_pos_ch1 <= "0000000000"& rel_pos_ch1_int; + +puntero_ch2: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + rel_pos_ch2_int <= (OTHERS=>'0'); + ELSE + IF(ram_contr_cur_state = idle) THEN + rel_pos_ch2_int <= (OTHERS=>'0'); + END IF; + + IF(ram_contr_cur_state = exe_wrt_ch2_1 OR ram_contr_cur_state = exe_wrt_ch2_2) THEN + IF(rel_pos_ch2_int /= TOP_CH_2) THEN + rel_pos_ch2_int <= std_logic_vector(unsigned(rel_pos_ch2_int) + 1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +rel_pos_ch2 <= "0000000000"& rel_pos_ch2_int; + +puntero_ch3: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + rel_pos_ch3_int <= (OTHERS=>'0'); + ELSE + IF(ram_contr_cur_state = idle) THEN + rel_pos_ch3_int <= (OTHERS=>'0'); + END IF; + + IF(ram_contr_cur_state = exe_wrt_ch3_1 OR ram_contr_cur_state = exe_wrt_ch3_2) THEN + IF(rel_pos_ch3_int /= TOP_CH_2) THEN + rel_pos_ch3_int <= std_logic_vector(unsigned(rel_pos_ch3_int) + 1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +rel_pos_ch3 <= "0000000000"& rel_pos_ch3_int; + +puntero_ch4: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + rel_pos_ch4_int <= (OTHERS=>'0'); + ELSE + IF(ram_contr_cur_state = idle) THEN + rel_pos_ch4_int <= (OTHERS=>'0'); + END IF; + + IF(ram_contr_cur_state = exe_wrt_ch4_1 OR ram_contr_cur_state = exe_wrt_ch4_2) THEN + IF(rel_pos_ch4_int /= TOP_CH_2) THEN + rel_pos_ch4_int <= std_logic_vector(unsigned(rel_pos_ch4_int) + 1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +rel_pos_ch4 <= "0000000000"& rel_pos_ch4_int; + +puntero_ch5: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + rel_pos_ch5_int <= (OTHERS=>'0'); + ELSE + IF(ram_contr_cur_state = idle) THEN + rel_pos_ch5_int <= (OTHERS=>'0'); + END IF; + + IF(ram_contr_cur_state = exe_wrt_ch5_1 OR ram_contr_cur_state = exe_wrt_ch5_2) THEN + IF(rel_pos_ch5_int /= TOP_CH_2) THEN + rel_pos_ch5_int <= std_logic_vector(unsigned(rel_pos_ch5_int) + 1); + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +rel_pos_ch5 <= "0000000000"& rel_pos_ch5_int; + +-------------------------------------------------- +--Estados de máquina principal para control de RAM + +ctrl_cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + ram_contr_cur_state <= idle; + ELSE + ram_contr_cur_state <= ram_contr_next_state; + END IF; + END IF; + END PROCESS; + +--Revisar si los estados de set, act y proc se pueden unificar. Al parecer los de set sí. +ctrl_salidas_estados: PROCESS(ram_contr_cur_state, + count_slw, rd_req, + ch_cont_cur_state, + addr_erase_aux, + addr_rd_aux, + rel_pos_ch1,rel_pos_ch2,rel_pos_ch3,rel_pos_ch4,rel_pos_ch5) + BEGIN + + CASE ram_contr_cur_state IS + WHEN idle => + + --Senhales hacia memoria RAM + UB_bar <= '1'; + LB_bar <= '1'; + CS1_bar <= '1'; + CS2 <= '0'; + WE_bar <= '1'; + OE_RAM_bar <= '1'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'1'); + + --Senhales hacia SPI Controller o Cache + ld_ram <= '0'; + + ------------------------------------------ + --Siguiente estado + ram_contr_next_state <= set_erase_ram; + + + WHEN set_erase_ram => + + --Senhales hacia memoria RAM + UB_bar <= '0'; + LB_bar <= '0'; + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_erase_ram; + ELSE + ram_contr_next_state <= set_erase_ram; + END IF; + + WHEN act_erase_ram => + + --Senhales hacia memoria RAM + UB_bar <= '0'; + LB_bar <= '0'; + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_erase_ram; + ELSE + ram_contr_next_state <= act_erase_ram; + END IF; + + WHEN exe_erase_ram => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (addr_erase_aux = TOP_GL) THEN + ram_contr_next_state <= espera_rdy; + ELSE + ram_contr_next_state <= set_erase_ram; + END IF; + + WHEN espera_rdy => + + --Senhales hacia memoria RAM + CS1_bar <= '1'; + CS2 <= '0'; + WE_bar <= '1'; + OE_RAM_bar <= '1'; + UB_bar <= '1'; + LB_bar <= '1'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + ------------------------------------------ + --Siguiente estado + IF(rd_req = '1') THEN + ram_contr_next_state <= set_rd; + ELSE + CASE ch_cont_cur_state IS + WHEN attending_ch1 => + ram_contr_next_state <= set_wrt_ch1_1; + WHEN attending_ch2 => + ram_contr_next_state <= set_wrt_ch2_1; + WHEN attending_ch3 => + ram_contr_next_state <= set_wrt_ch3_1; + WHEN attending_ch4 => + ram_contr_next_state <= set_wrt_ch4_1; + WHEN attending_ch5 => + ram_contr_next_state <= set_wrt_ch5_1; + WHEN OTHERS => + ram_contr_next_state <= espera_rdy; + END CASE; + END IF; + + --Inicio proceso de escritura en canal 1 + WHEN set_wrt_ch1_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00001";--(OTHERS=>'0'); + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch1_1; + ELSE + ram_contr_next_state <= set_wrt_ch1_1; + END IF; + + WHEN act_wrt_ch1_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00001"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch1_1; + ELSE + ram_contr_next_state <= act_wrt_ch1_1; + END IF; + + WHEN exe_wrt_ch1_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00001"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_1; + + --Inicio proceso de escritura en canal 1 + WHEN set_wrt_ch1_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00001";--(OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch1_2; + ELSE + ram_contr_next_state <= set_wrt_ch1_2; + END IF; + + WHEN act_wrt_ch1_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00001"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch1_2; + ELSE + ram_contr_next_state <= act_wrt_ch1_2; + END IF; + + WHEN exe_wrt_ch1_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00001"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= "00001"; + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_2; + + + --Inicio proceso de escritura en canal 2 + WHEN set_wrt_ch2_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00010";--(OTHERS=>'0'); + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch2_1; + ELSE + ram_contr_next_state <= set_wrt_ch2_1; + END IF; + + WHEN act_wrt_ch2_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00010"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch2_1; + ELSE + ram_contr_next_state <= act_wrt_ch2_1; + END IF; + + WHEN exe_wrt_ch2_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00010"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_1; + + --Inicio proceso de escritura en canal 2 + WHEN set_wrt_ch2_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00010";--(OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch2_2; + ELSE + ram_contr_next_state <= set_wrt_ch2_2; + END IF; + + WHEN act_wrt_ch2_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00010"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch2_2; + ELSE + ram_contr_next_state <= act_wrt_ch2_2; + END IF; + + WHEN exe_wrt_ch2_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00010"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= "00010"; + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_2; + + + --Inicio proceso de escritura en canal 3 + WHEN set_wrt_ch3_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00100";--(OTHERS=>'0'); + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch3_1; + ELSE + ram_contr_next_state <= set_wrt_ch3_1; + END IF; + + WHEN act_wrt_ch3_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00100"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS =>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch3_1; + ELSE + ram_contr_next_state <= act_wrt_ch3_1; + END IF; + + WHEN exe_wrt_ch3_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00100"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_1; + + --Inicio proceso de escritura en canal 3 + WHEN set_wrt_ch3_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00100";--(OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch3_2; + ELSE + ram_contr_next_state <= set_wrt_ch3_2; + END IF; + + WHEN act_wrt_ch3_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00100"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS =>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch3_2; + ELSE + ram_contr_next_state <= act_wrt_ch3_2; + END IF; + + WHEN exe_wrt_ch3_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "00100"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= "00100"; + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_2; + + --Inicio proceso de escritura en canal 4 + WHEN set_wrt_ch4_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "01000"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS =>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch4_1; + ELSE + ram_contr_next_state <= set_wrt_ch4_1; + END IF; + + WHEN act_wrt_ch4_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "01000"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch4_1; + ELSE + ram_contr_next_state <= act_wrt_ch4_1; + END IF; + + WHEN exe_wrt_ch4_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "01000"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_1; + + --Inicio proceso de escritura en canal 4 + WHEN set_wrt_ch4_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "01000"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS =>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch4_2; + ELSE + ram_contr_next_state <= set_wrt_ch4_2; + END IF; + + WHEN act_wrt_ch4_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "01000"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch4_2; + ELSE + ram_contr_next_state <= act_wrt_ch4_2; + END IF; + + WHEN exe_wrt_ch4_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "01000"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= "01000"; + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_2; + + --Inicio proceso de escritura en canal 5 + WHEN set_wrt_ch5_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "10000"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS =>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch5_1; + ELSE + ram_contr_next_state <= set_wrt_ch5_1; + END IF; + + WHEN act_wrt_ch5_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "10000"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch5_1; + ELSE + ram_contr_next_state <= act_wrt_ch5_1; + END IF; + + WHEN exe_wrt_ch5_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "10000"; + hb_lbbar <= '1'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia el SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_1; + + --Inicio proceso de escritura en canal 5 + WHEN set_wrt_ch5_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "10000"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS =>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const1) THEN + ram_contr_next_state <= act_wrt_ch5_2; + ELSE + ram_contr_next_state <= set_wrt_ch5_2; + END IF; + + WHEN act_wrt_ch5_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "10000"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_wrt_ch5_2; + ELSE + ram_contr_next_state <= act_wrt_ch5_2; + END IF; + + WHEN exe_wrt_ch5_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '0'; + OE_RAM_bar <= '1'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= "10000"; + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= "10000"; + + --Senhales hacia el SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= end_wrt_cycle_2; + + --------------------------------- + + WHEN end_wrt_cycle_1 => + + --Senhales hacia memoria RAM + CS1_bar <= '1'; + CS2 <= '0'; + WE_bar <= '1'; + OE_RAM_bar <= '1'; + UB_bar <= '1'; + LB_bar <= '1'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + CASE ch_cont_cur_state IS + WHEN attending_ch1 => + ram_contr_next_state <= set_wrt_ch1_2; + WHEN attending_ch2 => + ram_contr_next_state <= set_wrt_ch2_2; + WHEN attending_ch3 => + ram_contr_next_state <= set_wrt_ch3_2; + WHEN attending_ch4 => + ram_contr_next_state <= set_wrt_ch4_2; + WHEN attending_ch5 => + ram_contr_next_state <= set_wrt_ch5_2; + WHEN OTHERS => + ram_contr_next_state <= espera_rdy; + END CASE; + + + WHEN end_wrt_cycle_2 => + + --Senhales hacia memoria RAM + CS1_bar <= '1'; + CS2 <= '0'; + WE_bar <= '1'; + OE_RAM_bar <= '1'; + UB_bar <= '1'; + LB_bar <= '1'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= espera_rdy; + + + --------------------------------- + + WHEN espera_req => + + --Senhales hacia memoria RAM + CS1_bar <= '1'; + CS2 <= '0'; + WE_bar <= '1'; + OE_RAM_bar <= '1'; + UB_bar <= '1'; + LB_bar <= '1'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS => '0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS =>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (rd_req = '1') THEN + ram_contr_next_state <= set_rd; + ELSE + ram_contr_next_state <= espera_req; + END IF; + + WHEN set_rd => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '1'; + OE_RAM_bar <= '0'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS => '0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= act_rd; + + WHEN act_rd => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '1'; + OE_RAM_bar <= '0'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS => '0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS => '0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (count_slw = ramwait_const2) THEN + ram_contr_next_state <= exe_rd; + ELSE + ram_contr_next_state <= act_rd; + END IF; + + WHEN exe_rd => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '1'; + OE_RAM_bar <= '0'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '1'; + + + --Estado siguiente + IF (rd_req = '0') THEN + ram_contr_next_state <= end_rd; + ELSE + ram_contr_next_state <= exe_rd; + END IF; + + WHEN end_rd => + + --Senhales hacia memoria RAM + CS1_bar <= '0'; + CS2 <= '1'; + WE_bar <= '1'; + OE_RAM_bar <= '0'; + UB_bar <= '0'; + LB_bar <= '0'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + IF (addr_rd_aux = TOP_GL) THEN + ram_contr_next_state <= end_rd; + ELSE + ram_contr_next_state <= espera_req; + END IF; + + WHEN OTHERS => + + --Senhales hacia memoria RAM + CS1_bar <= '1'; + CS2 <= '0'; + WE_bar <= '1'; + OE_RAM_bar <= '1'; + UB_bar <= '1'; + LB_bar <= '1'; + + --Senhales hacia mutiplexor + oe_ch_vector <= (OTHERS=>'0'); + hb_lbbar <= '0'; + + --Senhales hacia canales + ack_ch_vector <= (OTHERS=>'0'); + + --Senhales hacia SPI Controller o cache + ld_ram <= '0'; + + --Estado siguiente + ram_contr_next_state <= idle; + + + END CASE; + END PROCESS; + + +contador_10ns: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + count_slw <= (OTHERS=>'0'); + ELSE + + CASE ram_contr_cur_state IS + WHEN set_wrt_ch1_1 | + set_wrt_ch1_2 | + set_wrt_ch2_1 | + set_wrt_ch2_2 | + set_wrt_ch3_1 | + set_wrt_ch3_2 | + set_wrt_ch4_1 | + set_wrt_ch4_2 | + set_wrt_ch5_1 | + set_wrt_ch5_2 | + set_erase_ram + => + + IF(count_slw = ramwait_const1) THEN + count_slw <= (OTHERS=>'0'); + ELSE + count_slw <= std_logic_vector(unsigned(count_slw) + 1); + END IF; + + WHEN act_wrt_ch1_1 | + act_wrt_ch1_2 | + act_wrt_ch2_1 | + act_wrt_ch2_2 | + act_wrt_ch3_1 | + act_wrt_ch3_2 | + act_wrt_ch4_1 | + act_wrt_ch4_2 | + act_wrt_ch5_1 | + act_wrt_ch5_2 | + act_erase_ram | + act_rd => + count_slw <= std_logic_vector(unsigned(count_slw) + 1); + IF(count_slw = ramwait_const2) THEN + count_slw <= (OTHERS=>'0'); + ELSE + count_slw <= std_logic_vector(unsigned(count_slw) + 1); + END IF; + + + WHEN OTHERS => + count_slw <= (OTHERS=>'0'); + + END CASE; + END IF; + END IF; + END PROCESS; + +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_ngo/netlist.lst =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_ngo/netlist.lst b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_ngo/netlist.lst new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_ngo/netlist.lst (revision 224) @@ -0,0 +1,2 @@ +C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.ngc 1464025067 +OK Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/bitgen.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/bitgen.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/bitgen.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/bitgen.xmsgs (revision 224) @@ -0,0 +1,15 @@ + + + +To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst_int, consult the device Data Sheet. + + +To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst, consult the device Data Sheet. + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/map.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/map.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/map.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/map.xmsgs (revision 224) @@ -0,0 +1,33 @@ + + + +No environment variables are currently set. + + +All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs. + + +Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) + + +Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) + + +The Interim Design Summary has been generated in the MAP Report (.mrp). + + +Map created a placed design. + + +To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst_int, consult the device Data Sheet. + + +To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst, consult the device Data Sheet. + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/netgen.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/netgen.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/netgen.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/netgen.xmsgs (revision 224) @@ -0,0 +1,18 @@ + + + +The generated VHDL netlist contains Xilinx SIMPRIM simulation primitives and has to be used with SIMPRIM library for correct compilation and simulation. + + +Xilinx recommends running separate simulations to check for setup by specifying the MAX field in the SDF file and for hold by specifying the MIN field in the SDF file. Please refer to Simulator documentation for more details on specifying MIN and MAX field in the SDF. + + +For more information on how to pass the SDF switches to the simulator, see your Simulator tool documentation. + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/ngdbuild.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/ngdbuild.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/ngdbuild.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/ngdbuild.xmsgs (revision 224) @@ -0,0 +1,17 @@ + + + +TNM 'clk_main', used in period specification 'TS_clk_main', was traced into DCM_SP instance clk_mang/DCM_SP_inst_int. The following new TNM groups and period specifications were generated at the DCM_SP output(s): +CLKFX: <TIMESPEC TS_clk_u_proc = PERIOD "clk_u_proc" TS_clk_main / 4 HIGH 50%> + + +TNM 'clk_main', used in period specification 'TS_clk_main', was traced into DCM_SP instance clk_mang/DCM_SP_inst. The following new TNM groups and period specifications were generated at the DCM_SP output(s): +CLKFX: <TIMESPEC TS_clk_mang_c_16MHz = PERIOD "clk_mang_c_16MHz" TS_clk_main / 0.266666667 HIGH 50%> + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/par.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/par.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/par.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/par.xmsgs (revision 224) @@ -0,0 +1,9 @@ + + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/pn_parser.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/pn_parser.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/pn_parser.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/pn_parser.xmsgs (revision 224) @@ -0,0 +1,15 @@ + + + + + + + + + + +Parsing VHDL file "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd" into library work + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/trce.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/trce.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/trce.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/trce.xmsgs (revision 224) @@ -0,0 +1,15 @@ + + + +To improve timing, see the Timing Closure User Guide (UG612). + +To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. + +The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/xst.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/xst.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/xst.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/_xmsgs/xst.xmsgs (revision 224) @@ -0,0 +1,21 @@ + + + +"C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.vhd" line 383: Output port <cs1_bar> of the instance <nb_mem_cont> is unconnected or connected to loadless signal. + + +"C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.vhd" line 383: Output port <cs2> of the instance <nb_mem_cont> is unconnected or connected to loadless signal. + + +HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. + + +The small RAM <Mram_data_header> will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bgn =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bgn b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bgn new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bgn (revision 224) @@ -0,0 +1,141 @@ +Release 14.7 - Bitgen P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +Loading device for application Rf_Device from file '6slx9.nph' in environment +C:\Xilinx\14.7\ISE_DS\ISE\. + "chn5_mem_spi_joint" is an NCD, version 3.2, device xc6slx9, package tqg144, +speed -3 +Opened constraints file chn5_mem_spi_joint.pcf. + +Mon May 23 12:39:01 2016 + +C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\bitgen.exe -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:2 -g ProgPin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g ExtMasterCclk_en:No -g SPI_buswidth:1 -g TIMER_CFG:0xFFFF -g multipin_wakeup:No -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:Yes -g DriveDone:No -g en_sw_gsr:No -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 chn5_mem_spi_joint.ncd + +Summary of Bitgen Options: ++----------------------+----------------------+ +| Option Name | Current Setting | ++----------------------+----------------------+ +| Compress | (Not Specified)* | ++----------------------+----------------------+ +| Readback | (Not Specified)* | ++----------------------+----------------------+ +| CRC | Enable** | ++----------------------+----------------------+ +| DebugBitstream | No** | ++----------------------+----------------------+ +| ConfigRate | 2** | ++----------------------+----------------------+ +| StartupClk | Cclk** | ++----------------------+----------------------+ +| DonePin | Pullup* | ++----------------------+----------------------+ +| ProgPin | Pullup** | ++----------------------+----------------------+ +| TckPin | Pullup** | ++----------------------+----------------------+ +| TdiPin | Pullup** | ++----------------------+----------------------+ +| TdoPin | Pullup** | ++----------------------+----------------------+ +| TmsPin | Pullup** | ++----------------------+----------------------+ +| UnusedPin | Pulldown** | ++----------------------+----------------------+ +| GWE_cycle | 6** | ++----------------------+----------------------+ +| GTS_cycle | 5** | ++----------------------+----------------------+ +| LCK_cycle | NoWait** | ++----------------------+----------------------+ +| DONE_cycle | 4** | ++----------------------+----------------------+ +| Persist | No* | ++----------------------+----------------------+ +| DriveDone | No** | ++----------------------+----------------------+ +| DonePipe | Yes | ++----------------------+----------------------+ +| Security | None** | ++----------------------+----------------------+ +| UserID | 0xFFFFFFFF** | ++----------------------+----------------------+ +| ActiveReconfig | No* | ++----------------------+----------------------+ +| Partial | (Not Specified)* | ++----------------------+----------------------+ +| Encrypt | No* | ++----------------------+----------------------+ +| Key0 | pick* | ++----------------------+----------------------+ +| StartCBC | pick* | ++----------------------+----------------------+ +| KeyFile | (Not Specified)* | ++----------------------+----------------------+ +| drive_awake | No** | ++----------------------+----------------------+ +| Reset_on_err | No** | ++----------------------+----------------------+ +| suspend_filter | Yes* | ++----------------------+----------------------+ +| en_sw_gsr | No** | ++----------------------+----------------------+ +| en_suspend | No* | ++----------------------+----------------------+ +| sw_clk | Startupclk** | ++----------------------+----------------------+ +| sw_gwe_cycle | 5** | ++----------------------+----------------------+ +| sw_gts_cycle | 4** | ++----------------------+----------------------+ +| multipin_wakeup | No** | ++----------------------+----------------------+ +| wakeup_mask | 0x00* | ++----------------------+----------------------+ +| ExtMasterCclk_en | No** | ++----------------------+----------------------+ +| ExtMasterCclk_divide | 1* | ++----------------------+----------------------+ +| CrcCoverage | No* | ++----------------------+----------------------+ +| glutmask | Yes* | ++----------------------+----------------------+ +| next_config_addr | 0x00000000* | ++----------------------+----------------------+ +| next_config_new_mode | No* | ++----------------------+----------------------+ +| next_config_boot_mode | 001* | ++----------------------+----------------------+ +| next_config_register_write | Enable* | ++----------------------+----------------------+ +| next_config_reboot | Enable* | ++----------------------+----------------------+ +| golden_config_addr | 0x00000000* | ++----------------------+----------------------+ +| failsafe_user | 0x0000* | ++----------------------+----------------------+ +| TIMER_CFG | 0xFFFF | ++----------------------+----------------------+ +| spi_buswidth | 1** | ++----------------------+----------------------+ +| TimeStamp | Default* | ++----------------------+----------------------+ +| IEEE1532 | No* | ++----------------------+----------------------+ +| Binary | No** | ++----------------------+----------------------+ + * Default setting. + ** The specified setting matches the default setting. + +There were 0 CONFIG constraint(s) processed from chn5_mem_spi_joint.pcf. + + +Running DRC. +INFO:PhysDesignRules:1861 - To achieve optimal frequency synthesis performance + with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst_int, + consult the device Data Sheet. +INFO:PhysDesignRules:1861 - To achieve optimal frequency synthesis performance + with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst, + consult the device Data Sheet. +DRC detected 0 errors and 0 warnings. +Creating bit map... +Saving bit stream in "chn5_mem_spi_joint.bit". +Bitstream generation is complete. =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bit =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bit b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bit new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bit (revision 224) Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bld =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bld b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bld new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.bld (revision 224) @@ -0,0 +1,52 @@ +Release 14.7 ngdbuild P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe +-intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p +xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd + +Reading NGO file +"C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_s +pi_joint/chn5_mem_spi_joint.ngc" ... +Gathering constraint information from source properties... +Done. + +Annotating constraints to design from ucf file "chn5_mem_spi_joint.ucf" ... +Resolving constraint associations... +Checking Constraint Associations... +INFO:ConstraintSystem:178 - TNM 'clk_main', used in period specification + 'TS_clk_main', was traced into DCM_SP instance clk_mang/DCM_SP_inst_int. The + following new TNM groups and period specifications were generated at the + DCM_SP output(s): + CLKFX: + +INFO:ConstraintSystem:178 - TNM 'clk_main', used in period specification + 'TS_clk_main', was traced into DCM_SP instance clk_mang/DCM_SP_inst. The + following new TNM groups and period specifications were generated at the + DCM_SP output(s): + CLKFX: + +Done... + +Checking expanded design ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Total memory usage is 174432 kilobytes + +Writing NGD file "chn5_mem_spi_joint.ngd" ... +Total REAL time to NGDBUILD completion: 4 sec +Total CPU time to NGDBUILD completion: 4 sec + +Writing NGDBUILD log file "chn5_mem_spi_joint.bld"... Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.cmd_log =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.cmd_log b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.cmd_log new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.cmd_log (revision 224) @@ -0,0 +1,315 @@ +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd +xst -intstyle ise -ifn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.xst" -ofn "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/chn5_mem_spi_joint.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc chn5_mem_spi_joint.ucf -p xc6slx9-tqg144-3 chn5_mem_spi_joint.ngc chn5_mem_spi_joint.ngd +map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd chn5_mem_spi_joint.pcf +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf +bitgen -intstyle ise -f chn5_mem_spi_joint.ut chn5_mem_spi_joint.ncd Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.drc =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.drc b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.drc new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.drc (revision 224) @@ -0,0 +1,14 @@ +Release 14.7 Drc P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Mon May 23 12:39:01 2016 + +drc -z chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf + +INFO:PhysDesignRules:1861 - To achieve optimal frequency synthesis performance + with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst_int, + consult the device Data Sheet. +INFO:PhysDesignRules:1861 - To achieve optimal frequency synthesis performance + with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst, + consult the device Data Sheet. +DRC detected 0 errors and 0 warnings. Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.gise =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.gise b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.gise new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.gise (revision 224) @@ -0,0 +1,201 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.lso =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.lso b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.lso new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.lso (revision 224) @@ -0,0 +1 @@ +work Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ncd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ncd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ncd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ncd (revision 224) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###5304:XlxV32DM 3ff1 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###3684:XlxV32DM 3fe3 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###1524:XlxV32DM 3fdb 5dceNq1m91u4zYQhZ9oEQ9nSEroQleLFLnILtDtz0UQEIokA0a9VqDIbbZPXym2ZQRIYvFoeKfEPh/P0MekSNGbXd906/K/8PS4uaraXd+127Juu/Hv0DxV2/Kf9uph04eq3Y9v/UwFl79s4lXhsaz+DrxEbJnjxQbya45NOokX35n78OvXL0E4tOHH/jmQCd/++P3zqlCEkSZs6CMTDQsrRESIyDDNFO3X6+H9m93Q2ZzFaw4fOtAcAc2dYi3xzRmguSnS8c0x0Bwfm/MsgLTr410K4FKOLinepWAuLeDSTqOnAFLEpQNcummYFkCKuPQFryI1w6gVLaF4iYmXcLxE4iU2XuLiJX72KH+QtPt+HLFzQHTIXAY0SAUgMojL09CbAw0y4lIQl7LApUVcOsSlW+DSF/IQK7rz96Eu+3KI9Xg53jWZ6X5OkUaqNKNKY1WaqNKsKs2p0ob5iWJpwwQVryFAYwANAxoBNBbQOEDjeeanPV5X++F/fdk34fr7bbi+rombJepPNzteLwSQmCWE03pnSS+YRRbM6c62QiDjX+uuaWavKsfrrinrn6HcP8uF+5rHbrOrNo/l9upl0SzDonm6+PbbEJ7QCi1GkOQ446nZNtXw/fczdxhiWHRpKIyCkSVF2jG4CZg2AdMnYGYJmLlmfIxmfAxZo0g7LvpXmn14GskSMF0CZpaAqZgfunx3HQUjRWukaY0KxQmAFCcAVWNG0ZjRNMaKxljTmCgaE01jVtGY1TTmFI05TWNe0ZjXNJYpGss0jeWKxvKCLM+F3ZZ1fXjsNM5od0T3Z+z40uGBWah+DpOeFX3qMFOlMEtJqCYJlZNQJQnVJqG6JFSfhJoloeb6X67ty9MCWybBhpuvf4aVuufnths92wRYSsWlIkk3JMKaNFhOg5U0WJsG69JgfRpslgabFzx7rXg7PjqoftRh1zz3hx1OurRD/LE6fP9rxS6K8G/b1ePeOBF7UEhcg8rToz3QskEtm4tb+e8qT1tJaM3TBvgy/cVjNmf9mJFpC31+Ol/JTqdmbIz6HEyefc8wfcvG8wEPiGr6gCRePcySlx5MvCM7thqRyNfyrof8EtRJRNMxqGqJmhY1LlBf0UtfAXkCu+pcq4PUmFkDmTXnGJa42iOVGrRShirlKcBIpTydXHOQGKtUCsSrTIdUF4gZKVTQQi1UqF0SP4t6dZBXN52tXSDOkEIdWqiHCvVL0ueL6Pu7V2Ks0AwqNJv7g4WPxNB4kqGF5lCh+RRdB4m7XuLH6/eWTOMJhkqPRsq4mAd/M3CqNKNKY1WaqNKsKs2p0rwqLVOl5RfP6L5Bu/wrn7dEBIxf4xINURFi0CAiRkSCiCwicojII6IMEeUye0H7cshvfHX7xplF8SqYTzc7ybRIEUflPkQdFzGqNKNKE1WaU6V5VVqmk1ejk1ejllejl1ejmlejmlejmlejmtfTnq1OwlgnYayWMNZLGKsmjFUzwaqZYNVMyJCJ/wGkBS3Y###2052:XlxV32DM 3fe1 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###1560:XlxV32DM 3fdc 600eNq1m1uP2kgQhX/SuKrv2hWPI+VhdqXsZl+iqGUMo6AwTAImO/n3sQdsyEW461j1NgP1ndNUVbe7bdgt89P6KTfPu/Zut1kut+v8uW4+5eYj3T08HV/y+cXm+bhr1/v3/CHv14fj9pXa9C/t6u17Nh/y/5vVOvcEufz3u38pkWv+2GnJc6UrT7ryrCtvdOUtuaWivNNUN6pj182MU1X3qupBVT2qqifi1bT6qEVU8VoWT8bKgNNbzO4m9rYn2j+rRVkcFcbxgvlmXN5VXQ7YFwR1YsSpIPD0fywQZdNV8yuHwkAqkQylkqFU0lCh5CmQTHUzsvm4y8tNe8h186X/o+qqboIYOb0rN+ta51GG5Mp4KZH3h/bzcyu2IrEVna2EiSB51mnMehCbibNO4qwTmnUSZ53QrLM86zxm3YnNxFlncdYZzTqLs85o1o0862bMuhebibNuxFk3aNaNOOtmzDrH2+D20yvzWG+3U6O6CpWJ7/stwmNx6OlVUyxO5eJ0FrfF4t1mJJSF5qlt2VXkkL9SaSqWJqk0F0uzsOqbw7q0MF3osOUUEOd1xdwkVnVb5+a4z4e2btf5/p+HfH+/IlMj1LB/mQHbObAD4RmZYsjyvJ+eBbs5sAfhc4vfPpD9eNLrL1RWFj/0EYQxhhkMsxjmMMxjWMCwiGFJ1h4kbA/C6kxYnQkrGGEFIyzzhGWehZlnbGIyVjDGCsbYxGSsYIzNsAFLLgiw8ntm3Vx0UUeZFKVJT5r1pI2etNWTdnrSXk866ElHPem00JrnejOG9eY5K45ab56zUasiqynrjdmqKTs1Za+mHNSUo5pyWnAjUM6VLJxk4TxxS2a/+vb6Zn18mbglcxU53CsrB863Aug28EuC+0NulDPDbp+laLcLmzjB/x46b+AZcCTEkcYjA+DIiON4SKkAR4M4msGRAEeLONo5dXSIo5tTR484+jl1DIhjmFPHiDjGOXVMiGO61FG8xHULQJIzeBUZWeO4muOIrHFMM/qGkTWOeUbfMLLGsZnRNwz0zdUnFPsZwG/8fFbuZ4FLvx3vG4ntHGA3LN9JbueBbPrLjBD7BcAvzOjOCPjFyxoj9kuAX7rksxKyuZIj8qohmUC6A1kPkK5A1oHuWAUwHmACwESASfLWAcrDQOsw0DqMjM3IUwAgVo44OeLlSJAjUY4k46RId1Tvj9wNxg0XQ4/g/dEbA7vd5QoEh90CZsyo8eWpGGZs0FRZFHQo6FEwoGBEwW4LAU0YuP8u2x1owIzOmP48hoGMggbMLYOcAWtyddMJ8rXg4mnH70NAtg60deNCBNl6sDoB5CLIpalvZPye6zb5EIb1Tr8OYBzqxyBnQM6CnAM5D3IB5CLIJazNwPIx2GYMthmj4zRYWkDMYpjDMI9hAcMihqWpZ4eHNi/r/etPvmJp5NSXyq9Ch3uVQsBNDPvQ1vv29IB0QvoqsmwsvwBGAJyekdpb5zy+ezjF/vSU9+W5/54oUX7z13/dVasGJaiTgGFeTPy+nGf++L7qfw/7HTpt2Ms=###1476:XlxV32DM 3fff 5aceNq1mt2O2kgQhR9pXNX/ymouR8rFZKXNbm6iqGUMo6AwTAJmd/L2aQ+YYZMIdx2r7hDUd05zqrtx22wX+XH1mLunbX+zXS8Wm1X+2nZfcveZb+4fD8/59Gb3dNj2q91H/pR3q/1h80Kth7e27eYjm0/5v/VylQeCXP7zn7+JXPdmq6euK8+68kZX3urKO115rysfdOWjrnxSledGV1531bLuqmXdVctl1S4U5Z2mulEdu24yTlXdq6oHVfWoqp6Il9PqZy2ihleyejJWBhw/suyuYn8NRP9Hc1tXR5V1fMt8tS5vm5IB+4qiIkYVhWxKh/7lUFlYJRlqJUOtpKFKyWMhmeZqZfd5mxfrfp/b7tvwoimdNEGMHD+Vm5Xp8CBDcmO8lMi7ff/1qRdbkdiKTlbCIEieOsGpkzx1EqdOaOokTp3Q1FmeOsOpszx1FqfOaOosTp3R1I08dQOnbuSpG3HqBk3diFM359Q5Xgc3X16Yh3azmRrVRalMfDf87D9Ulx7fddXiVC9OJ3FfLV4uMEJdaZ661LqoHPOrlaZqaZJKc7U0C7u+3q9qG1NKTwtXTLCEOO1E5iqxbPs2d4dd3vdtv8p37+/z3d2STItQ4340A7YgPOPLMmTJp47MgR0In6bm9cPR/09dww+MldWP3YQwxjCDYRbDHIZ5DAsYFjEsyaYHCacHYX0mrM+ENYywhhGWPGHJszB5xhYmYw1jrGGMLUzGGsbYChux5IIAq79/VdaiizrKpChNetKsJ230pK2etNOT9nrSQU866kmnW611rrdiWG+ds+Ko9dY5G7Uuspqy3pitmrJTU/ZqykFNOaopp1vuBMq5kZWTrJwnbqXslt9fPmwPzxO3Ui4qx8M5XQd+yWs4s0Y5M168sxQtF1UTB/LfQ+PdHMCREEc6nwAAR0Ycz2eOBnA0iKMZHQlwtIijndNHhzi6OX30iKOf08eAOIY5fYyIY5zTx4Q4ptc+ire4sgEkOYN3kZE9jps5jsgexzRj3jCyxzHPmDeM7HFsZswbBubNxTcU+xnA7/z9rNzPAj/99nwbSGznALtx+05yOw+k6V9XhNgvAH5hxuyMgF983WPEfgnwS695NkI2N3JE3jUkCWR2IPsBMiuQfaCckgDGA0wAmAgwST51gPYwMHUYmDqMjM3IIwAQK0ecHPFyJMiRKEeScVKkHNWHI7dHOIJBQsFyCbQEwfGZFmZs0BFbdMT2/PwTM3aosTs/kMOMPRpVQMGIguUaAlox6PxldMUw7MgoaMBsGORQPwtyDuQ8yAWQiyCXpv5j8HuuXOdCGEGjHK53MQ71Y5AzIGdBzoGcB7kAchHkEjbNwPYxOM0YnGaMjtNgsYCYxTCHYR7DAoZFDEsTT8P2fbvrj8/OJp6GXVSO9+CEgBEAx+dt9tqZwdzcH2t/egD4/DT8hZAov333oWz/7Q8Ujeep###1456:XlxV32DM 3feb 598eNq1mktv20gQhH9S2DWcB+FAR9+CBfZxCoIBRcmIEFnOSlTi/PuQtih5d7PSdBF9s6X+qkb9GM9Qvtst8+P6MXdPu/7dbrNcbtf5a9t9yd1n9+5D93Tc9fn08ssv631+ftq/l4WIa+84GAPsu+vw4/H5X+hHfMr79eG4faE240u7dvsR7lP+vlmt80iIz7/99adU4peG8raLN5aHrbyzla9t5b2tfLCVj7byyVa+MZVHZStvO7WwnVrYTi1q0/0Y3lLdma7dNjPeVD2YqkdT9WSq3ghWt9XPWiIV1rp4gb8K/D7G9u+rRVmcFMZhAVyNy7tq+DAIBUHjMbAgEG5I9TfEwsAiyVgqGUslnRRKvgaKq65Gdp93ebnpD7nt/h5/qIZKuqhGXt/Vmw3t8KBDcuWClsj7Q//1qVdbidpKTlbKRIg+60JnXfRZF3XWhc26qLMubNahzzrOWa/VZuqsQ511sFmHOutgs+70WXd0rzt91p06647NulNn3Z2zjnQd3H55YR7a7fbWqt6E6sT345/9h+LQ11djsbiUi8tJPBWLDweMWBaab52Z3kRO+SuVlmJp0UqjWBrKqm8O69LCDKGvr0JDnPYVd5VYtX2bu+M+H/q2X+f7Pz7k+/vVrWeF/0NNuwsHz1gvKEvMWe8JZp1PvXL92vHP+8y449e6+OkDUhg4zHFYzWGewwKHRQ5LHNbo2kOU7SFcnYWrs3AFE65gwmVeuMxDmXlwgwmuYOAKBm4wwRUM3IRNWOOjAit/MjTMok82ymIoLXbSsJN2dtK1nbS3kw520tFOOtlJNwurObebGNjNOQxXbTfncGZVhJmy3ZprM2VvphzMlKOZcjJTbhboFMq50oWLLhw3nm3sVz9e3myPzzeebbyJnC7nch34T77GO2vSM9PhHVp0OFTduJD/Gjqdx+E8A+97YqXCrFTONwfCEYzj+a5SEY6OcXSToxCONeNYX+qvd/SMo59Tx8A4hjl1jIxjnFPHxDimOXVsGMfmUkf11jhsAI2e4asIZm9ENceR2eMgM/oGzB4HzOgbMHsc3Iy+AdE3bz6h2s8RfufPV+v9auLIUJ8fH6ntPGE3bd+N3i4Q2QyXiVD7RcIvzujORPilyx6j9msIv+aSz0rJ5kqP6KvGZILpDmY/YLqC2QeG2xXBBIKJBJMIptG3DlEeEK0DonXArM3pU0AgtR7xeiTokahHkh5p1LfY8Yo/XtUDw4137hUJTl8xccbCrhgs6FiwZkHPgoGtyvm0QRpHdsWJBYczANXxQ/90HDerb8EODGYODNiBGa9VKxKcvvbnjB1ZWpAc61eTnCe5QHKR5BLJNbf+NeLX3HDMpjChVjketzmO9QPJOZKrSc6TXCC5SHKJ5BquzcjygWwzkG0Gdp2OSwuJ1RzmOSxwWOSwxGEN4t1PMmvgYA==###1472:XlxV32DM 3ff7 5a8eNq1mktv2zAQhH9StMun0CLHAD2kBfq6BAUhP4IYcZzUltv035eyLTttU5k7wt5ah98MPbukSMGrSXqYP6Tp46q9WC0mk+U8PTXT+zS9Mxebtlm3+U/bVcvzN6uykfsPSQoYAbDetE+Pra0GAHtxvR97+Hj3n/k6PT+u31aXROnd+6+pMg0oQVkChjnDbjoMP2yf/0Jv+Ftazzfb5Y5adB+tmuUNm2/p52I2Tx1BLn348pkqchNFed3JK8uzrrzRlbe68k5X3uvKB135qCtfq8pzpSuvu2pZd9Wy7qplq7ofs9NUN6pz103Gqap7VfWgqh5V1Wvi2Xn1oxZRNXj4e2U8sRsEPnZj23zSKxtHheP4knlwXFpV+cuwLxjUHQMLBrLJUf/gUDiwSDKUSoZSSUOFkvuBZIbP7tO7VZos2k1qpt+7f1S5kiaIkcNVRGyW2+FWhuSrhJcSh2uM2IrEVnSwEgZB8tTpmLoVm4lTJ3HqhKZO4tQJTZ3lqTPc6yxPncWpM5o6i1NnNHUjT93AqRt56kacukFTN+LUzTF1jsPg8n7H3DbL5blZvRgqE+9e8BSKr48ldMXiVC5OB3FfLJ4PGKFsaDp3Znoxss+vVJqKpUkqzcXSLKz6YjMvLUweuv+UxYSREIedyAwSs6Zt0nS7Tpu2aefp6tN1urqanXu7+B+qf/Zi8Ij5MmTJhzKMgR0IH7pr+KLy5w2oe0ZY2fj+AQFhjGEGwyyGOQzzGBYwLGJYLWsPErYHYXUmrM6EFYywghGWPGHJszB5xhYmYwVjrGCMLUzGCsbYCuux2gUBVv4uKa9FF3WUSVGa9KRZT9roSVs9aacn7fWkg5501JOuL7XWud6KYb11zoqz1lvnbNSqyGrKenO2aspOTdmrKQc15aimXF/yVKCcKtlwkg3nM29D1rNfuz822+czb0NejOwv5zQM/JNXd2eNcqY/vLMUzYeqMxfy16H+Z2OAIyGOdLwBAI6MOB7vHBXgaBBH0zsS4GgRRzumjg5xdGPq6BFHP6aOAXEMY+oYEcc4po414lif6ije4vIGUMsZvIqM7HFcjXFE9jimEX3DyB7HPKJvGNnj2IzoGwb65sU3FPsZwO/4/azczwKPfnt8DSS2c4Bdv33XcjsPpOlPK0LsFwC/MKI7I+AXT3uM2K8G/OpTnpWQTZUckVcNSQLpDmQ/QLoC2QfyLQlgPMAEgIkAU8tbBygPA63DQOswMjcjjwBArBxxcsTLkSBHohypjZMi+areXbk9whEMEgoyChoUtCiYjxczEOwfwpixR439SOOARhVRMJ8BoI5H+6+7Sc1AcFS2DM8YXTHd9QbKlkEO9bMg50DOg1wAuQhy9bnfGLzO5XMuhBE0y+68i3GoH4OcATkLcg7kPMgFkIsgV2NtBpaPwTZjsM0YnafBYgExi2EOwzyGdb/w+Q2CmOZr###1500:XlxV32DM 3fe2 5c4eNq1m91u2zgQhR8pmiEpkthFLgP0ortA9+emKAhZVlGjjtLK8m727ZeKLcdFW5lzhLlr4/nOoc6QNKmm/SY9do+pferHu3632ey79KVpP6f2k70busNx//Lxrh+7oW/2qTk+p2DsL70ci+wXscPYDGP+6NiP3JVWnn5IUsAIgOEwfnkabbUAuLu3p9rzj1/+0g3p+Wn4tbonSm9++ztVpgElKEvAMGfYtcvwY27Pt+h7/pC+7+N7Nh/Sv7ttlyaCXPr9rz+pIrdRlNcdvLI868obXXmrK+905Wtdea8rH3Tlo6o8V7ryuquWdVct665atqr7MTtNdaM6dt1knKp6raruVdWDqnok3t5Wv2gRVYuHvx/UE7tF4N1UO+aTXlkdFdbxPfNiXeqr/DBcFxRNx8CCQjY56n8WD+rXhUWSvlTSl0oaKpQ8FZJZPru3n/q02Y2H1LRfpz9UuZPGi5HzVURslqfDRxmSrxK1lDhfY8RWJLais5UwCJKnTnDqJE+dxKkTmjqJUyc0dZanznDqLE+dxakzmjqLU2c0dSNP3cCpG3nqRpy6QVM34tTNJXUOy+D+8wvzsdnvb43qqlQmPr3gKRQfLi30xeJULk5n8VAsng8Yvqw03TozXVXO+ZVKU7E0SaW5WJqFXd8dutLG5NLTT1lCnPcVs0hsm7FJ7XFIh7EZu/Twx9v08LC99a7wJ9SpwILwivEyZMnnUNfAbg1cg/B5oi3fWb69DE1fF1ZWP39XQBhjmMEwi2EOw2oM8xgWMCzKpgcJpwdhfSasz4Q1jLCGEZY8YcmzMHnGFiZjDWOsYYwtTMYaxtgKm7HovAArf62U16ILOsqkKE160qwnbfSkrZ6005Ou9aS9nnTQk473Wutcb8Ww3jpnxVHrrXM2al1kNWW9MVs1ZaemXKspezXloKYc77kVKKdKVk6ycr7xYmTY/vfyYXN8vvFi5KpyvtnTMvBdXtOdNciZ+fDOUjQfqm5cyH8Mzb9BBjgS4kiXGwDgyIjj5c5RAY4GcTSzIwGOFnG0a/roEEe3po814liv6aNHHP2aPgbEMazpY0Qc42sfxVtc3gCinMG7yMgex9UaR2SPY1oxbxjZ45hXzBtG9jg2K+YNA/Pm6gnFfgbwuzyflftZ4KvfXl4Die0cYDdv31FuVwNp1q8rQuznAT+/YnYGwC+87jFivwj4xdc8KyGbKjki7xqSBDI7kP0AmRXIPpBvSQBTA4wHmAAwUT51gPYwMHUYmDqMjM3IIwAQK0ecHKnliJcjQY5E46RIvqpPV+4a4QgGCQUZBfNZZguC81ciZmxRY3v5xzvM2KFR1Sjo0Uf1Kx81oCPOZwhoxaDzl9EVw7AjumKm6w2UDYMc6mdBzoFcXh4txs0bCWTrweEGcLhh3XAjaBsLf2XhJ/9buMIw7CGnwzrGoX4McgbkLMg5kKtBzoNcALmITTOwfQxOMwanGU/j/B/2Ht5/###1832:XlxV32DM 3fe3 710eNqtm1uP2zYQhX9SNDO8ooGBLAoUfWhaFL08BAXhtRU0qNdOZW+S/vtKlukmrS3yTPmwwMI63+EhRY0lit4/pqf+KW0O+9OL/bvHx12f3q83f6TN7/bF0B+fd+fD7/anftivd2n9/CmxiPlqj3NKzOgwq8OcDvM6LOiwyH4RO57Ww2k89Lw/cV+rnD8kFBAAGI6n94cTxS+AQz/q0od+c3rZrbi7f+wS8D5OCzhlvCzh+y3wQgtcbiFL5H4LstCClFvIEi5LzP0QZmW2Xxwb1vM/w2H34rv1dpvGvyH1w/rYnyfkODvfEP2Wvnn99Vge0mE6niSk73/+KW3+Gs+d6Vv6Udc4IDX248Z+0tiv9Qm2jf1cYz/f2C809ostL5Dd81QtrWlsmL59/UvqGub8dBimyvC2qSG1d6RV4043N+TWhtLa0LQ2tK0NXWtD39owtDYcq05cNhz6XXp/OI43BnR2C/8ys91nFfuxnReR7dq5zfc7tr6zfNPSkaazZS+ks2W3yx1mfWflpqVnTWfLXkT1ycxNtyCaZGUvJJm96RaNJlnZC5kgZbfL1cALtWR+WjtXk2GbSwm/BQGSDiU6HCE4F8OEwISBCQsTDiY8TASYiGJAYn5CFKvAxrs9VXOkw1iHiQ4zOszqMKfDvA4LOiwqpsn89CJOw5EaJGVSLcdKTpScUXJWyTkl55VcUHKaGTo/COMT7fK8qwRJmVTLsZITJWeUnFVyTsl5JReUXFzxAvc0KieKuvHOytXpiDd1wrw2DcmlMi5X6aa0NTqu1EmlzlTqbKXOVep8pS5U6uKoM2VdqJNNbtuyLH0czlP41XSaIX3d3LzqDSa3mNyBcob66piWnulu6UkEAvIFifTCY50OmDwSP9bLHyDzB2x8HnLBAhthTC7CiDyXXGSUsESMjRKrRonBTNgosWaUoMvgQbD+CpbFQOZYlRvNoSwWMsdK6GgOZXGQOVafR3Moi4fMsbI5mkNZAmSO1eTRHMoSIfOIZYksrl4+HNOr6U3n03q/JRikDHYgOD4ZeRiZS1aAGxsfpwKM5JINt2bwrpncGtyYxRuzeTkcbszhjeW19wg35vGT5q/DCLcW8K4F9UmLKxB5mNZKUIRWQhAyr0kzykzLMXBDmnCsYETBGAVjFYxTMF7BBAUT0YlwWXLGIdJArIFEAxkNZDWQ00BeAwUUuqzWCgxRp2mKNBBrINFARgNZDeQ0kNdAqikRV7bwpXT9IG2eh3Q8rU/9G/vZi/qP77Z9moTk7fS6npbf+2ssub2ltLc0ROb+zfcPv/6YyMwbG27tdur/fF7vpm1Oh/PWPd/IiBY2X4FOeT9Sq2i8sBkVc5qTOSOVfv+8WvjMjPPQmxYutLCTBrHJ+6KahGKJZZv5Fcxx9/GNZJ6MTwfZ6tmxSJPp9Pxl67886izsdLl2svk/NPH94pr2nbCfvik5Vojysl3ZkGoM8/yPFYZcY8hXQypqVxzKmmo7rrDj6/gV7aTCTurtTMXgXZcBqOxnK+LZ+niuIp4D4vmKeL4+Xqiwy8/jUraLFb2N//R2+dIQN1bMDywVIio0O6vyqNRrBdA6QFuqCtafux4rRJcqXDB0tsJwFtUZejkbLosC1YhiRbRZlH9s1i9pLcWCwMuywHVx4f31LMg/71s28qFg5ENeDr3/Rf7fu62Xi1sVb+ovS7wLb3VvYLS4lfI2kNfuCOWGExiO0HB0XegmlBvDQSeIwBNEFWvwtzE0GYPJuGI19jaGJhPwfF5fJXRYNMGjGXDQ8g0HY8kMnsyCyazudNpLsr8BbZvICg==###1636:XlxV32DM 3feb 64ceNqtWsuOI0UQ/CK0XZn16BIrn9AgDsBhQRxWqOQXYsWOvfJ4NPv52KarPQimuyKyb5YckRGVlfXIsg+b8rh/LNvj4fzutP7nw+n4+d16tzuV/Wn9tC/r56/l0+H8Pq40fHtA8OXLevtXcZ12IO90lh1CSSv1GH6whjlLuLMeTFpPJq3HrWUwaZlLWr4680gSPjr3e/n+p++KaDmWy/dF+/Lzr7+871Y+LhHHLRbIrZYZ2VJxZKE4ulAcv1CcsFCcuFCctFCcfqE4eSVbIE7pVCF4OT2dvxzPmIiD9qPrlucxfHWFyThQxg0yWMoclTLBRIQSUUxEKRGPiXhKJGAigRKJmEikRBImkiiRHhPpKZGMieQqsp5mnXbjjaJbqQPAw61FNs2cy/1gbhD/Rtc7G6LhVioIug6jPU8OyVMVCICAIAIyCHhAQBEBJQQ8Mgn+Ps/tCgFRCFUBmeaIKIxNmQAKCVG491aAQo9MdO2REsHpAVMZMVW7oyy5lVNme7zX2LpPtocHiuh6+XIAGN60r9cuQGC8c7UnyOEJEiC84OEVCK94eA+E93j4AIQPePgIhI94+ASET3j4Hgjf4+EzEH68QM28aLycrpTLfUhSE/ByrWkM6VqB0grUVqBvBYZWYGwFplZg3wrMU8fs9s/bx7J9PpWn8/q8Lw8ffiwPDzs38cD4NumbHw4aOZ7THUes9wLNBL+S1zy3s5BNysEwZDFwLan2Bq5lvNHATRx3uGsQi0+YqhBLSVUyVRZiKCkxlJQYSkoMZSGGshi4vYGbOS5fjsqcBUqeBcqeBXo/C6iKUMNZULnRICwGrhq4iePy5eSZsvBsWdyIdaMghamp8YZ68uPdghe2mFYDNxi40cBNBm7muEOL9PYPP9vj84X59Pnl2iBtmmDz78r/RQuEVgjtIXRqSoZrS4aDkuGgZDgoGRUdm4YnbcMTaHgCDU+g4cn4jNkyPG0bnkLDU2h4ChWnjj9C6Dy6TD3Dv0LVxd8S0jWFdEhIaQopSEhtCllPfvf2WXzoOh+km/7++oDhZyHlw29dG8xNGwpp2lBIs4ZukHlDFTZjKOZpQzHPGrpB5g1V2Iyh3k0b6t2soRtk3lCFuTnYsMinfWed9p111vcNMu+7wpx/e9cZP9/vCx/Dq3/XvHza7cvj89fiUhj+fRZkyWjDQbWkRbeoxfHkXdKiLGqxnodhSYu6qMXxBJz429H/BG153p2idbrleLUZMtHFRg/koJ32HO/6BJJpqtM/aG5tp7h8ufpIxdKHxwEu3ewsi23QYnOtpKzaVobWbYBz7cnS9nxpe0Np+7G0A5mv8bGApZuKhHUdbPtfsO1/kSySyBdJNBRJNO9/0bb/RVuRJDJn6ZazNc9l96Bk28KSLV3sLPU2171t483kmsr8msqGNZXNG2+2bbz1/yi6oeh1ri1sMbHVxPYse8ga1SSIJop2LdENy7y24bqn2UOuqUUpZHcjtu5GbN2NdKaFWemJzBnZHAnfHImhORJzcyS25khszZGwRSK2ErU1R0I2R2JrjmRsjkz0nhw02VsJ31uJobcSc28ltt5KbL2VsK6DbT0Hm2t2F4q2lRFtR068F8rfqRAC5w==###1432:XlxV32DM 3fd7 580eNq1mk2P2zYURX9REZGPn2gwywm6KLpIgy6KgvA4DhIkYw88TtGfX1kibaHpQjwP2g0GOL7ke5dXfLKPT+X58Fz2p+PlzXk3/3E+fbv/Xfbfz+X1srscyuP7X8vj40cbystu/7VE8T8fAR4lMe6nX46SMWrkE2bnDTvZs0+Y8YDx8+vl5XSB5U5QNs2rNhhXrTpD2axbdW6rfkL4LC4q2lO6rtwRWiQi7HokEyWNHCjaThQylwzMHTKozNVwp8MT3LSBjTI4dicUxu7EtgPB6mXaY4rimgATC2WtTlZgl4V3eT7KO84aN3C4eYSv3UKH3nMoykf2CTVPaB6J6mIx4iqrOWg1x63mFIHiFo8NVi834xnjqnJ7WG7Py+0V5fa3csMLqHhdub2u3AGWO/ByB0W5w63c9METdLeToCs3HAqFD4WiGAolLrKf1SvqDoduKJQEy514udNUbs5aBSuwzenWZnrnT20kpLiqzTQ7b1M0GkWlWRvSmkHWsUq5gR0IN+ADMaEwfyZW5Sw3qIarEdcY08Fh1PFh1CmGUWe0T1dnVDHScIdxVbfopq2uZlbncNtu+yiGnCoCnSrE4CtAr1qyb83S0LqVR1auwF5eBvzyMvCXl2ExhaJSBdXDNah8GZlobN2FtGbJsE5JdZRSS2sNndiGMzsMGR+GzA9DXrzjRaXKKmfdvuzpq1g5DmLjWzM89N5iFqQC7X1fuURbxmNx4eLtbScXd502W6DNKLTVFpJm3Dcj7UgGRFIQKwoFHQU9BQMFIwUTBTOyjo/ly/FvcsgqacAhq2g74HTVvXfPBVne/zGoaKOibec3QP+hy6OSfwdqHjx1SiWJUyraLkh01cQplYROudNGRROnLGjklCVPnBKFOqWSxCkV5ZkyfwBxSiWhU+60UdHEKQsaOWXJE6ckQ51SSeKUinKnzB9AnFJJ6JQ7bVQ0ccqCRk5Z8sgpGTslc6dkrVMydkpWOSWrnJJVTslKp+SlU7qvwi7Et9fp0RPQPnR+W3DjpkahxaJp6Ao6CnoKBgpG2pD0wAQTXWkaR3cIithe0JvcrzZCzG0zCDK0guNxxug7ulxLQdKOKCIA6v05Y6Xac4VIWkSB7YUhgxWGmHqfl6dDuf77aXc2oe8HnufDt/Jyei37z9dLxuXP9Nf//ev5+z/F2/Lbh9+vb66C21ziekiD2VZmfHiYYLfXMCFtL1Kn/K2777bvvpu6b7feid9+J37eif+0rUx4CLK1wnQeN+7IeO/AEvZHCbuUCKIOr/USqvBaKaMKrw4NHl4dIvWrsq2777bvvja8Vsr47XeiDa+VMorwWq2gCq+VKprwkh8lZCkRnTq81kuowmuljCq8OjR4eHWI1Elk6+677buvDa+VMn77nWjDa6XMFF7/AvMX+C0=###1472:XlxV32DM 3fae 5a8eNq1m9tuG0cQRD8pnO6eCxGDv5AH28hDYCxkQUGCWBdQNJDPjyyRa63EAHOKO2+CwOqu6tlt8nClu6/T7c3tdH1/d/hlf/Xyw/7+28+fp+vv++nxcHW4mfY336aH+8fp+i+f/r47/NG+nPvV7fd/pxrTb58/fSi76ePvm7L59W5kl7ZLcot43yJet2j5uYU9tYjhLZ6Hlca28V1Kxcb3SKWNbzI9XF3/M+XRpx/jTz+eT99GJ8njk+SXJPnPsW3KrvjoDhctr84ulyyv/L5Fft1iWy5eXv0tLlpenW0uWl6gh768QJOX5RWjTz/Gn/6ly6uzTR6f5NLl1dnmguXV3eGi5dXZ5Wl52dX/t5i16Yf2w2bnCbz45f5wQVOAqURMpVMDQbMFpoyYsmOD5MY1G+DKiSs/djBBk4Gp2IHYMcdWRCTKSRSChsTP5EzyfKVwjQmaImgaCF9I+CKEL0L4kyYLmgrCVxK+CpdWIw2aMN2TxuJrr2b5lpRevyVlO34Szb5mtWOstKbHWNXjvJ98TY95l23Nasd38zUtllUtlhEW26oWTzeM27a36LTxTf9rp/3j4eH+0H8Pz5Kniw9rfnzS9spleAYJzCDxGaR5BhVreBgDYezYBZR3UN75rFyYlS9mFSBMgDDBZ5VB+czLF1C+8PIVlK/8pKtw0lU+6QbCtNOsej4LGUFXW2CoCZoCTCViKiEgMQFdjaCrCehqCroaQVcT0HWpcWCqE11NQVdT0NUEdDUBXY2gqwnoutS4oMmCpgiaKmgaGHIhQy7CkIswsCIMrAgDK8L+qmRgVbjsG2nQhBM5aaILZOw9ethr9ChOsLq7GsLqzqqxqkeI1Z1VO7G6u9pxI6xpsaw6xnmnbNb02FYdY5tv421v0U6uNs7VJnC1aVxtMlcb4GrjXG0CV9sZrk4gjIEwiKsNcLVxrjaBq+0MVxsIEyBM8FllUD7z8gWUL7x8BeUrP+kqnLTC1Qa42jhX+4mrDbx4RqCERSZoHCTphHEXYNwFGHcC4/4GxrnGgCknplw4PheOL4ipQF9b+Ft+541M0ARIn0n6LFwnWQjC8N0FfHcBq51gtQtY7cKTZxew2oUnz04Q2ReITNZWIw2aMF325Lnzz9Ctj0m6q5HHkZ1FY1WLMcJiXtViHmGxrGqxjLDYVrXInjs74GPnfOwCH7vGx36GjyuYQQIzSHwGjI9d5mMHfOycjx3wsXM+doGPXeZjB3zsnI8d8LFzPnbAx8752AEfO+djF/jYz/BxA2EaCIP4OMhz5xBQN4Q/sw7hWXUQPA4Bj5caYsqIKYbHS40JmgqCOAnigilHXLXUNBAkSJAQTiSE8CFcjoFYbKnZgoFlMrCMvrd4o0lCIxM0LmgymFghEyvCxAr7picE3l9qyMqrJH0VxttIgybcwYzdu//5Otua1QjSdf+H8JPF/wDX5e0r###3904:XlxV32DM 3ff0 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###4492:XlxV32DM 3fff 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###4416:XlxV32DM 3fff 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###4044:XlxV32DM 3fff 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###4204:XlxV32DM 3fff 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###4400:XlxV32DM 3fff 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###4432:XlxV32DM 3fff 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###4784:XlxV32DM 3fff 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###4636:XlxV32DM 3fff 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###4336:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 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###4676:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 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###4932:XlxV32DM 3fff 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###4660:XlxV32DM 3fff 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###4788:XlxV32DM 3fff 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###4728:XlxV32DM 3fff 1260eNrFW0uS3KoS3YwXwE+AqsJbcYRAKMKT64GHN7z3xzc/tFTqsn3jDdzdJhGChMyTeUg9zGGfz+9yNw/x+GKUf5hD1oYFG/Tz+UPuW24ogqP9TqL8/qHUWv+v1N5/p/679gtSKpn/cPmf+PeLkSmP557/GGUfRqpnbjkeUnvz/LIo9ZDHWl4hxrv1YupkJDbo2qCwoc1fY4PnC9LLwhekF5cXZGQZ9Pkrz1CWqWqc4SJkm6GKfswwT4zO0HRlLFUJOJYQRQ2GjCWXsVoJY/HV6j7W+Zg/pQrlL/Xv16rLrJ3nt/KjSNajS8p78wjPb1n/TRKZZCmSI0uMcERQJpUFaxYcSpC3VIGMWxlL7GOsoqn6lnwKqiQmJslvyeeiSCSOliVttL2OpjbHZqbLBPYmCWyd9RnZ3uOZRBWJyhLtFybQRaDrMi0T1DmbOjOZmKTMOf/4lTevqDM32Yd9SP/vP0bn/+istbqRTrdNU8pna4jDGkT/LdFcFD+h5hD8hA4LIyZnX5tcQMFWe0Zs2GvDjg1rbUjYEJ7DbHtDfIL9flcCZr6IMg0lFDa42qCxYakNBht0bVj6WKn5BGn677X5ABXjlQ8w6AOODz5gMn07m76ZTX+ZTd+/Nn1VR3Mnpm+UGxNb1aedk5tnqG9niFvPJyZnn+TbxKxYx8RMGBNTRflbd7xrG2vbYCw9+aQ8RBtLg3+zdozlpzXJeU1qXpOoOhXjdbEcxoW+LrTXLfn0ttcZwd2pmlX4SmP6XGMxzBqL/bVLBI258dr9WmPUR5STS/wNupUmABelV8kE4Ij0KpgAHFE+Vkww/BDxaU1A3H2k06IuWm1mSLIu+zOBQ0RRUF5idrey+Ptlq6hXH5GPrItn61L++pYtmXv+NmxdbuSev0mqhqoXl45PpWqijVb1jRLAEYSeJkAYUTsdjMHIDurLh6VOLbuyLHH7CuvSdWZf65Gsc8zOrT6cIuujoU9BI6GbsmHtcWCrME3ZcAiy5rpKm6Se2yEpuNrekI2jDbmTIctqusorAKvUtJBfs8B8OjBlzMxYFAow7QOYtOjAZBCYGBClYwYiNQPRZ2M/Xw3kc4AUOCAtQsyAtF4DEgG118hkZmRyMzItp8i0TlHrhg8UdSkVsKHOQkVsSM8Xga6qyGBPQM6q4fd0Cszv/adxrYpTXGuOjiGL1295xBwju0ugXA8ASvFpoFzm1fp5tfoaKC+g3HL1A+xYtd6r/z62cNfq5/NYrnDIq7e17iatQzbhd9D6dqX15TZ3wkW+SEA0OOFyCoh7dgQeLPXBNDFwzNUTPGoShAd1CDYacddhJ88wFAg4mqPZTIYuKuFphmASSJp0XJkAciaSs7SpAUocSjIBAYmQmKQMJpYGEpZIOgpknfeJq82DeLkA7GzYHbDLcwSw1baxJYiyhKapNTFJ1VRomlJMUvSuYlsD7q+gIUr3dUMykOzANQAO5sa6howhTaLY9mOgQrJMx2MNzGWbBGINGjcIGlu1GAAFmEk25EcJRHZ5ZpJJRgRXknqfG3IAnf8B+MoOvgsB3wllvivZoGKRoUFEGWiKxLtvyCsD3+DvfcMY8tfPdIwdL4Pn5ue38qMo1BsiKTuTD9IOBwkENN7zgkjw7P0K7ij7lh7qkUAFqqvA0sT4ODrgihmrT9H8DsRJenkK2tJMoC3FNWj3HvI50Jvh/MEigeDdNiNeGL4XmJxt/TTiIcDc4cp5fiO1usACdQACJ3c1H//8c8DTMwIvBIF/umMYfZkq88XJEQl1rEJxCRJYQgcmQf8t1cEk6K+IC9GK+zhlmAT8oju61ZftbshyHLVdYTvx3j4G2g7+ft122g4A4Uc21NoB03QUtB2Q029s/DLPHD9nA2x5pM8GCMyU1t0AHTFAbiTDNrhJdBvZ59CXBbQ/lHWDwdVTQi/1YG/AEhbJ+APK3kznTL6mD6S6OufGCGBQ4yVXdBdFI51wFuqsSIKyM4z5fdFGyee+Zf3M3OR0hGsQpPszLctsffAwtyOLfRT0ITC88j4S+uABb2EV9hHQB466SrLnl9n2hrTml9bX9DKfpIwGcLpMO11esvRSzNkk1fmUXeo7mrNkqCfZZZwyQ8pubnMyuc/J5Irs5g+ZGhOJsCRnsFEzLBE42q7hiCWZniaZHJ/EnFQeMz7td/jUMe5gkJbN07PgwurtgmNVO+QKabnCB/t6M0/Q4AO1qmk+ZteVOw1IPiUmjFOoM9T4OuQJtsZwbOijrzTBSpfls3ymOWYXoaalmkQ9lE3HnGiO66IERGNe0N9LNE8DAqvjRb5rBPjJuFxNw71PN7yE/zyfcOG3tYX5hPX+/F0xBPr59ok0/ERuM4zZcSLTH53In3bZmvctpz4/9fxaXlb++FZ+kNytddhGBwi9re7AU9RI4xN90HZw+HbEJ60dwML5QNsBaPTO2gGk7CCbWztEZnYl49f8rk64YmGBiybLTS1JsHWRld7siyxJSO1AUhI7MvxiQiSNd2YfL4uMyjWWtkPw5CzrD8GWs4q2Q3DmVk3bIZjTI14s7TT4W2RfSGrvrRMmiaczG+0gRwfIWa0fM8+qIPmvHWFE8dZdrWtXq7OGDqrHoMCQOKNoBzM6ALli09BLii1GyfhX2tWObyUXCk6vtB2yZJsCbYcc2eGh2Ogdh02JtmMaPjLQ1g70gUptnEoj9UXUQKScIJlD3PwPwpClhyHqNMht3OvS6KYT32OXccNlNI8Zp8hvGdx8GYsEfm732I4GUgJVv52Q8rbH5P6elJ+pt9d3wX9AwY8w6pNR07vMO94J/23C/WOikp5nJSZBXTLv/h3m/XRHbi+RZZBXPLtL7zK+5ppnd3+DZ7fP36Qfgr+k193foNdf5WXl9e6KVXf72zq+ZNXX5W+w6h90bF7mnBH4DHNBrxflM3rdevYI0uvSSyY5o9fbaEivy5VOgNLrcsPRJnrdayJh9MwkIfR6YgJkgYonpVNDel0GJkB6XW58NUivt4M6JI2aLqenT1x6VKo7p9eLPTd6vT5H+FHp+RKQXpfAnDYJoZ5sZBLM6yVS8kExer05tCEZa5C4BihuCpLR6xLrocxEr5MJcnpdusQkSK/Ljc3vhF5vgjN6vUmQXpfARjcJodelL2VXKVv3ATDqOoyun4fRqytt9Xk8vSMf9pvSqn7XTW+yG4WQBlBtl0AlfgeorlI1e4dY6yVixbe96XqFWD688KZ3AOHer+2i6R655SirZVa8oYdaqb/TSOVlAStnJG515SWQxK5WXu5i+Wi0RCYxCbHFlY+G9iuRgAwrt/mAZrqBs1jBWeCNW2BRODXvjZdI+oNJINBn9s1TA+ISaC5RDsha9kyqcoGmwcB9N/DttKryFYmoTkjE/0PIehep7ieR6nFEfv+HdZBY1XdSHXwVqtmXFFzeiiuKyLY85b+O2S4r8lbxtpe5ZJcExNiFxrmL2e5CNf0qVMvGhPgaWPX0sRIBC26MYRJyPwBXt02CZI3nj5CQAS3TMD4oW9nCJBCaUD/Iq/vkaomEhEL94DRJBJcS0KUYIibBF3UpkcdrK3+E1NmlyCTI8hCXwmghffQVFXOiVZDgV4uAxVPDfTcB9XQbFWBQojfbYxLZb0SONArBw3BZdtxzM/9j9o37H7NH8D/sPsHsK73eloZVDRC/Y5LlqbJJmqfKJjnugExa+DWCSXiNUEIRMSWaZu8kurEGrrfDHWwv17A9+SpznlaK+euQtI1pQI1a8J9mjfX7YcTVxKZSDZPimBjUg6f8ZGjoIYPtI7T/myi6zxAWkhghpwtCLgGnoTH+F3LYX55QflmRQv1pacsvhPpTYmVCketj5jiEYrkkCUaEYnkhCW2EYjZLQhuhmJ2T21ChGNcaNBHQJdkpwCprimIUq1DHJiTPuTAkEpI7Q3cwCSkR8IpJhs8thXuFVX0sD/WwEKWs3eTjxyoffkUnpiu6con34YpO6ynsBhCTSQNNaT98aPTJAsgU50+P+v3Gb5YWOSxUy0PT0iJSRVWYZrwCyHFdE5Sl9rKw3GOUhaUVpay+bhSINAFYCGJXHQWw61fwBeQK80o2a+ubtZ8yxS+uYD8Eb/3G9UWJUNnfPIk5vgIiaQcf6i+v4Ja/cAVHj8BPb0bxiIk0OIl7wnai95gMbQe1Y/GLiTQuiSbSdrCqaFbaPuww75K1H3Yp9F1Kl7v0+c05K9uqVSunexK3F3vy+a14VQNVFtw0YRlfFpPAdrYDlraf7IB15ztg3fkOWMd2IEqfte+yU3OwA7HvwHFnJ0jHX5a9Q5WQr8GkOSsSOsD/WHlxKV9u2GcOHm/cY83Izj6qVA78ptze+aiy6KUqrIxNNsoPtCnrIWU+cYTkpT/ZqLYhuvWvl3atA9mxFQekO7ZL2g7YpZImVTptsHo3FnXZyaNYE16O7f1yTFzV6Ijn3ceJ9nmTcHeqbWEfiQwCjaDhaQ3m/fXNOEEDLUOsHObpx4IB6Pmkf6Pw8upTg/cKHUM7C2fsmU7re3ltNPPHAlKNocJ7n/lF46+q9AJc0FhzXxXDldOrY8xkkTWSOfWywcI3fu6eWXjjoqRawKQsO5S1vfeFXxxxSvmDxC9x3IjHEb+UDt2Hm/GMacX6X0tL+7QrGoeyPl51G7qXAJau9co+8ggnBzYH7aFHD3QgOtIOanTAko+KLdBBjg7gUloUZXKHfHDrxUZdQfnjf1wkJhE=###4876:XlxV32DM 3fff 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###4984:XlxV32DM 3fff 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###4900:XlxV32DM 3fff 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###4800:XlxV32DM 3fff 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###4708:XlxV32DM 3fff 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###4496:XlxV32DM 3fff 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###4604:XlxV32DM 3fff 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###4708:XlxV32DM 3fff 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###4884:XlxV32DM 3fff 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###4680:XlxV32DM 3fff 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###5660:XlxV32DM 3fff 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###6860:XlxV32DM 3fff 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###7060:XlxV32DM 3fff 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###6932:XlxV32DM 3fff 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###4964:XlxV32DM 3fff 134ceNqlWUmW5CwOvpIZDZXvP0YfwGZY/pt6vapXd2/QACLSjojsqE0p/SGBBiSh+O3D8Wv7pf/80wntw1cj8i9Vtq+/vzuFWNzgE2B90d/fZ9oQO5NumPv6Z6/ll9YZsERYVr9UbtiZKizqmJ58sF/YGt+GWEUsFwWfgI/3c8y3435duNYVMD8xrWKTqd2QuQsM9jMbYccZEDvOgjKDbn/rAhjpHvQJn2BRF96xQphrdsmWMDjncZIOwTSVc21YN1AGjG0W7EEyyXgdUyzTT4z2Y5sF72g/Ml7HDGMFzPHPcaSxnyVstw07CYP9TCBMqdjB9NWppqrfO5pOQrUKKLZ/0rof58ysBljZkisUYqwGWJkxdGFm12cHJ8SwyOCmbATG7u26dsxODNwLYYHuzU6GDOznxn47q++bKN0w8vPf34nPkprwGb6bBozcm8pGYWFPCrXE5+x8GGpbAPN1jF3vdgz7LgDt0qkhE8PQ+yEzsj0T2jrlg/nywfb0JDMfpF8qimVqDvtE4ds/TQzD3pM9U2Edikc/gKLgh1SswKbuKNNNDPcDRVGmF9jUD/l2ttmG+2Gsgs1KEBjsB87qdgnazjgD3fsXjMFgCcsRb/o/8eD4DDowX4BPJCADnxOpKR1fKEDjfkn6YfBpwE55FvtFeQHPwnHWCCEzAd9IBwZ1iMfUgWVaFEXKwH72kPr1/SBnAJ/Z5h1TtX6hcEjZPSNRDFqymdsGH6eRRqB+cCiwi1OCr6d6uJsV7KIi37E0deiKdplexGfXHWWCDsZJ3S2ehfjUIWTCfpDO4ZyO4jNX9h8oA+c0fFdMnn4nmW4X9w/OAnxwFuelXeAsftjlEDL7/QPdSWae+YXsmUecbdIulssHykxSZup2SSzTilxANsvsd1Olfl0m1BHg86yD9xQvcCjg806es/P1WgH7Kc1BiNm8W43yegTYqAFnVJIo4s4D1gPWDG8cqWrzGdUBSm8KYF8knCdsAea7qjZ1MnejmFslCecJIzdbq1Ebc0PhQe6hGMB5whZqHOm1t5wORgEC6l+zyVB6qyS5U7SxtkbCecIKuR3D+sDwRgruk9rKOFfbkY4Nh8Bjc71DOE8YlQ4sfNsTw3uCAt/hPUu4pweCC/pjY9hp5nZ6cPPdQxi5AS6oWBh6n8Ms5zRLlnCeMCoWxtFCYr0bxYrFBc4T7tylUiBVroRI9CCsSk8MXAkEhvdWRoC2YkO7diqjQUqQMPgKKPBVqYklY4pFArFI+aZEysOdQEOWGCamlWUMb5QZ0aMN38dO4YHVOLDKJ104pA6Ek4CRGyjirhxcKnFwdYpdtHkJ5wnThaPwqK1vAOZOsIOUFWAeIHGyD8rOnPvg3IoA8wCRk9tbtZ0jB5wzas4k4TzhLrpuZKxqNbnearJF3VgZUzlkOJnWLU2MQqYOvhFqibE0MFbTUlOCBMoME6OzuOlVToSqsNOBArjEwvGSMX8DUSxgWWKOMNgy5SRqSTbcy/X+0LNpvMLUA4TeAlj1GKnz0Gz0Y3rzMBLOE6arujMcPXNHP+AjSjhPWIGZOIz0QWbSxzCvZxMGNmGYoT1j14/QHruqmiScJ4xJeR9vGr9zHfP7KHOBo1A7Nkin+N4MjfXImwiT8DiEK77SXo0Su0/uyHsD1ffe+Z3mN0yGSADr7kjw7qgBQQL4PEndPTV7SGBKHLEfOfbjTCHTkJUzTKoTDgKmUE11KBOmMn5Yit1gopdoD0lE8WEZzYJaRll0XuApmqw8Gpndshl3VjlxuU/cbyLR960HX/fkKAElR7m68vgAsH5PEMOKl4aykWtWpzgs+P4hnCdMBx76+NFa+XF5NT/ENO6HBBoq5zmXgW2BgIeRD0Fg/dEEwxt4xBh+hAIGlz4cpIzhBxzMc0BmJ0hmkbMehxg+sg0/4PCTJQzOWXkYUCF+7BcS2OhoHngofSpW/1Tjyo3E3z/SpTnnpeFr0T6OS3PGkfn4xZkPesVmNkLitjGpQpEAxA4ddpYdtqLum7ec7hxtX5xtX9YSzhNGb49KpY+RYY6ZYXhsBB9J3U7B3r6c7LgdpxVAQAXw3PIhFhBjh0s+dPjO0aviKDoQPXCTgcKLfmwCposejpFvDz3gkSbCTBPHMkJC7sivW8NNLswTMYDzCLZTYBjAmfXh6QRgqE8e+hwjccXRGsXZGo26o/bCBWIvo0AMBwCcJ9zd1wcPYlw0RhFd8qmI86QBIRJwAxK36zBKyuoLZ0Mwomknmg5QfKKgOFdOC3YUciWgmAKE+elRhyh551yZp+iuTa7j0U5Dl07gIzPXU2Dd/Ij1AYLlHmbPkV9FkR+1nvjOjfobIOCwgd95MNuBhysMeWAoyPcC5m854SAOB1xhl1ixhEFiNtwI+2oo71QzhgQ8PHEnygQC8k7iB322Ga2KRD9n5qFL/6Q3wnqH3uopPzE6ATJxpg37bUpiGTHc7+Rae8KzuOsARN/v3CLbjObIQIDNPBcsD3WqX2t4ZZxgTyMf9Iof+zgIUBLLiLFdisD6gwUIHORwdY1Ro12QAJl8JfGTJYz4dok5xHC/Tg2sj/+RgOgPE6OHVyewpsRoBYZnCdS4hrhPDHwEhMbZ+8Yze82z9zEnP7LEwhcRGfj0xCDtA4EzdMVDQR3xLEiA//Q+MTgLEBAvofKgsSZ4TiIBKTtzrwpDrD4sxWlWAR9ZOYxSPKiCTMPzfPidA4fknOoDn7P/ZALnRAIHakZiljD0H79nEHP0mwvak99BB3zqvzt0AuIzGx6yGvpNAgi87zrKgajiYSmcRTuB9RgEAs6Sy4jrneN6p6F8Lk5iGjH0beS7eWz8mwsQO2AcLzVS7Fb2X1BFDulgvzRsliSWEUPf5iKHnpgj0xjSGTnYVDz0RP8FMWCev1MVwA72baU4AwJ/38oTwzjrBOZI/lElaqwNQDgFecIGOShWPHyOkOs05zpL/usE+panellXwjoBWOQHJ8x5wZ6HJR/FUCUWCIOyHrmc4Yw707Abc0HhwWYx+LsYEHBXArcKAd7DBTE6C1d8mPNCngAiABYEVsaPAHDHxg8nmYezQDSZf/919sBI+9dq/8vG9PVf2zvvL4C8hMoC7QI6tgUKEtILFCVkF+iQkF+gU0JhgZKEjgXKElr1KhJa9aoCOhe9KN0StOh1KgktelGyJWjR6zQSWvSihoagRa9T+utc9Dqlv85Fr1P6K616SX+lVS/pr7TqJf2V3AJJf63ypLvSagzprrQaQ7orrcaQ7kqLMZJ0V1qMkaS78mKMJN3V8pmEpLva9ZOQdFdduaS76mKNJN1VV4HSXXUxfJLuqovhk3RXXcybpLvqvkDSXXWxfJL+qnGBpL/q4pQk/VXPBZL+qou/svRXzQsk/VUXV2bpr1ZWJST85bbFy9lKaPFXdhJa/JW9hBZ/ZemvvDglS3/lxbxZ+isv5s3SX3kxb5b+yot5s/RXXs0r/ZVXG0p/ldVQ0l9lsUaR/ipLiBbpr7LEYZH+KkscFnm/ymKoIu9XWQxV5P0qi6GKvF9lMVTZpSvXwwcJLa4sUUKrXoeEVr1OCa16JQmth5f+Kosry+KvxZXQWjiEzIzD/1jdOpMt/zGhPbRjM5p11QWjjHLRGBO3reWwaveGmZZUnLMNc8vXzlWtNhq+fyaj/WvfTUOcC+2+PMrJfdW64kb2vYxr3kULFxrdPn4gBzXp2LPzhJdyHHBnOI++tG+T0RZpo6yDFXcyfuAZkqe7POgFa7/TJ4VPOpfwMXX/04TZ2wAqbje6Hc7chI2/PdxzTlwjObfGqcFtj2qBKi1dJFBru+RzY4WDFVeG9D/bsRmya9CMufBF4DIDd4B/328T4ZG+79iDgv9vIdJWvV5/te7GWu/qqUTAXFvWiBC9s+z+lq7PT61uZbhb3tW3sM+ShNKjJi/l7EOO/SgR+ZGI7hKIfiOBYByiHPVRQhsJ+EWSfnWeOBKj+igx+pEYzdPYee4vC3t1zFz4K37z+vVpNrKxerjpr3PS3YmuE/3+4hY95ic9rFlaH2Uxl5KU77cVV9t1NdFohe+rtx+sxlxf2spXq1/kG5Jxd6bweCbKwubCrniHuNLomxqlL7XZRcXQYh/9pu6Ji+zL4swn8Tfni3cyOO+2deWmjr5Vf0eGN9wSKNkSpLUlKK63BO6+JSCjqRt1rh3FBmRHdRfrGwPqEQTP3OpfNgJ67Hm3z9Wp7K1b5XXRIpDF6mZIbRWm2kadxG+AX4sgutlt8gs+/7jvk1A1GNjiStytnsn6+QVy31LavOBsu2dX4tovV/vY60ZrRIIR/jRvnekqQfs3dnFPdZkrnuuyliPbeFX/jgX9xUtoTQqD93Kdu0yoYfC4JXG526Stfpjit7dSNVpYPTwJ+P6bN7xxcfv/72KvR7H/rFEcje2Hjeu1nJ83VGE0VO6jRnEbDZ7+SE4YDaf+qAF+3Ui/17iaIUd/1HD60XDel/7nFdJ8a92uMzHnU/V/7xO43Pf5nKHZkq9LuQ/2Dzz/ryxrRzTob0/P6+nNVTtiHxpesbaFf+LG5K4FIh3vxgifPYvUiPbRGvWBZaTWSB1ra6TNn945PpmW6CcOUfdq3q6+CuX9IhlfD4SgUM1x0M3e7ukAylwWwfv9Li7N/eiLSrUattOXb7Bvg7L2CrpqaoJ4a42L1PjMRVMX+L1E+Ou129trMW2ta/VFoAcuE0M3cxML9ic+ILvepY5Hy9/NQX6w302MbW8OOTGtXiVlTAIBUrd+MUO6K+j2cRZ3aWE193ks3A8WeFEihpxPh9n7KFn+oySnR5KzH7UY+2gx7K19wo9L6ConvNk4KWFnc5kz7M2cxdz8fKGeSClPJ73myYheVoQy58lYavoPYIFf4WEpNTqdvdRcvcJ5dOFe9NK8On57QV339Hho8+ZISM235sNq/ayev+jrzWXieRy2zZfP8/eJ/x8iVjR2###2028:XlxV32DM 3fff 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###1576:XlxV32DM 3fff 610eNq9WwmS2zAM+5IO0sd3Gjf/f0Jlyc1sYkeERcxOZ2e6TgiDEEVBsleeMssqufz81aekrHkNof4m+tQlx5x0zZKnEHQq38vlaonQJFq+95DP+JzFFf/t/jMYLzldxGtWF3+F738dH+F4vYhOOYLR8VK9tF913H2F756c8T72aVf5onZ8/MVxfynx+7U/Ui5pyNFEWK8RBmtvj16GZ57cqtzru6ddU8f9R+fNPe7nkW/xy3C87pUznLneyfwyOjs63r14ucwdj9ccnPHX+a/O/Fdn/qsz/9WVv8Lz7jp/PP46f3XMe619Iwx3Xb25Zjr5l6u5XFdd5PGJ8POT61hdyr+nxN0hXeLUTAycmsOBlDpIwUSqah5I8hUJyq2O68ON0+rr0c0MwWl1/uhqXWcdIS8MJxw42YWD6IPgYPooSR8l6aMkfZSiz0xRR4ZRtk/38vOTTt/41Gb7dFEEHBtFD4z4FSO9zfOto81maGOzaeO9dfksFDYcLjZKenGJn2vK+27HvUagSPa69doBUjgliNP6QspOJFZ2lk9IsE9AcotIHwMYKYBjddXX6Q0BRwA+1mrxOs1y9nkUB8tLKHwYLgGtaARndq+D8VQ9W7d79HDEvYLFqs1CYROhfs/B+d81PnvGfZy+S0BxbJUnCpeJwASpmtZzti4XQdhUx7x1xwnBYfGxXEs89S4Pn0TCCSSdA4XPe08ex5l+CeXbmP/v66F2ZMY5RKgqL26nEk7V42GUKV4VRbIdZgB3t7YzxJFYnJCRy0gFGCcJKI7lDRtOdHuxUH2CknDyL+LYOkfCGQmOc82ndbT1tEe9v8av4ErYd2IrxYc1lImCooSMFFpJe6cj8AiZKOMerNXcUme2uDs7itOv3Pm0tx7xuA1FXPU/nzr5SM3NJ1VGam6GzuNsDHWfxzWUyVm3qCp9NzqfevcoF3XOofacJjpXfQVdn+VnFDx/sWY0ioPwQToDgjO5PZp+jPe4NkoaKa87U/D8r+9hFNwr9E+3OE/AFF5Lem5KT65hlEukZCTD49w6lZy6zP1VTeooZ+dagqLYTBKFSSIwiU7PgaLYTAKBCbK62ih+5y6g57ZRJgKG37fjKD3ngqL0z61QlL6jE4oXa0+whaBuonBBag5BGc+o9f10ei4y5hQazuz2LSgOxidQ+ESCx0Tz6q/SiXKqlJxnOPeUQVC8u/L2dM/bgePp+Sk6o7b9/UWR+r/yDXke7zMux764flJy3ZEmifK3rsPt6v5eetBc7/nnBlq8REvvaJoK66esx+nd9Kqf4+p7dlWhPSOpXH98WuLLN1SKKs99KG7jthP1ovuO0EP+8uR2pjwZSqQngonw3hBas9ZbTDgOos9E0mci6aMkfZSkj5L0UZI+QtJHSPoISR8huEZoB2h6LATFyKk62ORVGEThcDGq7/S0baj2YBRbl0jRJVJ0CRRdAkWXQNGFsYdj7HeUsSNldBbCPpKxixSCHoxOmwl6MHp1JuiRne/ZoO8DIzu96Dx5R1H6Z+boXtredWIoti6Boksg6BKH/2rpH6tp7bk=###2712:XlxV32DM 3fff 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###2628:XlxV32DM 3fff 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###1604:XlxV32DM 3fff 62ceNrNmmliGjEMRq/kRfJynZLm/keoGQPJBDM8zZb+aSE8S14+ybJnNOmnFIlykT/6qSWGGLW2f7Nz8tl+C6qSpLRPF2mfVBoVpaqIxtiY3jKNSblMzMP+9H+4+pFL+1bl72SvxDL5nLO5f2r9uZHjX1/Y/5jZr81+PtT6qt631qru2DGYfRy9DjHW/8++eZY06o6jmEeDj273dRh5OGKmRn7y/zhTK8axr3JHHvZV1ccPD/6EDLLai8n+kTlqg4cVM3VkNtzgYcVIjs2I4eA8EtaOwJhHwuGZPZyUEcNJO0g4PC/KKXlRDs6Lcriy9OAY1O2K0tDOHrl9H59r2i47O7Pc2k+nlg/xVw+TpyTXU4y8YjVs89Xbt1PUt1NTs1YbU36esJAXZGl+ntvkD1kanQ1nbDhaecZMo1siaDRvfdzX8bcZ+zrp+phGyviuwdnvH+1v8rC1j8+XNpf7dV/LtzrpNwjnRNqCrx0jrXs5L9IGdzAnRdrG6uHNuGTay89RxoKvHZXRvZynjO7vN5Sx/rTS5z0+8lGM/jaGL/089fvKj7PjUuZrNj7VPTzJODvsm23f+Dw626YYToupBV87xlT3cl5MdX+/EVPrzzbPdxZX9kldU6Q9s2pgk4HNhOU9MNoskIzTr4wUTCom08ZZcnjsbuDdYzICMkz1JZml8KhEv6s8tOj5s9giQ9vBQJad+usGth2ctVf9nZOC57eTiknLSgheiU4WTFZIhilHMzJikvYzYpsRr5XgtdIXmhqRnvYTak8gp5BLWPOKSR5HFZIsijvpIRnnCn6TS5a0NFglvp54XhWNTF9qxD9xCrkMuQK5CjmPe2jLnooznS4oakTSmYrYJst0iiueSR/Yu6DaSB+nLEYW41oJXiuFEZIglyFXIedxDNsqHcU5ckkpDq+/w+v/TLI8lmF+yjDvZJh3Mq6XMq5tMq5tMq5YMo65jCMo49om49qmk4mSUBkJcgVyrGbJ+BSWcbWQcbWwtI6D2MHeFY294BNHwWovWO0F729lIX7nI6qwsqm4EqnmSqTiWa347qfiKK4wQiqu/yuOpYr3oYr3oYojpL/hxW7oHNyJOslW8/6uXDCw0cAmA8v7++7ENG6jBvuWvhQDWzErKHKW9OOwftywr97AWuwWA2uIoTfV/HA2UKXa77D5bbdH9cidrYZbdGdgI2apgr1Bwd6g4M4GAysGNhnYjNmEdcN2oTtbDGzFLHxecFthZ2D5PAjMJ0tqGLPRwIqB5eumcH4DrPT87RlDMbAVs3RX7iy3KzDvBUO8B0O8B0O8B0O8B3xuvb+XGgysGliLdjJWJM06wZB1+BOvO+sNrBrYZGD52KJhbDSbBXy+WNakM2jyJys4Qwm87/KLz03GbMBsxqPyJpZFhOKzm+J5VTyvyt9DMNzL39lkYLOBtYytYpbWmmrIzwpvJbzh1tsvPskZs8HAJsxGWDcpvkH0hjv9ZZU5g8qcYYXv795rf+vqB//tly9ei5b79xet4+O9vnWt06bWuqm1bGodN7QOm8YdNq1Yj7/1rd2G1mVD21c6vY7m8qatvOj1c9t/mkflgg==###1724:XlxV32DM 3fff 6a4eNqtmk2a4zgIhq8kEFhy+hxzgOlUatm7WfXTdx+X5SRt6/+jdlWxXyQBQoB8F9Uod/3U6Mmrrt771Tnxcn8+2f/+6Wn79fXf+Y2/2Ghgg4FdDKwaWIFZXFO4nuZm+3GQspF0mu/Hi3XOaeyyAWZxkj0X2a/VfnRZB7MRJsMU+bQrb6Se1nq/6Cl2aKns+FF6MdGWmfuTnWZpNq2bTevmStQZpclEW7RGJq1RJe6N0sFAKxg5E6sGlmFWwNM4scHALgZWDKxFVwSzbNAzG3RFhnEJzCF4Ood4nlKU7YTxM5kyj5xlxcB6A8sGlmD2nNPOsg5m2WDfszfPstHA4n61fLs393I9yuLrLCsGlg2sg1lf8YwxNsIsV3b+GIuvlwzrpUrUGGEXqIZwe1xHs0K3+0Y00B7OKV2j6zBKq4kmA20ZWUzWclDm4Az9IWfoDzlDf8gZejyJZZhlw5zRrNJlUW+WxddLhvXiFkK6WmtWW42e/Wvj9O6THsquVjjHSSQ6ZgQ5hU7ONfP6GZKgbCyR+JgKkkivLxr6JxGOghHuc8fdnhEkCapRQ9bnGfXbRApIYrEkwLVpyKwyR6JjEqzbCHILakvYB5BokEiCSQeSAtUZAa5eW37XJxmq5AJcx4VGX6FPoppFx1PgTNDM78bPBG30iMfYBWbRqksN9xeanfWzLK7nFSZx++ArVYNPINWhNjoII2SAyQUmCSSxLEyzU3uORGfLsG4ZHpNgHyLYngRbBZ0r6u2oPdD1IdW1NLKhdgYm+95SmPQgieXSkvWHZkiGNcTQ/Y7ANzT4VzwC9x8EzP0FzP3l4utTPoDOE6oYBK4YWrurT2J5vzTqzT6JVQwCVwz4l2MC3/oIXKUI3PcSuO8lcN8rkQJUOdzIRHpZqeWLJzZUDAx3vxjOuxjuwTOcPXFm1eHvSeBOFGU57QzJ2JcG+LcRja85One6jRuDEVJg0oMkFq8p8/g5Ug13/MHALgbWMmcBO0U0XNmv8lC5cOF4++kbO/fnzy9VF27uRj9//xJebnznH/8J641//CMst7g8fvuwZSz/XqRunqKbHE+SvpC8y+c2xrrJ/nrvU/jIrd/UcXOimzDPVSr1IM7U1y/bv14SdUhgUL7LqP5aUq6XUdU3S+P6QQnpzfx3umjmWb++3/xUVTnOy6Svko4ybe4rL705YOOKtz3k8bLBQ7kg/13xp+d1zccTd/RaO1ZOu/tM7b7R9aIx+VcvSqvxx8rlufJdC+WVX7VUWrlM2DuNWx4rm5eGwrzeGV56PrP2q8b0sPnZP5ajutPD4mleus/FZ1ZYXk/1O3bEYfuSvTPPKO6IY07TO+ISkyp+p5Bfaz4nDcfsKbPu8npai1JU2G1xjxctqrTqth3y9S+veKNvLyquZGxOrm+HopUVtHI7EpfjzPp6Onoavm8y2rGDipGZGu+WfneT8acW6/rz7e6aYnzUGa+r7roARfuWf9f8auw8LXep93xPr135si36t6E1T9sz0GueWeld1yzy9Sz7UrnSE6xEoJTNXrPsaheiZrGP9PfQ11GV0+WYyQLK8IcEqswiwHaZk9HSRhhayXstJduOyXAvbdDQ1yKzNhmT0bJJ6Sa/cgofulBQRs0mrRvrSow+qj+LHP+SQhUp8RtkLN8gQ7syntbJ7dO6a6xVHvemFB2QIi8pNHQHWYsB92sM+B9hQieM###2588:XlxV32DM 3fff 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###2868:XlxV32DM 3fff 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###2828:XlxV32DM 3fff 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###2944:XlxV32DM 3fff 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###2508:XlxV32DM 3fff 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###2484:XlxV32DM 3fff 99ceNqtW02S4yoMvhJIyOCec7wD9HQ6y9nNKjV3fzYmGBsJZNPVm1QaCf1+CKHM7pueDtChNcZ9xc+0fEL35YjC+g0FtAg0L2vg+J/l09N5Ny/fzW8+xlBY/p7ONvhMXT624AMMH1r4IM5dPhipvyIfN8DHocn2GeMzJz7I2oeifcyPyKPjE7I8I3wIfeLjhuxDMTY2PjggD2b7bPGzfu8OMRjlzXRPIlpy4PTtfMgOPpbfegNZUaqSey3JojEtdGgdbVkoaHNBh8Qv5tGBzkY6F1cQgrijO9GZSEcrHXl0G13iUe/SlS7yuC9dbUkt5YZutayYKHfNePvJdnCiHaDr3y0L71CSEFOKPU90ObM6Fqxj0UVKW1mwFRvIrOYsuOc7ZzUUvjc3dds43qMMNylrD+5YSQTRgyB4AhhPRMolOjHvuXEBdjXjt0jJe0IjFW99HeUsU6ZYNoINJ9kSu26JCwhoao9262Q1Ku1mD6uvIq475rGYVRIutU4BVODsfUp/k1JCaBD2QSE37uJi5Pjv3x9aFnyYD/f642D6ePhff5cj/gN+/efAfVj8fK1Wx8+F7unmhbLca8rR5xdv5HgSTr0tVn3jbL1f/YZcJcpV9KSoEjFXOYHN0ekmtiYrNbMbE7Zq7ewPWR6pCMit6OMekXItvt/ZVfxnwYdVg0fkUlIU3AV84ms9UvnwQvW4xSUscem3uET7OAQmzOGFq8k+pcMoAZwp4EEE7JwqvGMmhTnKtVJhXcMEJEld2psSFPMHO+QVVOgIrTJgt4JSL9dYq9drOuqVPteSClZIB7lYZuYD1l6SyinjooTwVlzwV/ZVSorgHq9lioB3jJ6JA7c2wicIclOxom/7coXGU5xfQVztuhE41vSQmjmPsxTlfyQpYhMGDofE4xwPN/lcb1b8UJPqyGcDVdxB1cL3EVSn7xVU7QlUIbpjczcUFdqXmKSYK8U9UOSQxWKFfDYSuw9/JkEjkDc6Uoa9pA00dG/J1KILJwv7ZDOpJ7Ofqn2IgYuSekFSW1QipaT8zctUq0G411iWMhwrHraKFiNKjCINl9U+cCgN7AXKKltESt6vfYiI1KlzvNSjAidQgKjM6VFZtA1/b06SRNmnw7oZdZf1DYCRzwaAbr/tWLAHAHzSCwODfsBmiisymo+fMqN7uAHpZsQjrOjdZKe1ficKHRxwUpSzK2t7BpZ+UvT9UNTh+q3sbqSH3VorDm3RQEs02N9bODx/H6IhzP6FvjoOLXNNLys5zeFlG1d9CaSMCJHtKhVkt3chimRw2/cU6+gaRKUqcLopoa0u21xI95oos07LZvL2ZRUPitz003ph8zxWtxnqlTDl3bHhs0p3tc80+qAcVReywQqliTm1X6lZpvaldRfKCxLiq8WllHVydqMQV5crtM3Ieh9J8lE9rVDGwflgE1rIpiid+m19rW4oFqXvRl7rAmDE6wKIq6vMFJCDtY7q0UWL/XWWCtoLaKDdR3O+HToYqWHYeKA4+QZErJq7XQYU9DpZQfXgoqV0VS7qKeGqNVPD/ajlXHQBfYH71OjYXKtqgtgrWfk8qu7XQ9X9uiOJa0rSvra8Ly0W7cDlx6ZRHqlnY35oMMSoe2M+D7zg0EWTctnMj7xoOUUsel/rhmSyxdiU3CHTcNKMceku0RoraTjprKThVFwOh/iYwkbtVtS1UaX7bQaXMq3qyV7MWP9uV4j4oeGDGT9GUGhD00f1hHmdj3tjL9uD1jdhXBHROMCpH9FaTr6IaDvAh4qInoYwFnJ/fYTP5rOvjjxwecjxTvUhUfZrwPxsO60tlc/UUjnOEwTz/cIJ/amlUgtnL8wn6s3TPhL17sLsLhoKn/Yzj55Pe7ZVX3q0Z1u16dU7VPXA0Tvm9Zx8AUFuCBalg/4qUG/efzQfLzXHj8vHDw0dhiaXr26IT8iH6thbAuVDfsjKrHWuli6wv7S1u/E95CgyY6xINEVmjHEKRWaMlNIa3XTFvUY33dXFF7k6DZX3vWJIr93Z3tqrr2Zin7+Eg+IS7scl6RYcUjsYO22L1jxoR05xFlFfcYSblPzEej21LKFNuy3Wn8Rt2KQxW3ss9Ox9Tzd/WaDZxQ5Q+puU0lMLdGc3ndB6h9OstW+MnGEemNLMWr/nRY6r3a0ckenqdrz2ieSseVsXc+nBzjbniLA5r423KcNNysYz6sWnC3vpgUS7i208TfYenIJqT+lhq14tPaB52RIpL6GwBG83Wzy0QIFQoIxf2crHR26dL1lLiTJpd7GKR/grvpSe0vVPndr9af89gi8ndObTDxLm/QcJmkEWakBPOXbDA1V37KY73UvqkRvqBlk4BjA3pS0PIomrD9+LHLgw+OY4vHtBDA9SwjNvdVIU11h4ZmRU6NRp3MIyFD9HgHCanH2uk7PA9LUcO2IN7cfWG0PT5od+K6PvqUBhpGmoZ9Aem9bfZcV+0f8b/Xsm###2496:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###2684:XlxV32DM 3fff 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###2464:XlxV32DM 3fff 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###2932:XlxV32DM 3fff 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###2764:XlxV32DM 3fff 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###2784:XlxV32DM 3fff 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###2868:XlxV32DM 3fff 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###2792:XlxV32DM 3fff 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###2996:XlxV32DM 3fff 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###2628:XlxV32DM 3fff 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###2880:XlxV32DM 3fff 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###2944:XlxV32DM 3fff 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###2784:XlxV32DM 3fff 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###2900:XlxV32DM 3fff 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###2864:XlxV32DM 3fff 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###2996:XlxV32DM 3fff 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###3000:XlxV32DM 3fff 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###2828:XlxV32DM 3fff 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###2908:XlxV32DM 3fff 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###2992:XlxV32DM 3fff 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###2772:XlxV32DM 3fff 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###2636:XlxV32DM 3fff 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###2756:XlxV32DM 3fff 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###2828:XlxV32DM 3fff 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###2968:XlxV32DM 3fff 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###2848:XlxV32DM 3fff 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###2760:XlxV32DM 3fff ab0eNqtm02atCgMgK8kkID2nGMOYJfW8tvNqp65+ygqBkz4q9l1t+EvkDchpFcDoI0ZBphgRcA3jkbjZLRRw4AOndGARm/fV1gv2X///YPWLT/Dj/n8AW1/tHr/9Q9o/NF//Q0afqbfj0Ezg4EXII7wivvdfwcFC27ftt+I1PbTG9w2FwPv7SsYJc1NkETcvsYr2ual/Wh7exPaH5IYtRqiseAhO0Tj7pJb75sMkFUsSVvyd6ktjtvPcGt23TRrL83OkWbVip9tqW5TLrth8PITVeeG6X0IRoEmfI83l5N14XtJ1oRxb9mXV6xiZO/v53z9YUtllZc14Tsmm38p0jCbcLfNb8LRdv+mjI0P5NYLei0M4kG9e9HX0Y7mQntRxV7ATGcv21l99KKre7nMTPtebn2iaEBaON7HjKTV+JmiKphxalpBp2jOE6CFXdUtsz97489xah+S1rLYoEg8e0tPbGmO7jzn+jL3NwXpKwapLYNUV4LUVoN0l8R9jQ/XQJDov5/4ZLWORDa3x2jGbms52r48PvHU5zhQfLpIn1q7z74NET73wdRhduyxZXzK1sqGVtSTrKzaXEDIeqttOwic17HBNNztdYKsEszokpXg+DS/3Ip31CaIF7zoIRmbw1AwPgkzjj0G6gZiF2Zy62yBXY0DGIID0Jm19DmANpcGfgaL/9l+4UZcmIuR3HJhVzXTTpPwQ5EwYWBkBxJS0LDmGSYcK01lQZDVSUjBy/Knl4QqB3IURfgUIed3/BhbQLipRPhUjfDJO0P0K3pGtfQ7RoxTgv1gli+QnA7O7mJZDuVTt81gsBnYz8CxJ5q6AYij6Jfdo2jLRNGGQG0gx2IoRMZ52TgyHsiRN4XIOKcszi8/QEdwzq3h/o7bX80RRbGO6TYFk70OoddL5dy2vow3JfGQ+u94zkuWdbFs6zXNX8EUyhe2W5u1Wmc0031NxGuGEcyXeP1tV00GSCZ1W2VT63QsGBwL7LM6DNZQiNrmhAJUQVS8GwiSFxh1dUJBk4hYidFz7h4Shw9tJ8W39busbxQCQeFiYhTOZkchRCjcBzMSTE7/+zRCJF59yEq6RJI3lcr5iLkbCF+lUVRxnEvSVvk9ub3k9fiNNl8AyVTjyH61dhNFxEu0t9/BDCoO+dWHkTVYwmGYhens4dDAcsX7h6khgdhvfJm3+NnazMJYC5sTXSpyotKuK2E/u9cbNBaQbQlYfscYLMv8jLGkqaIY2KdgUVlJl0gyhlilOiRgUVmwSAR31eNwrWuxosS/D1mw6CJYsHb+IVpkYiMSKwoI4lLuGcno72xrTh8r15pk/HqMwWv49LPQ2ccx+pKJDcswhWCU2A1TF2AaDNtRjM2NGNOVGLOVGOMd0NSp9Sns2/XgMo7bat21WowzhoN+PrikUNLic0sKJS0SOd87F0LzoJQk+yE1ZldXnqliZmo6ZirjzBRxNlavtE7Te2sHdvPYqzDnvla2WldWzMVMnSiJ/HsnFCOUdEJxOjFiBKTh/zCPmj5UAEV4WpgoFuOnBetKWDSVWJwqsTgJtmK7d88GrQU0znTFQxLh7WTMr9jPNf5td8hX778UvDru/b3u3Y9c/BiSsRIaTTVwTCZXVLMJSnwrs2cb+lK2ik9JNKaUH4fwWv8hGTJ2jn2Bq9UWdh6Yo+Xiszjd1zAhK9ViqmMwVN1t7FM4+nwFgO1+/3+6Kyi6K1W4BfGndmw4s5dDNx3jpIi69EMeNyve8rmxyPPpAYgXxQ80AheqgKtrkyiC/ehu+7lTISQLupAr9fxOcnULn6uDhryNdKGVJOMrV1VrrmXGPKE109LVRynfA63+sRMSECBhK/fBVr3pP9uXcn+sDrrzjvVjpysP+Mjmak3ikjHgA++MczYXzGez8cpRH+a3UuCoyosvfJ2/g6/zd9CTv3tT2MTZSuX8xVdzsNkcf64WD4oLLKXFOQ+DrIpcmI2ApuJcVf1IQk4wPcBSEQ4PDbzquIrlLemFPT/X+mwXdGeq2tBnAvpQ1ERbXWMfxqNYzxvCNBCzn9fIEGYbF3A8zb4/3wWN9XfXTaHuToHVdwqMy83EQp6+PdNhz4K+Fbn4zVNSnOHBg48aPZTLPu+SygwAdCZfUjmSUNB8B8Cvxio8eU1OfELGYiGrSq4ChZHYAwTJsah5aHhCra9mD7sr9toufWMAAXaDkc9WtYGxVDeIX1YN1uojetI4DFVTMP7GRRkqLsp4grE/4wVixkuO8TCJIZEtsbr0iYUCq6FzJzDsJnEvtLRlhsosGlRm0SagMLXJ88XyzKLJJmZIDf1TbUDq9U026wRJ1smnvHf1sBtNv0o13pWzF7xhGqFJGQpVP5LgTaHKm3JXoMxIop6xKxeKTbnQHJRej2wWj3jTsIOl0tAWPB9nZ7n+P6ATz+Ff1jIRfF/VXBue+fRDWx/P6rCJlqzMcRGHe318ukmGU3+ODcQc23UpV9kLv8pUn/bt0bMmcbIUrUnl3Ov1jFPhPFJcrgGzl8d8hbVmfCQ2loQAiw9TKGQxX477nLcVS4F1JuI1DZfrhY7VndGyX1TS2ZZMnjTzL99rsSKvGirPuvGoA9qUkF6owRIEtPX28SzrmGgRyxyXdbhZAht+nctDMZe3ine68u0ROk9JVCB06GUkWb9p4f4zAtlyF+ElSbw+phEX/89xGEpksOltK4lG2aM5Vj91jMwjxliFvpVrLb4ii3oU4u66lWYQkH1x/A/opuhV###2820:XlxV32DM 3fff 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###2764:XlxV32DM 3fff ab4eNq9W0167KgO3ZJBCOz0OnoBKds17Nkb5eu9NwU2FrYE2OTdO7ipxCCMjn6OBGXAzAZxNAu+cQSFE/h/w4Ajvg2gGgZDRvhPb+PM5P/2RkQDY/6cShDmTWb1v2tQn7lxFUBBiqlKwTD388yAfvwuxg+JUvR5zSRFN0gZNynhzf/99x+0L/gavuDnH6Ptl0b4639G45f+62+jzdc4/Pg53+JqQZL/3z+roKBg8iM+72FOMviRq5lB+TcGZhak5xg+c8hNHahPCXW768h4HdmoI3itmY7Uy/74SR81Mdvz6Puf/kU/8CHqsCmVbUonVcTnGJ5zhjQyyjCsCk1aWYeVa2/GreburbYBNvCAbc+l1WSjNpuZqaqjh/dof2PmLaKblyXkYznzgWYZSjBfALrX+WQl5O+ilcwh3OBjJwh4BCcw59E3Ql/cR0BPlKIbAqhLATQFLaRBa7gdtExT0NJhfEvQiiNXswaPU4IFxOeSf0PRB2qaTn5yBC1LdKR0pqNZ/4C76Mj41cyBWWNgz+39kMGODFHHmLNmTdinS88xRShpLDBjtTBWkWhXHqtD8CdjWd+4pvE0t+pXOvmV2nFyFKc8AX9PP2AJTjEWYNjV7udKjAm73vN9ktnsyHXLUgMza0rPQyRHx2Y0s4/dnkeL573jsJeadyCrdQOqwTswZZFx1/pItD688wiiuAiSe4du9A7b7B026MsFHcGFIYRZ23OJ9ugQKa95w4Cq5o0w11tmtM0UQyZqm3mcndyPdxReSztZLcaS6+iOiDNIESdRSdnu5gLr4vJfq93FucFaDrv79ho1u93NOZXU44dKDhmVlLcXg4m7Ei8vUgdlfubDPn8bGSnY2jSLqicQWJaVH+rAIitHIXC2qBKPekM0oxZAMNU/qtGI9tR+6KtkwneIRVHORV7JdAfBdHE3uhcNdq/cjV+yG6uuGq8U7GKadecCRbBc2MLeQOydC5HUyu9Ra5MhOxfo7LzT2ajZmWo2J1lq/XhzTbNBI/lv/tO6y19ouBgz+YuKJI7ReJZ4nbFep6sYAOnz666taPN1f7OHPXd47akAqO63XsyiaJlnUnMlXnG9mChr1GvYUVyplbgMRfeuk41n5Yrsf1vm2PxPVcgGr9PhMdUYDv0dJPhN7dzkdm55O9ennMZ57CBgqPcief950TA7mhtVxYHIaM3gt/YoEWN9lxg/lpII3Gd0wHMeqMW3dBWP1XqpoBaLz93iNWPxmli8FjStBZvXDblDp9yxNzFmRW0+J9cLnm1etqKWmKXvxKz2VQt8UDfk4qnD5qbUD4fHUk4End9DMbOYxARmfVi8eucF5fSWOZb+hYJSFwpK3cbz9336vwLhXHIpunGyD1cR+b59nCPi3HlvQEYNw+Ev6j035wgoerXpsEKTrLAnCp9rm/t7QDbjQKEJd8RBLlrAHqua8l3e3GXlnOVFPA31mFNVstQ9pqcqEU+euJjGeMwpuhW9RXd6i37mLUi1216Z6MbKZLbUG/PKRA0evol3R65MaDrvOiQ8LVj0rxQsLQ49poIFhcJj9QZh9mbMtRD2Y13quEp9Whe649fdHjOXU8JfGvrDNaRK5aROu5Ho6t5ZnB01z7wkeqm8682ZZ09JJFNxKPcK0ymmam68qZzAF9sXqqN9oR61L+aROrE5OfHIOzHcOhAFsdcFDSwv1QPM4Vi7lLzKYfaTmP/QdDBs08rrUSOn6uLqxoYcrGBy/2vt7coVezqaUazLP+sXFOWc5UWjmajrQvPBIvxCbVfmNEao7VR6XjpY1DcOITV/CLk5t+YveKTwIR0sTo+P/ePcOeupzN8Up+E2Tj0VCYgVyY4TVHCih8VQwak2Fk5jHYvTcVnFFXGCjntcp4gWcSLdebWe6hofg8cKTj08GIrdeXOJZlecBqL7oYLTIF4UimNtflFIuMKk90sR9AoTd5qQX0CqRPixA9Mx9SJS/2ummC63fa+H3oBMbzZMVQVTRTBVFUxrY+E8lqVY1xgpdfJNx9Wo1O09YiQ5X5kylNbXuaOggkXowpWy/YrDyQa3eZZccrhxDY6s+vAiXPW9G67CsTLq3A/E/djeS2jkjWr+mZhHOhGd1wP3vPMwj7H4aNWfky9+MbgrAXes4q4bcHc3cHdCN0x1IYYJMdOBGLlmcyBGToiWDsT0dv9hZU4COMQkrmariNXvpkuRQn7vtv7lHcRsQgy7EMs6oQGxZThuTZ/6Q8v3pwGFLGiW2TwQMiA7GTMrK5mus9ypLON7G9U3Y1PaPgurSc2Uw2SAR1dMCW5pRXoH1hQTzZJSsrhvcXT7+vZXpLhq1xALgc81dPyOCx3Y4Y5tcvCX5Ji7cqJbq4Pags39+s31lS9xsdJZXvQR6fNztOV9h5Pp7frfynTmuUjfcqmS35FpiPRwI9J/xgZj3Ryfn0dHSE3o53nBpbxguwwoa4VHfOk5nmok3Vgg3exXhTKAb39ZiKzaR7rxF0g3/kHSffr60+MIxJHuxRDgoZHDYYF1s185YoAfBOBtFfjnrBv/GOtWiSSUoMdHrHtBAhl2QLbR7o2NFWj3xsa0ANlYhew57cY/RrsrXy29ARlDu8n3fuD7Rn7G1vzsiE24xgSNcoLebAKqNiHFb1O1iecJGh8maPw/J2iVmiNQCB74LEHTU8G8K7YYyentjUSnoEyS+1Ku/YWUa/9oysUEpe6Qw6bciUD5upyy4w0onQClq0L5PIl6Cf8Bam7v7w==###2604:XlxV32DM 3fff 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###2424:XlxV32DM 3fff 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###2792:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###3188:XlxV32DM 3fff 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###2996:XlxV32DM 3fff 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###2932:XlxV32DM 3fff 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###2708:XlxV32DM 3fff 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###2760:XlxV32DM 3fff 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###2960:XlxV32DM 3fff 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###3048:XlxV32DM 3fff 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###3064:XlxV32DM 3fff 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###2932:XlxV32DM 3fff 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###3148:XlxV32DM 3fff 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###3100:XlxV32DM 3fff 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###3172:XlxV32DM 3fff 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###3044:XlxV32DM 3fff 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###2368:XlxV32DM 3fff 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###2544:XlxV32DM 3fff 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###2640:XlxV32DM 3fff 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###3672:XlxV32DM 3fff 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###3680:XlxV32DM 2797 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 \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngc =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngc b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngc new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngc (revision 224) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$bde4=7<2:;<=??;123456789:;<=>?1028456789:;?ADCB55=789:;<=>?CBABA@C682:;<=>?010BE=678;;;7=>?012346678KKJM<>401234567>?<;ONM?1193456789:3<=>?01224>6789:;<=ON014B457739:;<=>?0B234@GFI8:0<=>?0123BD@FNH9J==5?0123456ANHKM?LO>0:23456789LMJKML4133?56789:;?01:;?56781:;<<>40123E=33IHKJMLON1193456ANOO;<=?IFGD7?5679=1;<=<>0:234FGFIL:;<=ONA@33?5648HKIM=>?0@CBE46<89??<=O7A@CBEDGF<2:;4=??;12A45@EN=:M<9HIF02845@A89LM<=HK01236>66<2::==6400374477:2:946>=012345><8;:9JINA@C1?52?39>;8<:>0593016539237=6?81:34==701:3<5>;;1:;<46<8HKJ<5675@CB0=>?991;O=>NE@C3456FIHK:<6>I0G2EBC>A0O2MJK?41028556789:;<=>?012355=689:;<=>>1133557?38:;?0123<>7698;;<=6410375573:2;?46?;1127452<9=>?46?:1537510<9?$=::?6;049MKIR\3NJXLQFNNWW843=87;?7<75OTVSQQ592BC@538R:7?:4212355=5:;:JML>JED2BED668289>?7892;<95=A1224>4FIKKJML=<32DEF6D4J:LM=6:>0:63456789:;<=>?0133?163JA597016?3=>?89>?09970123<=?:<6:94567016589:;<=?k;58JJUSS2jbeSog{s^c`uZeo9591<3?k;58JJUSS2jbeSog{s^c`uZeo:591<3?k;58JJUSS2jbeSog{s^c`uZeo;591<3?k;58JJUSS2jbeSog{s^c`uZeo<591<3?k;58JJUSS2jbeSog{s^c`uZeo=591<3<44D680@CB991>88::446EA022<<>:<6;;57771333=???JH??;47245668MA596116682?>98:?01634527892098;:456355=2=98;;052745633<<59119567GAIK2=>?OJA@:24>01>?<=:;89674525><>1KJJKHI1195EDG1IHK=ML6?0122?2773>?>9:9873234745:;1<4?58F594BCC33>LMJ<>47GDEBC@ANOLMJKHI1::1?=66822;<=>?0123456789;;75>?012;4=6?81:3<<>481:33C1AN92;;K9I8::3<5>789>04?811345>?81220>>=G\^[YY4\T@VVW91=878058:498;E<>?>1O?>98<4A108E44<4A933?D?>IH3JMLO6A@CBE467A@2;ED6?991JM=ONA1C3E5G7I:L:<6ONA@0BFGGFIH8JML64A@CB75ED02KJML=>0:CBEDGFIHKJMLONA933?DGFIHKJILONA9CBE==FIHKH<>=7;@CBEF57811JMLOLCB2;?DGFIJIH?55NA@CEB66?3HKJMKHIC99BEDDFIH237LL6DGDEB==FJHKJ4LO=;@A24>D4KJHION7>C5@AG12?81:36>E6j2IJ\LMFT@L@A460:AFA@CBMJIHONMLCD08GL58178GIM>8<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M2>7NBDB078GIMD9<1H@FJ>5:AOOAPd3JF@H[QKIWKGA0=DDBLI96MCKGZ;?FJLNQ;37NBDIO32?FJLAGUOE[GKE^@Z[7e3JEFADZ[EE48GJHD][=0O_KNTDF1?FU03JYTEO[I5:AWHBI03J^GABJJ7:AWHJUD9>1HXAA\C358GQJTME:<7NZCSDN23>ESDZOG><5K2:F36>B602N3H5HID9f8@DRFW@DDYY2?>d9GEQGXAGE^X1??>d9GEQGXAGE^X1?>>d9GEQGXAGE^X1?=>d9GEQGXAGE^X1?<>d9GEQGXAGE^X1?;>028@DRFW@DDYY2>5;2=a>BF\HUBBB[[<07=`>BF\HUBBB[[<0e9GEQGXAGE^X1:1d:FBPDYNFF__080k;ECWEZOIG\^7:3j4D@VB[LHH]]6<2i5KAUC\MKIR\525h6JNT@]JJJSS404:>6JNT@]JJJSSW9UBCO[I129GEQGXAGE^XR??_HMAQC743MK_MRGAOTV\54YNGK_M=>5KAUC\MKIR\V;9SDAMUG30?AGSIVCECXZP12]JKGSA9:1OMYOPIOMVPZ73W@EIYK?<;ECWEZOIG\^T=8QFOCWE57=CI]KTECAZT^3\MJDRN880HLZN_HLLQQY5W@EIYK?=;ECWEZOIG\^T?RG@BTD26>BF\HUBBB[[_5]JKGSA9;1OMYOPIOMVPZ3XAFH^J<<4D@VB[LHH]]U=SDAMUG31?AGSIVCECXZP7^KLFP@6:2NJXLQFNNWW[=YNGK_M=?5KAUC\MKIR\V3TEBLZF79GGHYTXk1OO@Qiwe]jjq773MNO9IJK5EFG1=>?8m1OH_QNLHEMI@HS?2NNJL[CTe9GAVMB_VKOFX][2:FE57=CNZUM^H_ZEOAZ[HICM;1OE<<4DMN\BWCV]LDHURC@DD;8@JHSWJKYI85KSRGM<>BT[VGDHH?:;EWW]ZE^KEOTOB\]EBVJKK76K;4:GB6D773LKJM9>?05234167811NMLONA@C7?@GBJ8:0INMLCBA@E56789:;46KJE12F45>?01224>@7N9L;JK9?715332773O:MOKMICGCEACCAM11M>K>=21224>@0LKL5IDD18BAT33ONYI?5IE028B@6789:;?012355=AN9;;=KH?1GD35C@682LM;KHIFGDEBC@ANO;;7KH7092;4=6?81:3<95IFG524>@AN>LMJKH?F1D3B52MJ8:7;GDEB46788:0JKHI89:3EDGF012;==5IFGDG<=>C012O456>0:DEBCCFIHOJMLKNA@33?C@ANOLM;=>?0123<==ANOLMJK77;GDEBC@AM8:0JKHIFGDFBC@ANOLM==5IFGDEBC@5IHKJMLO>0:DEBC@ANO?:==?>1433?C@ANOLMJ8;:5467046OE]OMj7DLZFF]jjvr682CIYKQKEMCZ[SGK]Li0EO[I_MMT[UQU12CEEY][AUG0?LHQ:2CD96G@BTDb?LIT\HDOM_J=;MK5?IIDCLN<7AAHIBCO7>JH_=1GYY?;;MWW61=K]]9?7A[[459OQQ333E__:95BIRM:?HOTGVEIYK:4MNQJ=>KH[@UBNXH:;LW[G\32Ghcx`{_sgpaqY`mg$hcczP212NLYdq5;:2R|{229Ngjsi|VxnhzPgdl-gjhsW;:;AEtPcx>26;413DidyczPrdqfpZabf'idbyQ=01OK~Ze~4885Sz=3:O`kphsW{oxiyQheo,`kkrX:9:FDwQly=30:70?MIx\g|:6<7Uyx?<4MbmvjqYumzoSjka.bmmpZ478DBqSnw31?06?Heh}g~T~h}jt^efj+ehf}U9<=CGz^az848Xz}897@m`uov\v`ub|Vmnb#m`nu]145KOrVir0?0=5:O`kphsW{oxiyQheo,`kkrX:9:FDwQly=0=[wr5:2Ghcx`{_sgpaqY`mg$hcczP212NLYdq595>85BcnwmpZtb{l~Tkh`!cnlw[767EApTot2<>^pw67=JkfexR|jsdv\c`h)kfdS?>?MIx\g|:36;?0Anaznu]qavcsWnoe"naat^034HN}Wjs783Q}t308Ifirf}Uyi~k{_fgm*fii|V8;<@Fu_b{?1;423DidyczPrdqfpZabf'idbyQ=01OK~Ze~4<4T~y<=;LalqkrXzlynxRijn/aljqY589GCvRmv<7<11>Kdg|dSk|eu]dak(dgg~T>=>BH{]`}909W{~9>6Clotlw[wctm}Ulic loov\656J@sUhu191249Ngjsi|VxnhzPgdl-gjhsW;:;AEtPcx>4:Zts:;1Fob{at^pfw`rXold%ob`{_323IM|Xkp632?;4MbmvjqYumzoSjka.bmmpZ478DBqSnw38?]qp747@m`uov\v`ub|Vmnb#m`nu]145KOrVir040Pru00?Heh}g~T~h}jt^efj+ehf}U9<=CGz^nvp969:01Fob{at^pfw`rXold%ob`{_323IM|Xd|~7<3QFNW]366=JkfexR|jsdv\c`h)kfdS?>?MIx\|jt;878?7@m`uov\v`ub|Vmnb#m`nu]145KOrVrd~1??>368Ifirf}Uyi~k{_fgm*fii|V8;<@Fu_ymq8479:=1Fob{at^pfw`rXold%ob`{_323IM|Xpfx7=?0=4:O`kphsW{oxiyQheo,`kkrX:9:FDwQwos>27;433DidyczPrdqfpZabf'idbyQ=01OK~Z~hz5;?2?:4MbmvjqYumzoSjka.bmmpZ478DBqSua}<07=62=JkfexR|jsdv\c`h)kfdS?>?MIx\|jt;9<4T~y<<;LalqkrXzlynxRijn/aljqY589GCvRv`r=3=66=JkfexR|jsdv\c`h)kfdS?>?MIx\|jt;:7887@m`uov\v`ub|Vmnb#m`nu]145KOrVrd~1=1229Ngjsi|VxnhzPgdl-gjhsW;:;AEtPxnp?0;443DidyczPrdqfpZabf'idbyQ=01OK~Z~hz5?5>>5BcnwmpZtb{l~Tkh`!cnlw[767EApTtb|36?00?Heh}g~T~h}jt^efj+ehf}U9<=CGz^zlv919::1Fob{at^pfw`rXold%ob`{_323IM|Xpfx743<<;LalqkrXzlynxRijn/aljqY589GCvRv`r=;=6>H7;2D:<>5A1368J447<2D:><:4N0007>H6<=1E=8;;;O3621=I9<=?7C?:929M5326068J404<2D::9:4N0460>H6>>>0B<874:L22<27368J414<2D:;8:4N0550>H6?>>0B<974:L23<595A1917?K7?<=1E=5;;;O3;21=I91=?7C?7959M5<733G;2?95A1877?K7>?=1E=47=;O00?K47<2D9<<:4N3200>H58<>0B?>84:L14<2H5:=1E>??;;O0171=I:;??7C<=759M67?33G88=95A2217?K44<=1E>>;;;O0026=I:=90B?8<;O0;7>H48:1E??=4N260?K51;2D84?5A429M055H30:1E84=4N420?K36;2D>>>5A5218J0243G?>?6@:629M125H00;1E4>5A8118J=443G2??6@7629M<=1;Qa8T+479:;;=>QC4:RBVQd5\]fupgkW8UdSd`y3268TRTX=VeT~h}jt^efj+ehf}U9<=CGzZ36YZcv}hfT=RaPiot0[VQ792[87\?:1:P0?WUS12Xnxb{<10n;Sgpqir;9=4j7_k|umv?508>3[oxyaz31?c8V`urd}6:2<74Rdqvhq:56h1Yi~{ct=0=5g=Umzgx1<110;8V`urd}68245]erwop92912Xnxb{<4<:?Wct}e~7:374Rdqvhq:0601Yi~{ct=:==>Tb{|f040>;R58WFGIG\^87^MD8:Q@OZOE]O30_HBPWS`bv47<[AXNKRKWTSC@PZH@Kl1XD_KH_LKM[VO]Mh1XGHYLLJKM5d=TCL]H@FGA279PO@QNF>1XGHY@UU58WNCPZZ^37^EFP^RJJ3=TG\XHI45\PH]PHDPB?2YY@N?9E79PVQ_WMj1XXL\[UQ]TELR13Z^JXX]6;RVBPPU;8730_YO[UR>2:<=T\H^^_1<19:QWEQST4:427^ZNTTQ?0;?<[]K_Y^2:>89PPDRR[5<5n6][AUWP82<7601XXLZZS=5=<>US[HNY_=64SUQB@WU602Y__LJ]S3:8WQUFL[Y846][S@FQW12<[PDH=?5\YRVFIZU^FJBYCCAZS09W0>RU]L>0XT^Jc:WPAWYQAZCI@H=4VBA27>PBZPUCEJFPFSGRQ@HDQ01]EHYPTXRF57=PW[UYM@QLOOVQKIJBZ8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE9o1S_YQNDWCMG@BXEHIYCROLCT]NeabXNO=0T^ZPGOF4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg90T~z<;XGP11=XWVRGB@QP_1]\[lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$}gPcnlwviYd}{Uxxlzj_^l3517;87;8i6QP_YNMIZYX99:TSR`m_lgn[fii|&dbnobj_qc`oZeo<&iggRolq^p\5Ztt|ye?h5P_^ZOJHYXW8::SRQab^ofiZehf}%eeolce^rbgnYd`:%h`fQncp]q[4Yu{}zdx>k4_^][HKKXWV;;>RQPnc]nahYdgg~$bdlmld]sefmXka8$oaePabs\vZ7Xzz~{cy=j;^]\\IHJWVU:<>QP_o`\i`kXkfd#cgmbmg\tdelWjb:#nbd_`ar[wY6W{y|bzSRQab^ofiZehf}%ym`Qloovqki)dg|dS~bx_0]qwqvh|:o0SRQWLOO\[Z77>VUTboQbel]`kkr(f`hi`hQabi\gm2(keaTmnPr^0\vvrwg}9n7RQPXMLN[ZY68>UTSclPmdo\gjhs'gcinakPp`ah[fn5'jf`Slm~_s]1[wusxf~>n6QP_YNMIZYX992TSR`m_lgn[fii|&xjaRm`nuplh*KflmUyiaQor]`l4Ynf}Q3QRIAD^00[jYflmU>5:473>2UTSUBAM^]\55YXWghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[jcXzhgTnl|>5c9\[Z^KFDUTS7;:9o5P_^ZOJHYXW8;9SRQab^ofiZehf}%ym`Qloovqki)JimnT~hbPpnq\gm3Xag~P4PQHNE]17ZiXimnT59Q@UU]`}90998?n7RQPXMLN[ZY69:UTSd`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.Onq}Yea}Uhcx`{esY1YZAILV>8SbQbuy]26ZIR\;;?m6QP_YNMIZYX98>TSR`m_lgn[fii|&dbnobj_qc`oZeo=&Ghcx`{_okaficXkfexh|Pxnp?6;7616]\[kdXelgTob`{/okaficXxhi`Snf=/LalqkrXf`hi`hQlotlwawYg{692P_^kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)Xf9:3>Rgav016?ZYXPEDFSRQ>20]\[kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR6;:9?7RQPXMLN[ZY6:;UTSclPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ84268[ZY_DGGTSR?=3^]\jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ16;l1TSRVCNL]\[443WVUenRcjm^aljq)iakhgiR~ncj]`l7)Je|rT~h}zl^ofi4779:o0SRQWLOO\[Z75=VUTboQbel]`kkr(f`hi`hQabi\gm5(EdsSk|um]nah76889n7RQPXMLN[ZY6:?UTSclPmdo\gjhs'gcinakPp`ah[fn3'Dg~tR|jstn\i`k699;8i6QP_YNMIZYX9;=TSR`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQ}erwo[hcj98::?95P_^ZOJHYXW883SRQf2b]`khv(Eje~byQmiu]`kphsm{Usc2>>0371>YXWQFEARQP13;\[Zoi|lxmmwQ|ph-`egcdm{kTahc`rhc,Ifirf}U{xQbel]{kw:668;8i6QP_YNMIZYX9;UTSclPmdo\gjhs'gcinakPp`ah[fn3'jf`Slm~_gcohZtt|ye?n5P_^ZOJHYXW89;SRQab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XJ8;8o6QP_YNMIZYX9:;TSR`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}YE:89h7RQPXMLN[ZY6;;UTSclPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZD49:i0SRQWLOO\[Z74;VUTboQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[G06;j1TSRVCNL]\[453WVUenRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\F274k2UTSUBAM^]\563XWVdiS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]A<45d3VUTTA@B_^]273YXWghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^@:56eYXWQFEARQP12;\[Zoi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%XOF|P1^eoq`Ytm}9n7RQPXMLN[ZY6;VUTboQbel]`kkr(f`hi`hQabi\gm5(keaTmnPf`no[wusxf~8i6QP_YNMIZYX9=:TSR`m_lgn[fii|&dbnobj_qc`oZeo9&GfyuQ}erwo[hcj98::?:5P_^ZOJHYXW8>:SRQab^ofiZehf}%eeolce^rbgnYd`:%Tb=?=7^kmr4503VUTTA@B_^]207YXWghTahcPcnlw+koejeoT|lmd_bj7+Zh79;=Tecx>319\[Z^KFDUTS<:<_^]mfZkbeVidby!buy]geqg(Wg:;>52;>0377>YXWQFEARQP156\[ZheWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m436>9:o0SRQWLOO\[Z73WVUenRcjm^aljq)iakhgiR~ncj]`l7)ddbUjo|Qiamn\vvrwg}9n7RQPXMLN[ZY6=VUTboQbel]`kkr(f`hi`hQabi\gm7(keaTmnPf`no[wusxf~9i6QP_YNMIZYX9?UTSclPmdo\gjhs'dsSio{a.]m45534=4:>h5P_^ZOJHYXW8=TSR`m_lgn[fii|&g~tRjnt`-\j565:5>5=9;4_^][HKKXWV;3SRQab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb=:97=2=56eTcR}jldaw[41XG\^7<3<>569\[Z^KFDUTS?>P_^kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'zzbSnaatsn\gptX{}kiRH\M^DE`0+Nf=>87RQPXMLN[ZY59VUTboQbel]`kkr(zhgTob`{rnn,v`jXxfyToe;Piov\4Ztt|yeS^Y=429\[Z^KFDUTS?5P_^ZOJHYXW;>TSR`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg2^kmpZ6Xzz~{cyQ\W360?ZYXPEDFSRQ=5^]\jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm7Xag~TXWVdiS`kb_bmmp*hnjkfnS}olk^ak1*Yi8888Sd`y1248[ZY_DGGTSR<6_^]mfZkbeVidby!aic`oaZvfkbUhd9!Pn1317Zoi~8>27RQPXMLN[ZY5WVUenRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf99>;Rgav3]PS5513VUTTA@B_^]04ZYXfkUfi`Qloov,jldedlU{mnePci1,[k66::Ubb{?<6:]\[]JIEVUT?SB[[1635f>YXWQFEARQP37]\[kdXelgTob`{/okaficXxhi`Snf:/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\82::o5P_^ZOJHYXW:3TSR`m_lgn[fii|&dbnobj_qc`oZeo<&GfyuQaic`oaZeh}g~n~V27RQPXMLN[ZY4WVUenRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf99=9Rgav3]PS50e3VUTTA@B_^]74ZYXfkUfi`Qloov,jldedlU{mnePci6,IhsWgcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT0022d=XWVRGB@QP_53\[ZheWdofSnaat.ljfgjbWykhgRmg4.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>17`8[ZY_DGGTSR:=_^]mfZkbeVidby!aic`oaZvfkbUhd>!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=5?9b:]\[]JIEVUT8>QP_o`\i`kXkfd#cgmbmg\tdelWjb8#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];<=;l4_^][HKKXWV>?SRQab^ofiZehf}%eeolce^rbgnYd`:%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS9;;=m6QP_YNMIZYX<k1TSRVCNL]\[10XWVdiS`kb_bmmp*hnjkfnS}olk^ak6*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2<40e3VUTTA@B_^]73ZYXfkUfi`Qloov,jldedlU{mnePci0,IhsWgcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT0522g=XWVRGB@QP_5:\[ZheWdofSnaat.ljfgjbWykhgRmg2.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>204b?ZYXPEDFSRQ;9^]\jgYjmdUhccz nh`ah`YwijaToe< Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X<72]jjs4X[^:=n6QP_YNMIZYX=9UTSclPmdo\gjhs'gcinakPp`ah[fn6'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ7?9?h0SRQWLOO\[Z36WVUenRcjm^aljq)iakhgiR~ncj]`l4)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5271j2UTSUBAM^]\17YXWghTahcPcnlw+koejeoT|lmd_bj2+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3153gSB[[1075?ZYXPEDFSRQ:4^]\mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'Dg~tRIAD^60[jY@FMU??RaPMTZ\617Xg8;886QP_YNMIZYX=4RQPnc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf02Ztt|ye8o5P_^ZOJHYXW<3TSR`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId52\vvrwg}>27RQPXMLN[ZY2WVUenRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf993=Rgav3]PS52e3VUTTA@B_^]54ZYXfkUfi`Qloov,vdkXkfd~bb r`o\gjhszVi~~R}{aug\BVKXNOn9;R||tqmw0d=XWVRGB@QP_73\[ZheWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al:Uyy~`t5`8[ZY_DGGTSR8=_^]mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa46W{y|bz;b:]\[]JIEVUT:>QP_o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg53Yu{}zdx9l4_^][HKKXWVYXWQFEARQP66]\[kdXelgTob`{/okaficXxhi`Snf:/bjm[gos{Vkh}Rlft2]2[wusxf~?96QP_YNMIZYX>1UTSclPmdo\gjhs'gcinakPp`ah[fn2'jbeSog{s^c`uZdn|8U:S}{pnv71>YXWQFEARQP68]\[kdXelgTob`{/okaficXxhi`Snf:/bjm[gos{Vkh}Rlft3]2[wusxf~?56QP_YNMIZYX>VUTboQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:844Qfnw0\WR63=2UTSUBAM^]\35YXWghTahcPcnlw+koejeoT|lmd_bj6+fniWkcRolq^`jp5Y6W{y|bz;5:]\[]JIEVUT;Q>_sqwtjr3=2UTSUBAM^]\37YXWghTahcPcnlw+koejeoT|lmd_bj7+fniWkcRolq^`jp4Y6W{y|bz;5:]\[]JIEVUT;>QP_o`\i`kXkfd#cgmbmg\tdelWjb?#nfa_ckwwZgdyVhbx?Q>_sqwtjr3=2UTSUBAM^]\31YXWghTahcPcnlw+koejeoT|lmd_bj7+fniWkcRolq^`jp5Y6W{y|bz;5:]\[]JIEVUT;8QP_o`\i`kXkfd#cgmbmg\tdelWjb8#nfa_ckwwZgdyVhbx>Q>_sqwtjr3=2UTSUBAM^]\33YXWghTahcPcnlw+koejeoT|lmd_bj0+fniWkcRolq^`jp4Y6W{y|bz;5:]\[]JIEVUT;:QP_o`\i`kXkfd#cgmbmg\tdelWjb8#nfa_ckwwZgdyVhbx?Q>_sqwtjr3=2UTSUBAM^]\3=YXWghTahcPcnlw+koejeoT|lmd_bj0+fniWkcRolq^`jp5Y6W{y|bz;5:]\[]JIEVUT;4QP_o`\i`kXkfd#cgmbmg\tdelWjb9#nfa_ckwwZgdyVhbx>Q>_sqwtjr3=2UTSUBAM^]\3ZYXag~n~kou_rrj+fii|{egmia}_rrj[utnfjc{ma!Bmtz\sjtcWf=??;5:]\[]JIEVUT4=QP_o`\i`kXkfd#cgmbmg\tdelWjb9#nfa_ckwwZgdyVhbx_sqwtjr3=2UTSUBAM^]\<4YXWghTahcPcnlw+koejeoT|lmd_bj1+fniWkcRolq^`jp7Y6W{y|bz;5:]\[]JIEVUT4?QP_o`\i`kXkfd#cgmbmg\tdelWjb9#nfa_ckwwZgdyVhbx=Q>_sqwtjr3=2UTSUBAM^]\<6YXWghTahcPcnlw+koejeoT|lmd_bj2+fniWkcRolq^`jp6Y6W{y|bz;5:]\[]JIEVUT49QP_o`\i`kXkfd#cgmbmg\tdelWjb:#nfa_ckwwZgdyVhbx_sqwtjr3=2UTSUBAM^]\<0YXWghTahcPcnlw+koejeoT|lmd_bj2+fniWkcRolq^`jp7Y6W{y|bz;5:]\[]JIEVUT4;QP_o`\i`kXkfd#cgmbmg\tdelWjb:#nfa_ckwwZgdyVhbx=Q>_sqwtjr3i2UTSUBAM^]\<2YXWghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo> Ga_RU36c=XWVRGB@QP_9:\[ZheWdofSnaat.ov|Zbf|h%Tb=>;0=6=54273VUTTA@B_^];=ZYXfkUfi`Qloov,vdkXkfd~bb rdn\tjuXka;TeczP1^pppuis9<>0SRQWLOO\[Z>XWVcexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/rrj[fii|{fTox|PsucwaZYi88:30=0>419\[Z^KFDUTS4>P_^la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak5Zoi|V:T~~zou374>YXWQFEARQP90]\[kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dSQfnu]2[wusxf~:8=5P_^ZOJHYXW0>TSR`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ6Xzz~{cy?;0:]\[]JIEVUT58QP_o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj7[lhsW8Uyy~`t063?ZYXPEDFSRQ66^]\jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm2Xag~T_sqwtjr6<91TSRVCNL]\[<>XWVdiS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic9Rgat^2\vvrwg};8h6QP_YNMIZYX10UTSclPmdo\gjhs'gcinakPp`ah[fn2'jf`Slm~_s]2[wusxf~9j6QP_YNMIZYX1VUTe?mPcnos+Heh}g~TndzPcnwmp`tX~hf:=:5ndep?4;>18:cg`w:69720mij}<00=<>gcl{6:?364aefq842902koh2>5?:8eabu48<546okds>23;g2:2=flmx7>394aefq86803hno~1:17:cg`w:26>1jhi|36?58eabu4>4<7ljkr=:=3>gcl{622o5ndep\4ZIE]Oi0mij}_02\KGSAk2kohQ>1^MAQCegcl{U:?RAMUGa8eabuW8>TCO[Ic:cg`wY6=VEIYKm4aefq[40XGK_Mo6okds]23ZIE]Oi0mij}_0:\KGSAj2kohQ>_N@VBg=flmxT>RAMUG`8eabuW:UDNXHm;`fgvZ2XGK_Mn6okds]6[JDRNk1jhi|P6^MAQCdWFH^J5lho]amquXij{Toe?31?30?fniWkcRolq^ak59499<1hdcQmiuq\efwXka;7?7>1129`lkYea}yTmnPci3?7;703jbeSog{s^c`uZeo9V:TEO[I169`lkYea}yTmnPci3\5ZOE]O;<7nfa_ckwwZgdyVic=R1<1149`lkYea}yTmnPci0?7?699:1hdcQmiuq\efwXka87?3?8;bjm[gos{Vkh}Rmg2^2\MGSA9>1hdcQmiuq\efwXka8T=RGMUG34?fniWkcRolq^ak6Z4XAK_M=:5lho]amquXij{Toe5lho]amquXij{Toe=31?30?fniWkcRolq^ak79499<1hdcQmiuq\efwXka97?7>1129`lkYea}yTmnPci1?7;703jbeSog{s^c`uZeo;V:TEO[I169`lkYea}yTmnPci1\5ZOE]O;<7nfa_ckwwZgdyVic?R7?3?8;bjm[gos{Vkh}Rmg4^2\MGSA9>1hdcQmiuq\efwXka>T=RGMUG34?fniWkcRolq^ak0Z4XAK_M=:5lho]amquXij{Toe:P3^KAQC743jbeSog{s^c`uZeo=5:5=>5lho]amquXij{Toe;31?30?fniWkcRolq^ak19499<1hdcQmiuq\efwXka?7?7>1129`lkYea}yTmnPci7?7;703jbeSog{s^c`uZeo=V:TEO[I169`lkYea}yTmnPci7\5ZOE]O;<7nfa_ckwwZgdyVic9R:<6mck^c`uZeo4cmi\idh`'jU::@Fu149`hnYjigm$oR?9MIx\FP@@9=1h`fQbaoe,gZ71EApTecx>b:aooZkffn%hS<8BH{]jjs7XAG\T<<=4cmi\idh`'jf`SklPatz2e>ekcVgjbj!llj]efZgrpV8;<@Fu1g9`hnYjigm$oaePfc]bq}Y589GCvRLZFF3;?fjlWdkek"mck^da[dsWK_MKl5llj]wkZgdyo1h`fQ{o^c`uZIE]Ok0oaePu^rqkf`4hdcgawYd}{Uxxlzjd:jfeacuWdofcw>4:jfeacuWzzbSd`{esdbg`4>3`8hSnabp.EM@Z7?WfUm`l`lo^Q@H^7ZWl{~maQ8_n0g?l4dWjef|"IAD^3;[jYadhdhcR]LLZ3^[`wrieU>03e?l4dWjef|"Clotlw[gosWje~byk}_wco64=n:jUhc`~ MbmvjqYea}Uhcx`{es]uei76:h1b>nQlolr,Ifirf}UieyQlotlwawYg{6;2008m7eXkfg{#_k|umv?5;c>058m7eXkfg{#og{_bmvjqcu4;4::6g=c^aliu)ea}Uhcx`{es]353=n:jUhc`~ bhv\gjsi|lxT=<84i3a\gjkw'kcSnaznugq[77c3`8hSnabp.`jpZeh}g~n~Ro5kVida}!il`l`kZUDD5:5=;5f2b]`khv(nekeobQ\CM>2:433d9jjqcunhpT}g c``fg`tfWdofcgn/igb``tXk|xTyo{e^DPIZ@Al8Uyy~`t258mkrbzokqS~~f/bcaafcuiVgnab|fa.jfeacuWjyS~zntd]EWHYANm88i6gatdpeeYtx`%hmoklesc\i`khz`k$dhokes]`qwYt|h~nSK]B_GDg6Ztt|ye9;5fnugqbd|X{yc$olljcdpb[hcjg{cj#eknddp\gptX{}kiR^XR^03[jYomhnn~Rmzr^qweqc\9TUn}xoc_3]l57>1299jjqcunhpT}g c``fg`tfWdofcgn/qvq[hcj484946gatdpeeYtx`%hmoklesc\i`khz`k$|y|Pmdo?6;403`dihnz^qsm*efjlin~lQbelmqmd)w|{Ufi`Q?269jjqcunhpT}g c``fg`tfWdofcgn/qvq[hcjW88<7d`{esdb~Zuwa&ijnhmjr`]nahiuah%{xQbel]16g=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$O^|31?0b?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&IX~R?;0:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)@FMU??RaPGOF\06YhWD_SS?:>_n64?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&MEHR:<_n]amqYdg|diU=]^grqdjX:8Ud8o5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,CKBX<:UdSog{_bmvjqcuS;WTi|{nl^02[j:5688o7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.OLWLt;878o7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.OLWLt;978h7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.OLWLtX8;i0eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/LMPMwY6<>1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a Mlw{[BHCW=9TcRIAD^60[jYJ]QU98RAZT037a>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%FaxvPbhv\gjsi|lxP>PQHNE]77ZiXe|rT=?Q@UU020`=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$A`{w_ckw[firf}oyW?SPGOF\06YhWdsS<;=;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*Kj}qUiykhjr^mvp^1ZWmkmRga[6_\ip~X:;UDYY=PSV27a>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%FaxvPbtdeawYh}}Q;e:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)Je|rTnxhies]lqq]0UVnjxlQfnZ5^[hsW;8TCXZ9539jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(EdsSo{ifdp\kpr\?TUomyoPioY4YZkrpV89SB[[6^QT41cWTaxvP23]LQQ1X[^:?i6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-Nip~Xj|lmiQ`uuY4YZbf|hUbbV9R_lw{[74XG\^39?5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,IhsWkmjh|PotvX3XYci}kTecU8]^ov|Z45WF__4R]X0238mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'Dyc~hiPCRp\57bi5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,WFMXz`yn?95fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,WFMXz`ynS}{pnv1g>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%XOF|30?0`?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&YHG2>>3`8mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'ZI@~R><4:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)TKBxT>4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+Zh7818Tecx>2g9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(Wg:;4;Qfnw13?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&Ue<=69_hlu57`1329jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j`~Tob{atdp?5;543`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"lft^alqkrbz5858l5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,flrXkfexh|T2\]DJAY3;VeTaxvP13]LQQ:76=k0eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/ckw[firf}oyW?SPGOF\06YhWdsS<oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%ieyQlotlwawY7;;1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a bhv\gjsi|lxT=><4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+gosWje~byk}_312?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_hl?4;563`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"lzfggq[lh;979:7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuW`d7>3=>;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySd`33?12?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_hl?0;563`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"lzfggq[lh;=79:7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuW`d7:3=>;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySd`37?13?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_hl\466=?;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySd`P4228mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'kmjh|Pio]675=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]jjZ0482cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!mugdfvZoiW>997d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuWf0=0<2:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)e}oln~Razt=3=77=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqq:56:80eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/cweb`tXg|~7?3==;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySb{{<5<06>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%iykhjr^mvp939;;1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYh}}6=2><4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+gsanlxTcxz37?6a?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_nww_2[Xlh~jSd`T7\]nq}Y5:VE^X1>14c9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQ`uuY4YZbf|hUbbV9R_lw{[74XG\^7=3:m;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySb{{[6_\`drfW`dP;PQbuy]16ZIR\5858o5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{UdyyU8]^fbpdYnfR=VS`{w_30\KPR;;7>i7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuWfW:SPd`vb[lh\?TUfyuQ=2^MVP929WThlzn_hlX3XYj}qU9>RAZT=4=0g=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqq]0UVnjxlQfnZ5^[hsW;8TCXZ37?12?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_nww[5563`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"lzfggq[jssW89:7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuWfS?=>;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySb{{_212?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_nww[1563`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"lzfggq[jssW<9:7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuWfS;=>;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySb{{_61a?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&y{eRmzr^qweqcXNZGTJKj>3d9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi({ycTox|PsucwaZ@TEVLMh<#Fn2d8mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'zzbSn{}_rvbp`YA[DUMJi?"Io30f>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%x|dQlus]ppdrbWOYFSKHk22a8mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'zzbSn{}_rvbp`Ytx`Um~hk>339jjqcunhpT}g cnlwvjjflfxT}gPeraoesi({ycT~hoky^cv|16?SbQHNE]70ZiX{lfnoyQ>7^MVP969UDYY2?>037e>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#J@K_56\kZAILV>?SbQ|emg`pZ70WF__0=0=4c9jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&MEHR:;_n]DJAY3<:n;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(OGNT89Q`_FLG[12XgVyn`hm{_05\KPR;994?n6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+BHCW=>TcRIAD^67[jYtmeohxR?8_NWW84699=k0eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-DJAY325;2e3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ310<20<=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"IAD^67[jY@FMU?8RaPsdnfgqY6?VE^X1?14`9jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&MEHR:;_n]DJAY31:42>3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ33?6b?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$KCJP45]l[BHCW=>TcR}jldaw[41XG\^7?3?;9:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'NDOS9:Po^EM@Z23WfUxiaklt^34[JSS4=4?m6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+BHCW=>TcRIAD^67[jYtmeohxR?8_NWW8186<01bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.EM@Z23WfULBIQ;4^m\w`jbk}U:;RAZT=7=0d=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"IAD^67[jY@FMU?8RaPsdnfgqY6?VE^X1;115;8mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%LBIQ;4^m\CKBX<=UdS~kcebv\52YH]]6=29o4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)@FMU?8RaPGOF\01YhWzoginzP16]LQQ:168>27d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,CKBX<=UdSJ@K_56\kZubdliS<9POTV?3;2f3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ37?37=>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#J@K_56\kZAILV>?SbQ|emg`pZ70WF__050;a:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'NDOS9:Po^EM@Z23WfUxiaklt^34[JSS414:845fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*AILV>?SbQHNE]70ZiX{lfnoyQ>7^MVP9?9]^EM@Z23WfUjhiQ>2^MVPZe~494>86gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HgclVidyczPp`aX54[XOGNT89Q`_`fg[44XG\^Tot2>0?74?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$Aljk_bmvjqYwijQ:=PQHNE]70ZiXimnT=?Q@UU]`}9776Vx9>5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*KflmUhcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__Snw31?75?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$Aljk_bmvjqYwijQ:=PQHNE]70ZiXimnT=?Q@UU]`}979W{~>?6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HgclVidyczPp`aX54[XOGNT89Q`_`fg[44XG\^Tot2=>448mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%FmijPcnwmpZvfkR;:QRIAD^67[jYflmU:>RAZT^az878Xz}?87d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,IdbcWje~byQabY25XY@FMU?8RaPaef\57YH]]Uhu1=1579jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&GjhiQlotlw[ugdS8;VSJ@K_56\kZgclV;9SB[[_b{?7;Yu|<90eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-NeabXkfexR~ncZ32YZAILV>?SbQnde]26ZIR\Vir090:6:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'DkohRm`uov\tde\98WTKCJP45]l[dbcW88TCXZPcx>7:Zts=:1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Ob`aYdg|dS}ol[03^[BHCW=>TcRokd^31[JSSWjs793;9;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(EhnoSnaznu]sef]69TULBIQ;4^m\eabX9;UDYYQly=7=[wr2;2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/Lcg`Zeh}g~T|lmT10_\CKBX<=UdSljk_00\KPRXkp6=2884iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)JimnTob{at^rbg^76UVMEHR:;_n]b`aY6:VE^XRmv<7<\vq343`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb M`fg[firf}U{mnU>1\]DJAY3]^EM@Z23WfUjhiQ>2^MVPZe~414>:6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HgclVidyczPp`aX54[XOGNT89Q`_`fg[44XG\^Tot27>^pw16=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"Cnde]`kphsWykhWoi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#@okd^alqkrXxhiP=^KMRZ62<2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/Lcg`Zeh}g~T|lmT10_\CKBX<=UdSljk_00\KPRXpfx7<3;:;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(EhnoSnaznu]sef]69TULBIQ;4^m\eabX9;UDYYQwos>24;323`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb M`fg[firf}U{mnU>1\]DJAY325;Yu|<>0eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-NeabXkfexR~ncZ32YZAILV>?SbQnde]26ZIR\Vrd~1?1559jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&GjhiQlotlw[ugdS8;VSJ@K_56\kZgclV;9SB[[_ymq8782<2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/Lcg`Zeh}g~T|lmT10_\CKBX<=UdSljk_00\KPRXpfx7?3;;;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(EhnoSnaznu]sef]69TULBIQ;4^m\eabX9;UDYYQwos>7:02]^EM@Z23WfUjhiQ>2^MVPZ~hz5?5995fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*KflmUhcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__Sua}<7<60>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#@okd^alqkrXxhiP=468mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%FmijPcnwmpZvfkR;:QRIAD^67[jYflmU:>RAZT^zlv9?9;k1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Onq}YdemUeiuzPsucwa45a3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb Mlw{[fkcWgosxR}{aug2[VQ7;?1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Onq}Ypg{nTcxz>1258mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%FaxvPwnpg[jss98;8:6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HkrpV}d~iQ`uu3172=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"Cbuy]tkwbXg|~:><=;;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(kdnTox|Psucwa63=4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol<1<00>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef:6879?7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,gjsi|Vzjo1?>>218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`8484;2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/bmvjqYwij692>=4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol<2<07>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef:36:90eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-`kphsWykh080<3:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'je~byQab>5:6548?6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mn27>218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`8<83j2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/bmvjqYwijQ:=PQHNE]70ZiXimnT=?Q@UU>3:1eoi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef]69TULBIQ;4^m\eabX9;UDYY2>>5`8mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__0?0;b:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'je~byQabY25XY@FMU?8RaPaef\57YH]]6829l4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol[03^[BHCW=>TcRokd^31[JSS4=4?n6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnU>1\]DJAY34:1doi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef]69TULBIQ;4^m\eabX9;UDYY26>208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`[5553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb cnwmpZvfkV;8?6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnQ>0218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`[474:2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/bmvjqYwijU9??5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*eh}g~T|lmP3208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`[1553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb cnwmpZvfkV?8>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnQ9339jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&idyczPp`a\364:8;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj({ycTob`{rm]`qwYt|h~nSK]B_GDg7(Oi<11bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.qsmZehf}xgSn{}_rvbp`YA[DUMJi="Io370>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#~~f_bmmpwjXk|xTyo{e^DPIZ@Al=><7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,wuoXkfd~aQlus]ppdrbWOYFSKHk4,Km0==nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"}i^aljqtkWjyS~zntd]EWHYANm>&Ec?;9:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'zzbSnaatsn\gptX{}kiRH\M^DE`1+Nf8;?m6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+vvnWjeexbPctp\wqgsmVLXARHId5/Jj476<11bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.qsmZehf}xgSn{}_rvbp`YA[DUMJi:"Io07<>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#~~f_bmmpwjXk|xTyo{e^DPIZ@Al='Bb>:7;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj({ycTob`{rm]`qwYt|h~nSK]B_GDg0(Oi<=20eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-ptlYdgg~y`Rmzr^qweqcXNZGTJKj;-Hl60==nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"}i^aljqtkWjyS~zntd]EWHYANm>&Ec8;8:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'zzbSnaatsn\gptX{}kiRH\M^DE`1+Nf>>37d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,wuoXkfd~aQlus]ppdrbWOYFSKHk4,Km<1>11548mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%x|dQloovqhZerzVymykP_o224=:668>=7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,wuoXkfd~aQlus]ppdrbWVd;=9?30?372>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#~~f_bmmpwjXk|xTyo{e^]m4426484:?l5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUm~bc|ph]jjq:76:k0eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-tkwbXn{ef}gPiov?5;5f3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[cthezzbSd`{<3<0e>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#za}d^dqkhuwaVcex1=13`9jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQirnoptlYnf}6?2>o4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)pg{nTjabsqk\mkr;=79j7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,sjtcWoxda~~f_hlw8384i2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Z`ugdy{eRgat=5=7<=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]evjktx`UbbyQ?389jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQirnoptlYnf}U:?45fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUm~bc|ph]jjqY5;01bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.ulvaYazfgx|dQfnu]07<=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]evjktx`UbbyQ;389jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQirnoptlYnf}U>?45fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUm~bc|ph]jjqY1;01bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.ulvaYazfgx|dQfnu]477=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]jjq:76:90eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-tkwbXag~7==0<3:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'~eyhRgat=32:65218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov?5184;2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Zoi|5;>2><4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)pg{nTecz31?11?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf${b|k_hlw8784:2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Zoi|595??5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbby2;>208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov?1;553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[lhs4?48>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+riulVcex191339jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQfnu>;:64;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(fxoSd`{_011?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf${b|k_hlw[464:2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Zoi|V;:??5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbbyQ>2208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov\56553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[lhsW8>8>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+riulVcexR?:309jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQfnu]174=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]jjqY4;81bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.ulvaYnf}U??<5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbbyQ:309jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQfnu]574=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]jjqY0;81bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.ulvaYnf}U3?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbbyQ6199jjqcunhpT}g d`vb[hs494:56gatdpeeYtx`%omyoPmtz?558612cexh|ia{]ptl)ci}kTaxv310<2e>oi|lxmmwQ|ph-geqgXe|r7=<0>189jjqcunhpT}g d`vb[hs4885=45fnugqbd|X{yc$hlzn_lw{8459901bbyk}f`x\wuo(lh~jS`{w<06=5<=nf}oyjltPsqk,`drfWds0<;1199jjqcunhpT}g d`vb[hs484:56gatdpeeYtx`%omyoPmtz?5;7602cexh|ia{]ptl)ci}kTaxv32?3:?lhsm{ljvR}i.fbpdYj}q6929911bbyk}f`x\wuo(lh~jS`{w<8<01>oi|lxmmwQ|ph-nqirnxeoscQ}al]kadbbz&GfyuQkauc\pjuwa8;8:6gatdpeeYtx`%fyazfpmg{kwYuidUciljjr.Onq}Yci}kTxb}i00273=nf}oyjltPsqk,ipjsayfntb|Pr`o\l`gcm{%FaxvPd`vb[qitx`;8=>84iovfvcg}Wzzb#`{cthroa}iuW{kfSeknddp,IhsWmkmRz`sqk204513`dihnz^qsm*krd}c{`hv`r^pbiZnbimoy#@czx^fbpdYsgzzb=8?<6:kmp`taisUx|d!bumvjticg{Uym`Qge`ffv*Kj}qUomyoPtnqsm406;<1bbyk}f`x\wuo(e|fe}bjxnp\vdkX`lkoi!Bmtz\`drfW}ex|d<>349jjqcunhpT}g mtnwmujbpfxT~lcPhdcgaw)Je|rThlzn_umptl26;<1bbyk}f`x\wuo(e|fe}bjxnp\vdkX`lkoi!Bmtz\`drfW}ex|d;>349jjqcunhpT}g mtnwmujbpfxT~lcPhdcgaw)Je|rThlzn_umptl06;<1bbyk}f`x\wuo(e|fe}bjxnp\vdkX`lkoi!Bmtz\`drfW}ex|d9>169jjqcunhpT}g rds\l`gcm{;i7d`{esdb~Zuwa&xxxRfjaegq`drb9o1bbyk}f`x\wuo({lfTdhokesfbp`Yuid;>7d`{esdb~Zuwa&y{eRh}ed48hgYei{h0`oQmas]LFP@33eehg45cobi\MGSA02fdofQfnwd8hjelW`d}=RGAV^2`?iidcVxxxRlnrd9okfmXzz~Tnl|P1018hjelW{ySoo}_sqwtjr13dsSc~>7:la[hcjWjeex"jnt`]nq}:76820boQbel]`kkr(lh~jS`{w<02=5==ijVgnaRm`nu-geqgXe|r7=<0>8:la[hcjWjeex"jnt`]nq}:6:7;37clPmdo\gjhs'mkmRczx=30:4>2:417:la[hcjWjeex"jnt`]nq}:468=0boQbel]`kkr(lh~jS`{w<5<23>heWdofSnaat.fbpdYj}q6>2<94nc]nahYdgg~$hlzn_lw{8386?2diS`kb_bmmp*bf|hUfyu28>058jgYjmdUhccz d`vb[hs414:;6`m_lgn[fii|&njxlQbuy>::427clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>1006?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR69;8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>1206?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR69=8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>1406?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR6;88>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>3306?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR6;:8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>3506?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR6;<8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>4307?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR6=;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY?:1378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ72:;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY?:3378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ72<;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY?:5378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ72>;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY?:7368jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ7?:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X<6>249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP4>5:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X<6<249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP4>3:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X<6:249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP4>1:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X<68249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP4>?:=1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X??=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6475=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__><<=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6455=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__><:=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6435=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__><8=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6415=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__><6=4:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW61423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^98<<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV107423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^98><:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV101423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^988<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV103423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^98:<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV10=433ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^9;?;4nc]nahYdgg~$axvPd`vb+HkrpVNJXLQ@UU04573?;4nc]nahYdgg~$axvPd`vb+HkrpVNJXLQ@UU04773heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS;98>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ<0006?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR48;8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ<0206?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR48=8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ<0406?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR48?8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ<0606?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR4818?7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ<1368jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ55:=1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X>==5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW7675=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__?><=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW7655=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__?>:=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW7635=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__?>8=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW7615=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__?>6=4:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW71433ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^89?:4nc]nahYdgg~$axvPd`vb+HkrpVNJXLQ@UU1560=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:<:>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT24160=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:<8>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT24760=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:<>>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT24560=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:<<>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT24;61=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:=986`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[3906?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR40:8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ<8506?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR40<8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ<8706?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR40>827clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ<86]PS5433ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^85?:4nc]nahYdgg~$axvPd`vb+HkrpVNJXLQ@UU6360=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\=::>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT52760=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\=:>>45ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT526[VQ7:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X9>8289mfZkbeVidby!buy]geqg(EdsSIO[A^MVP160WZ];>>5ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT407?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR29;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY;<259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP025>2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__99QI279mfZkbeVidby!buy]geqg(EdsSIO[A^MVP02XO;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY;8279mfZkbeVidby!buy]geqg(EdsSIO[A^MVP01XN;<0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY;8_F00?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR0:=1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X:?=4:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW37433ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^?986`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[7707?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR0?;90boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY7=4:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW=4433ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^2>?:4nc]nahYdgg~$axvPd`vb+HkrpVNJXLQ@UU;061=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\0>986`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[9407?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR>>;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY781g9mfZkbeVidby!buy]geqg(Wg:;>?2;>03e?kdXelgTob`{/lw{[agsi&Ue<=<7<5<265=ijVgnaRm`nu-nq}Yci}k$Sc>?29>7:476n2diS`kb_bmmp*krpVnjxl!Pn121<929:8h0boQbel]`kkr(e|rThlzn/^l34626n2diS`kb_bmmp*krpVnjxl!Pn120092998h0boQbel]`kkr(e|rThlzn/^l34166n2diS`kb_bmmp*krpVnjxl!Pn12749299;:0boQbel]`kkr(e|rThlzn/^l3416;<7;:=k5ab^ofiZehf}%fyuQkauc,[k67<96?2??m;o`\i`kXkfd#`{w_ecwe*Yi89>==k5ab^ofiZehf}%fyuQkauc,[k67o6`m_lgn[fii|&dbnobj_qc`oZeo9&GfyuQaic`oaZeh}g~n~VSB[[1436g>heWdofSnaat.ljfgjbWykhgRmg1.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>607`?kdXelgTob`{/okaficXxhi`Snf>/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95b9mfZkbeVidby!aic`oaZvfkbUhdo6`m_lgn[fii|&dbnobj_qc`oZeo9&GfyuQaic`oaZeh}g~n~VSB[[507a?kdXelgTob`{/okaficXxhi`Snf>/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.Pfwpjs484996`m_lgn[fii|&dbnobj_qc`oZeo9&Xnxb{<3<16>heWdofSnaat.ljfgjbWykhgRmg1.]m4465:?1enRcjm^aljq)iakhgiR~ncj]`l4)Xf9;;>1<11348jgYjmdUhccz nh`ah`YwijaToe? _o2266Ynf8<7clPmdo\gjhs'gcinakPp`ah[fn6'Vd;=?=Piot263=ijVgnaRm`nu-mmgdkmVzjofQlh0-\j575?Vcez?94nc]nahYdgg~$bdlmld]sefmXka;$Sc>>26]jjs75>2diS`kb_bmmp*hnjkfnS}olk^ak5*Yi889:Sd`y269mfZkbeVidby!aic`oaZvfkbUhd>4nc]nahYdgg~$bdlmld]sefmXka;$oe`Pbhvp[devWkc<1?12g9mfZkbeVidby!aic`oaZvfkbUhd/bjm[gos{Vkh}Rlft1]3[wusxf~9j6`m_lgn[fii|&dbnobj_qc`oZeo9&icbRlftr]bgtYea}:T=>84nc]nahYdgg~$bdlmld]sefmXka;$oe`Pbhvp[devWkck5ab^ofiZehf}%eeolce^rbgnYd`8%hdcQmiuq\efwXj`~:S==9;o`\i`kXkfd#cgmbmg\tdelWjb:#nfa_ckwwZgdyVhbx/bjm[gos{Vkh}Rlft3>3:66heWdofSnaat.ljfgjbWykhgRmg1.akjZdn|zUjo|Qmiu0\5602?>228jgYjmdUhccz nh`ah`YwijaToe? cil\flrtWhizSog{3=3=6c=ijVgnaRm`nu-mmgdkmVzjofQlh0-`lkYea}yTmnPbhv0[5513ghTahcPcnlw+koejeoT|lmd_bj2+fniWkcRolq^`jp6Y7W{y|bz=f:la[hcjWjeex"`fbcnf[ugdcVic="mgn^`jpvYfkxUiey=P1248jgYjmdUhccz nh`ah`YwijaToe? cil\flrtWhizSog{3^3\vvrwg}837clPmdo\gjhs'gcinakPp`ah[fn6'jf`Slm~_gcoh7`/bnh[devW{6:2?64nc]nahYdgg~$bdlmld]sefmXka;$oaePabs\v949:>1enRcjm^aljq)iakhgiR~ncj]`l4)ddbUjo|Q}_10f?kdXelgTob`{/okaficXxhi`Snf>/bnh[devW{U;S}{pnv13>heWdofSnaat.ljfgjbWykhgRmg1.aooZgdyVxT=?k4nc]nahYdgg~$bdlmld]sefmXka;$oaePabs\vZ7Xzz~{cy<8;o`\i`kXkfd#cgmbmg\tdelWjb:#nbd_`ar[wY5:l1enRcjm^aljq)iakhgiR~ncj]`l4)ddbUjo|Q}_3]qwqvh|;20boQbel]`kkr(f`hi`hQabi\gm7(keaTmnPrhqf6c=ijVgnaRm`nu-mmgdkmVzjofQlh0-`hnYfkxUye~kPrrvskq543ghTahcPcnlw+koejeoT|lmd_bj2+agsiVi~~R}{aug\BVKXNOn:?l5ab^ofiZehf}%eeolce^rbgnYd`8%omyoPctp\wqgsmVLXARHId0]qwqvh|:90boQbel]`kkr(f`hi`hQabi\gm7(lh~jSn{}_rvbp`YA[DUMJi<i5ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbz5:5>i5ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbz5;5>i5ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbz5859:5ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?4;3?3ghTahcPcnlw+koejeoT|lmd_bj2+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1??>4:8jgYjmdUhccz nh`ah`YwijaToe? nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS48;5955ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?578202diS`kb_bmmp*hnjkfnS}olk^ak5*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X93?7;?kdXelgTob`{/okaficXxhi`Snf>/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;?2864nc]nahYdgg~$bdlmld]sefmXka;$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8439=11enRcjm^aljq)iakhgiR~ncj]`l4)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ317<6<>heWdofSnaat.ljfgjbWykhgRmg1.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:;3;7;o`\i`kXkfd#cgmbmg\tdelWjb:#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP97?6<20boQbel]`kkr(f`hi`hQabi\gm7(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<0;=12=ijVgnaRm`nu-mmgdkmVzjofQlh0-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=3;7;o`\i`kXkfd#cgmbmg\tdelWjb:#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9476<20boQbel]`kkr(f`hi`hQabi\gm7(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<33=1==ijVgnaRm`nu-mmgdkmVzjofQlh0-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7>?0:8:la[hcjWjeex"`fbcnf[ugdcVic="`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:5;7?<7clPmdo\gjhs'gcinakPp`ah[fn6'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=0=12=ijVgnaRm`nu-mmgdkmVzjofQlh0-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7?3;8;o`\i`kXkfd#cgmbmg\tdelWjb:#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP929=>1enRcjm^aljq)iakhgiR~ncj]`l4)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ35?74?kdXelgTob`{/okaficXxhi`Snf>/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5<59:5ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?3;303ghTahcPcnlw+koejeoT|lmd_bj2+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X161569mfZkbeVidby!aic`oaZvfkbUhd/sgpqiYjmdUbbyk}n`n?4;553ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`Qfnugqjdj;9948>6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkg0heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco84?9;81enRcjm^aljq)iakhgiR~ncj]`l4)umzgS`kb_hlwawhfd5;5??5ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`1208jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam>15;553ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`Qfnugqjdj;:;48>6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkg0?=1309mfZkbeVidby!aic`oaZvfkbUhd5?<5ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`1;1309mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[4563ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjX999:7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfT=<=>;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblbP1312?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\56563ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjX9=9:7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfT=8=>;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblbP1712?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\52563ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjX919:7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfT=4=?;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblbP2238jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam]1467>?4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQ=3228jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam]075=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZ2482diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkW<9;7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfT:>>4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQ8319mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[<523ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjXi|r7<3=9;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblbPatz?5584>2diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkWhs03?15?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~;9=48:6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w<07=73=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrp5;=2>84nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQnuy>23;513ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjXi|r7=50<6:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc_`w{84?9;<1enRcjm^aljq)iakhgiR~ncj]`l4)umzgS`kb_hlwawhfdVk~t1?1379mfZkbeVidby!aic`oaZvfkbUhd/sgpqiYjmdUbbyk}n`n\ep~;:;48:6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w<31=70=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrp585?85ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`Rozx=1=70=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrp5>5?85ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`Rozx=7=70=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrp5<5?85ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`Rozx=5=70=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrp525?85ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`Rozx=;=71=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrpV:886`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_016?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X999>7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxvP1016?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X9;9>7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxvP1216?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X9=9>7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxvP1416?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X9?9>7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxvP1616?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X919>7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxvP1817?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X::?0boQbel]`kkr(f`hi`hQabi\gm7(zly~`Rcjm^kmp`tiieUjyuQ=0278jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam]bq}Y59:?0boQbel]`kkr(f`hi`hQabi\gm7(zly~`Rcjm^kmp`tiieUjyuQ=2278jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam]bq}Y5;:>0boQbel]`kkr(f`hi`hQabi\gm7(zly~`Rcjm^kmp`tiieUjyuQ<359mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW08<7clPmdo\gjhs'gcinakPp`ah[fn6'{ySoo}_hlu6==ijVgnaRm`nu-mmgdkmVzjofQlh0-qwqYei{Ubb{?=7:la[hcjWjeex"`fbcnf[ugdcVic="}{asv\gjsi|;o0boQbel]`kkr(f`hi`hQabi\gm7({}kyxRm`uov\vvrwg}>;7clPmdo\gjhs'gcinakPp`ah[fn5'DidyczPnh`ah`Ydg|diQwos>3:47XAG\T<>o4nc]nahYdgg~$bdlmld]sefmXka8$Anaznu]mmgdkmVidyczjr^zlv979989j7clPmdo\gjhs'gcinakPp`ah[fn5'DidyczPnh`ah`Ydg|diQwos>1:472k2diS`kb_bmmp*hnjkfnS}olk^ak6*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2443e3ghTahcPcnlw+koejeoT|lmd_bj1+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU321f=ijVgnaRm`nu-mmgdkmVzjofQlh3-Nip~Xf`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[1036g>heWdofSnaat.ljfgjbWykhgRmg2.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>207`?kdXelgTob`{/okaficXxhi`Snf=/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95b9mfZkbeVidby!aic`oaZvfkbUhd?!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=8?:c:la[hcjWjeex"`fbcnf[ugdcVic>"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^::<;l;o`\i`kXkfd#cgmbmg\tdelWjb9#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];<=8m4nc]nahYdgg~$bdlmld]sefmXka8$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\82:9n5ab^ofiZehf}%eeolce^rbgnYd`;%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS90;>o6`m_lgn[fii|&dbnobj_qc`oZeo:&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP746=j1enRcjm^aljq)iakhgiR~ncj]`l7)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW6672k2diS`kb_bmmp*hnjkfnS}olk^ak6*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV1043e3ghTahcPcnlw+koejeoT|lmd_bj1+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU061g=ijVgnaRm`nu-mmgdkmVzjofQlh3-Nip~Xf`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[307a?kdXelgTob`{/okaficXxhi`Snf=/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhd?!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__9<;m;o`\i`kXkfd#cgmbmg\tdelWjb9#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]<:9o5ab^ofiZehf}%eeolce^rbgnYd`;%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS?8?i7clPmdo\gjhs'gcinakPp`ah[fn5'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ>6=k1enRcjm^aljq)iakhgiR~ncj]`l7)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW=44c3ghTahcPcnlw+koejeoT|lmd_bj1+HkrpVxnxbPmdo2554b3ghTahcPcnlw+koejeoT|lmd_bj1+HkrpVxnxbPmdo25575=2diS`kb_bmmp*hnjkfnS}olk^ak6*Tb{|f0=0=5:la[hcjWjeex"`fbcnf[ugdcVic>"\jstnw8485=2diS`kb_bmmp*hnjkfnS}olk^ak6*Tb{|f0?0=2:la[hcjWjeex"`fbcnf[ugdcVic>"Qa002163=ijVgnaRm`nu-mmgdkmVzjofQlh3-\j577:585=?84nc]nahYdgg~$bdlmld]sefmXka8$Sc>>22]jjs403ghTahcPcnlw+koejeoT|lmd_bj1+Zh79;9Tecx>279mfZkbeVidby!aic`oaZvfkbUhd?!Pn1313Zoi~;=0boQbel]`kkr(f`hi`hQabi\gm4(Wg::>:Qfnw312>heWdofSnaat.ljfgjbWykhgRmg2.]m4456W`d}>:5ab^ofiZehf}%eeolce^rbgnYd`;%Tb=?<1^kmr4573ghTahcPcnlw+koejeoT|lmd_bj1+fniWkcRolq^`jp5:76::0boQbel]`kkr(f`hi`hQabi\gm4(kadTndz|_`ar[gos85;5>k5ab^ofiZehf}%eeolce^rbgnYd`;%hdcQmiuq\efwXj`~;S==9;o`\i`kXkfd#cgmbmg\tdelWjb9#nfa_ckwwZgdyVhbx=Q?_sqwtjr5n2diS`kb_bmmp*hnjkfnS}olk^ak6*eofVhbx~Qncp]amq6X9:<0boQbel]`kkr(f`hi`hQabi\gm4(kadTndz|_`ar[gos8V;T~~zou13?kdXelgTob`{/okaficXxhi`Snf=/bjm[gos{Vkh}Rlft0>3:66heWdofSnaat.ljfgjbWykhgRmg2.akjZdn|zUjo|Qmiu3\560228jgYjmdUhccz nh`ah`YwijaToe< cil\flrtWhizSog{2=3=6c=ijVgnaRm`nu-mmgdkmVzjofQlh3-`lkYea}yTmnPbhv1[5513ghTahcPcnlw+koejeoT|lmd_bj1+fniWkcRolq^`jp7Y7W{y|bz=f:la[hcjWjeex"`fbcnf[ugdcVic>"mgn^`jpvYfkxUiey>4nc]nahYdgg~$bdlmld]sefmXka8$oe`Pbhvp[devWkc?1?12g9mfZkbeVidby!aic`oaZvfkbUhd?!lho]amquXij{Tndz<_115?kdXelgTob`{/okaficXxhi`Snf=/bjm[gos{Vkh}Rlft2]3[wusxf~9j6`m_lgn[fii|&dbnobj_qc`oZeo:&icbRlftr]bgtYea}9T=>84nc]nahYdgg~$bdlmld]sefmXka8$oe`Pbhvp[devWkc?R?Prrvskq4?3ghTahcPcnlw+koejeoT|lmd_bj1+fjlWhizSkocl3d8jgYjmdUhccz nh`ah`YwijaToe< cmi\efwXnhfgS}{pnv1<>heWdofSnaat.ljfgjbWykhgRmg2.aooZgdyVx7<3<7;o`\i`kXkfd#cgmbmg\tdelWjb9#nbd_`ar[w:66;20boQbel]`kkr(f`hi`hQabi\gm4(keaTmnPr=0=62=ijVgnaRm`nu-mmgdkmVzjofQlh3-`hnYfkxUyS="mck^c`uZtX9;o0boQbel]`kkr(f`hi`hQabi\gm4(keaTmnPr^3\vvrwg}8<7clPmdo\gjhs'gcinakPp`ah[fn5'jf`Slm~_s]16`=ijVgnaRm`nu-mmgdkmVzjofQlh3-`hnYfkxUyS?Q}surlp7>1enRcjm^aljq)iakhgiR~ncj]`l7)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ30?7;?kdXelgTob`{/okaficXxhi`Snf=/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;;2864nc]nahYdgg~$bdlmld]sefmXka8$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8479=11enRcjm^aljq)iakhgiR~ncj]`l7)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ313<6<>heWdofSnaat.ljfgjbWykhgRmg2.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:?3;7;o`\i`kXkfd#cgmbmg\tdelWjb9#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9736<20boQbel]`kkr(f`hi`hQabi\gm4(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<07=1==ijVgnaRm`nu-mmgdkmVzjofQlh3-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=;0:8:la[hcjWjeex"`fbcnf[ugdcVic>"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:6?7?37clPmdo\gjhs'gcinakPp`ah[fn5'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=3;:0>46`m_lgn[fii|&dbnobj_qc`oZeo:&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>17;303ghTahcPcnlw+koejeoT|lmd_bj1+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1<1569mfZkbeVidby!aic`oaZvfkbUhd?!aic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP909=>1enRcjm^aljq)iakhgiR~ncj]`l7)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ37?74?kdXelgTob`{/okaficXxhi`Snf=/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5259:5ab^ofiZehf}%eeolce^rbgnYd`;%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?=;4d3ghTahcPcnlw+koejeoT|lmd_bj1+koejeoTob{atdp\47eheWdofSnaat.ljfgjbWykhgRmg2.pg}ZkbeVk~t?k4nc]nahYdgg~$bdlmld]sefmXka8$~iwPmdo\ep~Xzz~{cy=>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblb30?11?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n?5584:2diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgk48;5??5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`1?=>208jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam>27;553ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`Qfnugqjdj;9=48>6`m_lgn[fii|&dbnobj_qc`oZeo:&xnxbPmdo\mkrbzgkg0<;1339mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl=35:64"|jstn\i`kXag~n~coc<0;=74=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbh979;;1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfd58;2><4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxema2=1?11?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n?6784:2diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgk4;95?<5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`1<1309mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl=1=74=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbh929;81enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfd5?5?<5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`181309mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl=5=74=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbh9>9;81enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfd535?=5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`R><0:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_012?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\55563ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjX989:7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfT=?=>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbP1212?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\51563ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjX9<9:7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfT=;=>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbP1612?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\5=563ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjX909;7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfT>>?4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQ=0238jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam]1567>4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQ<319mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^604>heWdofSnaat.ljfgjbWykhgRmg2.pfwpjXelgTeczjroco[0573ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjX>::0boQbel]`kkr(f`hi`hQabi\gm4(zly~`Rcjm^kmp`tiieU"|jstn\i`kXag~n~coc_816?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~;879=7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxv311<02>heWdofSnaat.ljfgjbWykhgRmg2.pfwpjXelgTeczjroco[ds48;5?;5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`Rozx=31:602diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkWhs0<;1379mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|9716:<0boQbel]`kkr(f`hi`hQabi\gm4(zly~`Rcjm^kmp`tiieUjyu2>7?15?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~;9148:6`m_lgn[fii|&dbnobj_qc`oZeo:&xnxbPmdo\mkrbzgkgSl{w<0;=70=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZgrp5;5?;5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`Rozx=03:602diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkWhs0?=1349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|949;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t1=1349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|929;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t1;1349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|909;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t191349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|9>9;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t171359mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|Z64<2diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkWhsS<=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\55523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=<=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\57523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=>=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\51523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=8=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\53523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=:=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\5=523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=4=;;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\663;4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy]1563>;4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy]1762heWdofSnaat.ljfgjbWykhgRmg2.pfwpjXelgTeczjroco[dsW<9?7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxvP6268jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam]bq}Y0;=1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~tR6<4:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{[<403ghTahcPcnlw+koejeoT|lmd_bj1+vrfz}Uhcx`{2d9mfZkbeVidby!aic`oaZvfkbUhd?!|t`pw[firf}Uyy~`t528jgYjmdUhccz nh`ah`YwijaToe= MbmvjqYiakhgiRm`uovfvZ~hz5:5=12c8jgYjmdUhccz nh`ah`YwijaToe= MbmvjqYiakhgiRm`uovfvZ~hz585=<;l;o`\i`kXkfd#cgmbmg\tdelWjb8#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];;=8l4nc]nahYdgg~$bdlmld]sefmXka9$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\8;>o6`m_lgn[fii|&dbnobj_qc`oZeo;&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP456=j1enRcjm^aljq)iakhgiR~ncj]`l6)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5172k2diS`kb_bmmp*hnjkfnS}olk^ak7*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2143d3ghTahcPcnlw+koejeoT|lmd_bj0+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3550eSB[[1936g>heWdofSnaat.ljfgjbWykhgRmg3.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>907`?kdXelgTob`{/okaficXxhi`Snf5b9mfZkbeVidby!aic`oaZvfkbUhd>!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__>??:c:la[hcjWjeex"`fbcnf[ugdcVic?"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^9?<;l;o`\i`kXkfd#cgmbmg\tdelWjb8#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]8?=8l4nc]nahYdgg~$bdlmld]sefmXka9$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\;?>n6`m_lgn[fii|&dbnobj_qc`oZeo;&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP172j2diS`kb_bmmp*hnjkfnS}olk^ak7*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV650dheWdofSnaat.ljfgjbWykhgRmg3.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ814`8jgYjmdUhccz nh`ah`YwijaToe= Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X5?:b:la[hcjWjeex"`fbcnf[ugdcVic?"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^2=?j4nc]nahYdgg~$bdlmld]sefmXka9$A`{w_sgpqiYjmd;:=<3<263=ijVgnaRm`nu-mmgdkmVzjofQlh2-\j575;Vcez?94nc]nahYdgg~$bdlmld]sefmXka9$Sc>>22]jjs75>2diS`kb_bmmp*hnjkfnS}olk^ak7*Yi888!Pn1313Zoi~88=7clPmdo\gjhs'gcinakPp`ah[fn4'Vd;=>?Piot13>heWdofSnaat.ljfgjbWykhgRmg3.]m4456W`d}=>>4nc]nahYdgg~$bdlmld]sefmXka9$oe`Pbhvp[devWkc<1>1319mfZkbeVidby!aic`oaZvfkbUhd>!lho]amquXij{Tndz?<0<1b>heWdofSnaat.ljfgjbWykhgRmg3.akjZdn|zUjo|Qmiu2\460379mfZkbeVidby!aic`oaZvfkbUhd>!lho]amquXij{Tndz?_0]qwqvh|::0boQbel]`kkr(f`hi`hQabi\gm5(kadTndz|_`ar[gos95:5?=5ab^ofiZehf}%eeolce^rbgnYd`:%hdcQmiuq\efwXj`~:0<0=f:la[hcjWjeex"`fbcnf[ugdcVic?"mgn^`jpvYfkxUiey?P0248jgYjmdUhccz nh`ah`YwijaToe= cil\flrtWhizSog{1^2\vvrwg}8m7clPmdo\gjhs'gcinakPp`ah[fn4'jbeSog{s^c`uZdn|8U:?;5ab^ofiZehf}%eeolce^rbgnYd`:%hdcQmiuq\efwXj`~:S84nc]nahYdgg~$bdlmld]sefmXka9$oe`Pbhvp[devWkc>R>Prrvskq4a3ghTahcPcnlw+koejeoT|lmd_bj0+fniWkcRolq^`jp7Y6;?1enRcjm^aljq)iakhgiR~ncj]`l6)d`gUiey}Pabs\flr5W8Uyy~`t228jgYjmdUhccz nh`ah`YwijaToe= cil\flrtWhizSog{3=2=75=ijVgnaRm`nu-mmgdkmVzjofQlh2-`lkYea}yTmnPbhv08485n2diS`kb_bmmp*hnjkfnS}olk^ak7*eofVhbx~Qncp]amq5X8:<0boQbel]`kkr(f`hi`hQabi\gm5(kadTndz|_`ar[gos;V:T~~zou0e?kdXelgTob`{/okaficXxhi`Snf3:7>!llj]bgtYu4;49;6`m_lgn[fii|&dbnobj_qc`oZeo;&iggRolq^p\47cPrrvskq403ghTahcPcnlw+koejeoT|lmd_bj0+fjlWhizSQ>2d9mfZkbeVidby!aic`oaZvfkbUhd>!llj]bgtYuW8Uyy~`t358jgYjmdUhccz nh`ah`YwijaToe= cmi\efwXzV89i6`m_lgn[fii|&dbnobj_qc`oZeo;&iggRolq^p\6Ztt|ye>55ab^ofiZehf}%eeolce^rbgnYd`:%h`fQncp]qmvc5n2diS`kb_bmmp*hnjkfnS}olk^ak7*ekcVkh}R|fsd]qwqvh|:90boQbel]`kkr(f`hi`hQabi\gm5(lh~jSn{}_rvbp`YA[DUMJi?5ab^ofiZehf}%eeolce^rbgnYd`:%omyoPctp\wqgsmVLXARHId31b?kdXelgTob`{/okaficXxhi`SnfSB[[<02=1==ijVgnaRm`nu-mmgdkmVzjofQlh2-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=<0:8:la[hcjWjeex"`fbcnf[ugdcVic?"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:6:7?37clPmdo\gjhs'gcinakPp`ah[fn4'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=30:0>!aic`oaZeh}g~n~V46`m_lgn[fii|&dbnobj_qc`oZeo;&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>22;3?3ghTahcPcnlw+koejeoT|lmd_bj0+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?8>4:8jgYjmdUhccz nh`ah`YwijaToe= nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4825955ab^ofiZehf}%eeolce^rbgnYd`:%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?5<82?2diS`kb_bmmp*hnjkfnS}olk^ak7*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9>4:8jgYjmdUhccz nh`ah`YwijaToe= nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4;:5955ab^ofiZehf}%eeolce^rbgnYd`:%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?648202diS`kb_bmmp*hnjkfnS}olk^ak7*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9458jgYjmdUhccz nh`ah`YwijaToe= nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4=4>;6`m_lgn[fii|&dbnobj_qc`oZeo;&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>6:01SB[[<9<63>heWdofSnaat.ljfgjbWykhgRmg3.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]622?m4nc]nahYdgg~$bdlmld]sefmXka9$bdlmld]`kphsm{U;>n5ab^ofiZehf}%eeolce^rbgnYd`:%eeolce^alqkrbzV;9o6`m_lgn[fii|&dbnobj_qc`oZeo;&dbnobj_bmvjqcuW;8<7clPmdo\gjhs'gcinakPp`ah[fn4'{nrS`kb_`w{6`=ijVgnaRm`nu-mmgdkmVzjofQlh2-q`|YjmdUjyuQ}surlp67heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco8449;;1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfd5;82><4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxema2>4?11?kdXelgTob`{/okaficXxhi`Snf208jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam>2<;553ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`Qfnugqjdj;9048=6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkg0<0<2:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc<32=77=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbh9466:80boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiie69>3==;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblb322<05>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco878492diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgk4:48=6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkg090<1:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc<4<05>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco838492diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgk4>48=6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkg050<1:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc<8<04>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[5573ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjX9:;0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieU:<>?4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQ>1238jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]2667?4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQ>5238jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]2267?4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQ>9228jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]174=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZ47;81enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdV8:?<5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`R<=309mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^0075=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZ5482diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkW=9;7clPmdo\gjhs'gcinakPp`ah[fn4'{oxyaQbel]jjqcufhfT9>>4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQ9319mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^504>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[=573ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjX1:?0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieUjyu2?>248jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}:6879=7clPmdo\gjhs'gcinakPp`ah[fn4'{oxyaQbel]jjqcufhfTmxv310<02>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[ds4885?;5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`Rozx=30:602diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhs0<81379mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^cv|9706:<0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieUjyu2>8?15?kdXelgTob`{/okaficXxhi`SnfheWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[ds4;:5?;5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`Rozx=02:603=9;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblbPatz?6684=2diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhs0?0<5:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{8684=2diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhs090<5:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{8084=2diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhs0;0<5:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{8284=2diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhs050<5:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{8<84<2diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhsS==;;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblbPatz\563;4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy]2563>;4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy]2763;4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy]2163;4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy]2363;4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy]2=62heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[dsW=9?7clPmdo\gjhs'gcinakPp`ah[fn4'{oxyaQbel]jjqcufhfTmxvP5268jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}Y1;=1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdVk~tR9<4:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{[=533ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjXi|rT5?94nc]nahYdgg~$bdlmld]sefmXka9$yo}t^alqkr5m2diS`kb_bmmp*hnjkfnS}olk^ak7*usi{~Tob{at^pppuis<91enRcjm^aljq)iakhgiR~ncj]`l1)JkfexR`fbcnf[firf}oySua}<1<25ZOI^V:8m6`m_lgn[fii|&dbnobj_qc`oZeo<&Ghcx`{_okaficXkfexh|Pxnp?5;76;h1enRcjm^aljq)iakhgiR~ncj]`l1)JkfexR`fbcnf[firf}oySua}<3<250eSB[[107`?kdXelgTob`{/okaficXxhi`Snf;/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X914a8jgYjmdUhccz nh`ah`YwijaToe: Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X<<>5b9mfZkbeVidby!aic`oaZvfkbUhd9!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=>?:c:la[hcjWjeex"`fbcnf[ugdcVic8"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:8<;l;o`\i`kXkfd#cgmbmg\tdelWjb?#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];>=8m4nc]nahYdgg~$bdlmld]sefmXka>$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\8<:9n5ab^ofiZehf}%eeolce^rbgnYd`=%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS9>;>o6`m_lgn[fii|&dbnobj_qc`oZeo<&GfyuQaic`oaZeh}g~n~V9PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP766=j1enRcjm^aljq)iakhgiR~ncj]`l1)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW6472k2diS`kb_bmmp*hnjkfnS}olk^ak0*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV1643d3ghTahcPcnlw+koejeoT|lmd_bj7+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU0050eSB[[247a?kdXelgTob`{/okaficXxhi`Snf;/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhd9!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__8<;m;o`\i`kXkfd#cgmbmg\tdelWjb?#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]?:9o5ab^ofiZehf}%eeolce^rbgnYd`=%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS>8?i7clPmdo\gjhs'gcinakPp`ah[fn3'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ16=k1enRcjm^aljq)iakhgiR~ncj]`l1)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW<43e3ghTahcPcnlw+koejeoT|lmd_bj7+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU;26a=ijVgnaRm`nu-mmgdkmVzjofQlh5-Nip~Xzly~`Rcjm0336`=ijVgnaRm`nu-mmgdkmVzjofQlh5-Nip~Xzly~`Rcjm033573$^h}zlu>2:73$Sc>>0305?kdXelgTob`{/okaficXxhi`Snf;/^l3554;:7;9:6`m_lgn[fii|&dbnobj_qc`oZeo<&Ue<<<<_hlu62=ijVgnaRm`nu-mmgdkmVzjofQlh5-\j575;Vcez<<9;o`\i`kXkfd#cgmbmg\tdelWjb?#R`?135\mkp5?2diS`kb_bmmp*hnjkfnS}olk^ak0*Yi888?Piot275=ijVgnaRm`nu-mmgdkmVzjofQlh5-`lkYea}yTmnPbhv3858482diS`kb_bmmp*hnjkfnS}olk^ak0*eofVhbx~Qncp]amq6;978m7clPmdo\gjhs'gcinakPp`ah[fn3'jbeSog{s^c`uZdn|9U;?;5ab^ofiZehf}%eeolce^rbgnYd`=%hdcQmiuq\efwXj`~;S=Q}surlp7`2diS`kb_bmmp*hnjkfnS}olk^ak0*eofVhbx~Qncp]amq6X9Vxxx}a{319mfZkbeVidby!aic`oaZvfkbUhd9!lho]amquXij{Tndz><1<04>heWdofSnaat.ljfgjbWykhgRmg4.akjZdn|zUjo|Qmiu3?5;4a3ghTahcPcnlw+koejeoT|lmd_bj7+fniWkcRolq^`jp4Y7;?1enRcjm^aljq)iakhgiR~ncj]`l1)d`gUiey}Pabs\flr6W9Uyy~`t3d8jgYjmdUhccz nh`ah`YwijaToe: cil\flrtWhizSog{1^302>heWdofSnaat.ljfgjbWykhgRmg4.akjZdn|zUjo|Qmiu3\5Ztt|ye?=5ab^ofiZehf}%eeolce^rbgnYd`=%hdcQmiuq\efwXj`~90=0<0:la[hcjWjeex"`fbcnf[ugdcVic8"mgn^`jpvYfkxUiey<31?0e?kdXelgTob`{/okaficXxhi`Snf;/bjm[gos{Vkh}Rlft3]373=ijVgnaRm`nu-mmgdkmVzjofQlh5-`lkYea}yTmnPbhv1[5Yu{}zdx?h4nc]nahYdgg~$bdlmld]sefmXka>$oe`Pbhvp[devWkc>R?<6:la[hcjWjeex"`fbcnf[ugdcVic8"mgn^`jpvYfkxUieyQ?379mfZkbeVidby!aic`oaZvfkbUhd9!lho]amquXij{Tndz<_1]qwqvh|;l0boQbel]`kkr(f`hi`hQabi\gm2(kadTndz|_`ar[gos;V;8:6`m_lgn[fii|&dbnobj_qc`oZeo<&icbRlftr]bgtYea}9T=R||tqmw6==ijVgnaRm`nu-mmgdkmVzjofQlh5-`hnYfkxUmmab=f:la[hcjWjeex"`fbcnf[ugdcVic8"mck^c`uZ`fdeUyy~`t3:8jgYjmdUhccz nh`ah`YwijaToe: cmi\efwXz5:5>55ab^ofiZehf}%eeolce^rbgnYd`=%h`fQncp]q848502diS`kb_bmmp*hnjkfnS}olk^ak0*ekcVkh}R|32?04?kdXelgTob`{/okaficXxhi`Snf;/bnh[devW{U;>h5ab^ofiZehf}%eeolce^rbgnYd`=%h`fQncp]q[5Yu{}zdx?94nc]nahYdgg~$bdlmld]sefmXka>$oaePabs\vZ75m2diS`kb_bmmp*hnjkfnS}olk^ak0*ekcVkh}R|P1^pppuis:>1enRcjm^aljq)iakhgiR~ncj]`l1)ddbUjo|Q}_30f?kdXelgTob`{/okaficXxhi`Snf;/bnh[devW{U9S}{pnv1<>heWdofSnaat.ljfgjbWykhgRmg4.aooZgdyVxbhheWdofSnaat.ljfgjbWykhgRmg4.fbpdYd}{Uxxlzj_GQN[C@c::k0boQbel]`kkr(f`hi`hQabi\gm2(lh~jSn{}_rvbp`YA[DUMJi1599mfZkbeVidby!aic`oaZvfkbUhd9!aic`oaZeh}g~n~V46`m_lgn[fii|&dbnobj_qc`oZeo<&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>25;3?3ghTahcPcnlw+koejeoT|lmd_bj7+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?=>4:8jgYjmdUhccz nh`ah`YwijaToe: nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4895955ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?518202diS`kb_bmmp*hnjkfnS}olk^ak0*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95?7;?kdXelgTob`{/okaficXxhi`Snf;/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;=2864nc]nahYdgg~$bdlmld]sefmXka>$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8419=11enRcjm^aljq)iakhgiR~ncj]`l1)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ319<6<>heWdofSnaat.ljfgjbWykhgRmg4.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:53;8;o`\i`kXkfd#cgmbmg\tdelWjb?#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP979=11enRcjm^aljq)iakhgiR~ncj]`l1)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ321<6<>heWdofSnaat.ljfgjbWykhgRmg4.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]69=3;7;o`\i`kXkfd#cgmbmg\tdelWjb?#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9456<20boQbel]`kkr(f`hi`hQabi\gm2(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<31=12=ijVgnaRm`nu-mmgdkmVzjofQlh5-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7>3;8;o`\i`kXkfd#cgmbmg\tdelWjb?#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP959=>1enRcjm^aljq)iakhgiR~ncj]`l1)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ34?74?kdXelgTob`{/okaficXxhi`Snf;/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5?59:5ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?2;303ghTahcPcnlw+koejeoT|lmd_bj7+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X191569mfZkbeVidby!aic`oaZvfkbUhd9!aic`oaZeh}g~n~VheWdofSnaat.ljfgjbWykhgRmg4.ljfgjbWje~byk}_00`?kdXelgTob`{/okaficXxhi`Snf;/okaficXkfexh|P2358jgYjmdUhccz nh`ah`YwijaToe: re{\i`kXi|r9i6`m_lgn[fii|&dbnobj_qc`oZeo<&xouRcjm^cv|Ztt|ye?<5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`1>1339mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl=33:64heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco8419;;1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfd5;32><4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxema2>9?12?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n?5;553ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`Qfnugqjdj;:948>6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkg0??1339mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl=01:643=>;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblb33?12?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n?0;563ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`Qfnugqjdj;=79:7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhf7:3=>;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblb37?12?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n?<;563ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`Qfnugqjdj;179;7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT<>>4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQ>309mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^3374=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZ76;81enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdV;9?<5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`R?<309mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^3774=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZ72;81enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdV;=?<5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`R?8309mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^3;74=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZ7>;91enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdV88=6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgS?><1:la[hcjWjeex"`fbcnf[ugdcVic8"|jstn\i`kXag~n~coc_3305>heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[74492diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkW;98<6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgS>=?;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbP4228jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]675=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZ0482diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkW>9;7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT4>>4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQ6349mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|969;?1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~t1??>248jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]bq}:6979=7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxv313<02>heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[ds4895?;5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`Rozx=37:602diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkWhs0<91379mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|97?6:<0boQbel]`kkr(f`hi`hQabi\gm2(zly~`Rcjm^kmp`tiieUjyu2>9?16?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~;979=7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxv321<02>heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[ds4;;5?;5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`Rozx=01:60?85ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`Rozx^3570=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrpV;heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[dsW;:896`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgSl{w_3301>heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[dsW;8896`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgSl{w_3100>heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[dsW:9?7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxvP4268jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]bq}Y2;=1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~tR8<4:la[hcjWjeex"`fbcnf[ugdcVic8"|jstn\i`kXag~n~coc_`w{[2533ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|rT4>:4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQnuy]:62=ijVgnaRm`nu-mmgdkmVzjofQlh5-ppdtsWje~byn6`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP476=j1enRcjm^aljq)iakhgiR~ncj]`l0)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5772k2diS`kb_bmmp*hnjkfnS}olk^ak1*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2743d3ghTahcPcnlw+koejeoT|lmd_bj6+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3750eSB[[1736g>heWdofSnaat.ljfgjbWykhgRmg5.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>707`?kdXelgTob`{/okaficXxhi`Snf:/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95b9mfZkbeVidby!aic`oaZvfkbUhd8!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__>=?:c:la[hcjWjeex"`fbcnf[ugdcVic9"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^9=<;l;o`\i`kXkfd#cgmbmg\tdelWjb>#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]89=8m4nc]nahYdgg~$bdlmld]sefmXka?$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\;9:9n5ab^ofiZehf}%eeolce^rbgnYd`<%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS:=;>n6`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP672j2diS`kb_bmmp*hnjkfnS}olk^ak1*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV750dheWdofSnaat.ljfgjbWykhgRmg5.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ914`8jgYjmdUhccz nh`ah`YwijaToe; Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X:?:b:la[hcjWjeex"`fbcnf[ugdcVic9"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^3=8l4nc]nahYdgg~$bdlmld]sefmXka?$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\0;9h6`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQ}erwo[hcj98:9i6`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQ}erwo[hcj98::>85ab^ofiZehf}%eeolce^rbgnYd`<%Yi~{ct=2=60=ijVgnaRm`nu-mmgdkmVzjofQlh4-Qavsk|5;5>85ab^ofiZehf}%eeolce^rbgnYd`<%Yi~{ct=0=67=ijVgnaRm`nu-mmgdkmVzjofQlh4-\j577:;<0boQbel]`kkr(f`hi`hQabi\gm3(Wg::005?kdXelgTob`{/okaficXxhi`Snf:/^l3575Xag|9;6`m_lgn[fii|&dbnobj_qc`oZeo=&Ue<<<<_hlu570Ubb{<8;o`\i`kXkfd#cgmbmg\tdelWjb>#R`?135\mkp6:?1enRcjm^aljq)iakhgiR~ncj]`l0)Xf9;8=Rgav358jgYjmdUhccz nh`ah`YwijaToe; _o2274Ynf;8<6`m_lgn[fii|&dbnobj_qc`oZeo=&icbRlftr]bgtYea}:7<3=?;o`\i`kXkfd#cgmbmg\tdelWjb>#nfa_ckwwZgdyVhbx=2>>3d8jgYjmdUhccz nh`ah`YwijaToe; cil\flrtWhizSog{0^202>heWdofSnaat.ljfgjbWykhgRmg5.akjZdn|zUjo|Qmiu2\4Ztt|ye>k5ab^ofiZehf}%eeolce^rbgnYd`<%hdcQmiuq\efwXj`~;S<=9;o`\i`kXkfd#cgmbmg\tdelWjb>#nfa_ckwwZgdyVhbx=Q>_sqwtjr482diS`kb_bmmp*hnjkfnS}olk^ak1*eofVhbx~Qncp]amq7;879;7clPmdo\gjhs'gcinakPp`ah[fn2'jbeSog{s^c`uZdn|86:2?h4nc]nahYdgg~$bdlmld]sefmXka?$oe`Pbhvp[devWkc=R><6:la[hcjWjeex"`fbcnf[ugdcVic9"mgn^`jpvYfkxUiey?P0^pppuis:o1enRcjm^aljq)iakhgiR~ncj]`l0)d`gUiey}Pabs\flr6W89=7clPmdo\gjhs'gcinakPp`ah[fn2'jbeSog{s^c`uZdn|8U:S}{pnv04>heWdofSnaat.ljfgjbWykhgRmg5.akjZdn|zUjo|Qmiu0?4;573ghTahcPcnlw+koejeoT|lmd_bj6+fniWkcRolq^`jp7:66;l0boQbel]`kkr(f`hi`hQabi\gm3(kadTndz|_`ar[gos:V:8:6`m_lgn[fii|&dbnobj_qc`oZeo=&icbRlftr]bgtYea}8T2:7`2diS`kb_bmmp*hnjkfnS}olk^ak1*eofVhbx~Qncp]amq5X8Vxxx}a{2g9mfZkbeVidby!aic`oaZvfkbUhd8!lho]amquXij{Tndz<_015?kdXelgTob`{/okaficXxhi`Snf:/bjm[gos{Vkh}Rlft2]2[wusxf~946`m_lgn[fii|&dbnobj_qc`oZeo=&iggRolq^dbhi4a3ghTahcPcnlw+koejeoT|lmd_bj6+fjlWhizSkocl^pppuis:11enRcjm^aljq)iakhgiR~ncj]`l0)ddbUjo|Q}<1<1<>heWdofSnaat.ljfgjbWykhgRmg5.aooZgdyVx7=3<7;o`\i`kXkfd#cgmbmg\tdelWjb>#nbd_`ar[w:56;=0boQbel]`kkr(f`hi`hQabi\gm3(keaTmnPr^21a>heWdofSnaat.ljfgjbWykhgRmg5.aooZgdyVxT#nbd_`ar[wY6W{y|bz=7:la[hcjWjeex"`fbcnf[ugdcVic9"mck^c`uZtX:;o0boQbel]`kkr(f`hi`hQabi\gm3(keaTmnPr^0\vvrwg}837clPmdo\gjhs'gcinakPp`ah[fn2'jf`Slm~_skpa7`o4nc]nahYdgg~$bdlmld]sefmXka?$hlzn_bwq[vrf|lUM_@QIFe3\vvrwg}987clPmdo\gjhs'gcinakPp`ah[fn2'mkmRmzr^qweqcXNZGTJKj=3`9mfZkbeVidby!aic`oaZvfkbUhd8!kauc\gptX{}kiRH\M^DE`7Yu{}zdx?j4nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{6;2?j4nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{6:2?j4nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{692894nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW858202diS`kb_bmmp*hnjkfnS}olk^ak1*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X90?7;?kdXelgTob`{/okaficXxhi`Snf:/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;:2864nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8449=11enRcjm^aljq)iakhgiR~ncj]`l0)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ312<6<>heWdofSnaat.ljfgjbWykhgRmg5.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:83;7;o`\i`kXkfd#cgmbmg\tdelWjb>#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9726<20boQbel]`kkr(f`hi`hQabi\gm3(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<04=1==ijVgnaRm`nu-mmgdkmVzjofQlh4-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=:0:8:la[hcjWjeex"`fbcnf[ugdcVic9"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:607?37clPmdo\gjhs'gcinakPp`ah[fn2'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=3::01;6`m_lgn[fii|&dbnobj_qc`oZeo=&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>1:010:7:la[hcjWjeex"`fbcnf[ugdcVic9"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:36<=0boQbel]`kkr(f`hi`hQabi\gm3(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<4<63>heWdofSnaat.ljfgjbWykhgRmg5.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6=2894nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8282?2diS`kb_bmmp*hnjkfnS}olk^ak1*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9458jgYjmdUhccz nh`ah`YwijaToe; nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4049o6`m_lgn[fii|&dbnobj_qc`oZeo=&dbnobj_bmvjqcuW98h7clPmdo\gjhs'gcinakPp`ah[fn2'gcinakPcnwmp`tX9;i0boQbel]`kkr(f`hi`hQabi\gm3(f`hi`hQlotlwawY5:>1enRcjm^aljq)iakhgiR~ncj]`l0)ulpUfi`Qnuy0f?kdXelgTob`{/okaficXxhi`Snf:/sfz[hcjWhsS}{pnv05>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco8584:2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgk48:5??5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`1?>>208jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam>26;553ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`Qfnugqjdj;9:48>6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkg0<:1339mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl=36:647997clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhf7=:0<2:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc<0:=77=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbh97>6:;0boQbel]`kkr(f`hi`hQabi\gm3(zly~`Rcjm^kmp`tiie6:2><4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxema2=0?11?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n?6484:2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgk4;85??5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`1<<>238jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam>1:67?4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxema2:>238jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam>5:67?4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxema26>228jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]375=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZ7492diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkW8:8=6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSheWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[45492diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkW8>8=6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgS<;<1:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_0405>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[41492diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkW828=6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgS<7<0:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_312?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\65563ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjX:89:7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfT>?=>;o`\i`kXkfd#cgmbmg\tdelWjb>#k|um]nahYnf}oyblbP2213?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\766#k|um]nahYnf}oyblbP7228jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam];75=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZ?4=2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhs0=0<6:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{8469;?1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~t1?>>248jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]bq}:6:79=7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxv312<02>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[ds48>5?;5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`Rozx=36:60#k|um]nahYnf}oyblbPatz?5284>2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhs0<61379mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^cv|97>6:?0boQbel]`kkr(f`hi`hQabi\gm3(zly~`Rcjm^kmp`tiieUjyu2>>248jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]bq}:5879=7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxv320<02>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[ds4;85?;5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`Rozx=00:63;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy>0:63;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy>6:63;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy>4:63;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy>::62heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[dsW8:896`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w_0301>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[dsW88896`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w_0101>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[dsW8>896`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w_0701>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[dsW8<896`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w_0501>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[dsW82896`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w_0;00>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[dsW;9>7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxvP2116?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~X:89>7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxvP2316?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~X::9?7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxvP3268jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]bq}Y3;=1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~tR;<4:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{[3533ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjXi|rT;>:4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy];71=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZgrpV39;6`m_lgn[fii|&dbnobj_qc`oZeo=&ymzPcnwmp7c3ghTahcPcnlw+jcXkaU}inz30?3:?kdXelgTob`{/ng\gmYqmj~7=3?6;o`\i`kXkfd#bkPci]uafr;:7;27clPmdo\gjhs'foToeQyebv?7;7>3ghTahcPcnlw+jcXkaU}inz34?6g?kdXelgTob`{/scn[fii|{eg#@okd^cg`wYbzhynSl{w_hlw_47ZWNDOS?=Po^cg`Z5?WF__Snw30?6f?kdXelgTob`{/scn[fii|{eg#@okd^cg`wYbzhynSl{w_hlw_47ZWNDOS?=Po^cg`Z5?WF__Snw311<65>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRmv<02=[wr3l2diS`kb_bmmp*tfeVidby|`l.Ob`aYflmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT^az848282diS`kb_bmmp*tfeVidby|`l.Ob`aYflmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT^az848Xz}>o7clPmdo\gjhs'{kfSnaatsmo+HgclVkohQjr`qf[dsW`dW4nc]nahYdgg~$~lcPcnlwvjj(EhnoSljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPRXkp682R|{4e9mfZkbeVidby!}al]`kkruge%FmijPaefq[`tf{lUjyuQfnuY25XY@FMU9?RaPaef\7=YH]]Uhu1:1519mfZkbeVidby!}al]`kkruge%FmijPaefq[`tf{lUjyuQfnuY25XY@FMU9?RaPaef\7=YH]]Uhu1:1_sv7`>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRmv<4<64>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRmv<4<\vq2c3ghTahcPcnlw+wgjWjeexac/Lcg`Zgcl{Un~l}j_`w{[lhsS8;VSJ@K_31\kZgclV93SB[[_b{?2;373ghTahcPcnlw+wgjWjeexac/Lcg`Zgcl{Un~l}j_`w{[lhsS8;VSJ@K_31\kZgclV93SB[[_b{?2;Yu|=n0boQbel]`kkr(zhgTob`{rnn,IdbcWhno~Rk}arg\ep~Xag~P=6POTV\g|:06<:0boQbel]`kkr(zhgTob`{rnn,IdbcWhno~Rk}arg\ep~Xag~P=6POTV\g|:06Vx8i5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYdq5259=5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYdq525Sz;d:la[hcjWjeex"|nm^aljqthd&GjhiQndep\awgtmVk~tRgatZ32YZAILV88SbQnde]0heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRbzt=2=[LHQW9>n7clPmdo\gjhs'{kfSnaatsmo+HgclVkohQjr`qf[dsW`dW]^EM@Z44WfUjhiQ<8^MVPZ~hz5;;29h4nc]nahYdgg~$~lcPcnlwvjj(EhnoSljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPRXpfx7=<0:2:la[hcjWjeex"|nm^aljqthd&GjhiQndep\awgtmVk~tRgatZ32YZAILV88SbQnde]0>^pw0`=ijVgnaRm`nu-qehYdgg~yca!Baef\eabuWlxjhQnuy]jjq]69TULBIQ=3^m\eabX;1UDYYQwos>2:1c6POTV\|jt;>7>n7clPmdo\gjhs'{kfSnaatsmo+HgclVkohQjr`qf[dsW`dW4?i6`m_lgn[fii|&xjaRm`nuplh*KflmUjhi|PescpaZgrpVcexV?>]^EM@Z44WfUjhiQ<8^MVPZ~hz5258h5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYg{622974nc]nahYdgg~$~lcPcnlwvjj(EhnoSkc_qmp[fn6W`dW5SPGOF\66YhWhnoS8>POTV\g|:16=h0boQbel]`kkr(zhgTob`{rnn,IdbcW{ogS}a|_bj2[lhsS1WTKCJP22]l[dbcW<:TCXZPcx>5:47312diS`kb_bmmp*tfeVidby|`l.Ob`aYumeU{c~Qlh3]jjq]?UVMEHR<<_n]b`aY19VE^XRmv<7<7f>heWdofSnaat.pbiZehf}xd`"Cnde]qaiYwgzUhd?QfnuY;YZAILV88SbQnde]55ZIR\Vir0;0>15;8jgYjmdUhccz r`o\gjhszff$Aljk_sgo[uitWjb8Sd`{[9_\CKBX::UdSljk_60\KPRXkp6=29l4nc]nahYdgg~$~lcPcnlwvjj(EhnoSkc_qmp[fn4W`dW5SPGOF\66YhWhnoS:>Q`_`fg[<2XG\^Tot29>5`8jgYjmdUhccz r`o\gjhszff$Aljk_sgo[uitWjb>Sd`{[9_\CKBX::UdSljk_86\KPRXkp6=2k5ab^ofiZehf}%ym`Qloovqki)JkfexRokds]q`ZgrpVcex9;=1enRcjm^aljq)uidUhccz}om-Ngjsi|VkohQ}d^cv|Zoi|Vir0=0<5:la[hcjWjeex"|nm^aljqthd&Ghcx`{_`fgvZtcWhsSd`{_b{?5584<2diS`kb_bmmp*tfeVidby|`l.O`kphsWhno~R|k_`w{[lhsWjs7=3=;;o`\i`kXkfd#ob_bmmpwik'DidyczPaefq[wbXi|rTeczPcx>1:62heWdofSnaat.pbiZehf}xd`"Clotlw[dbczVxoSl{w_hlw[f;=79?7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Tot29>268jgYjmdUhccz r`o\gjhszff$Anaznu]b`atXzmUjyuQfnu]`}919;=1enRcjm^aljq)uidUhccz}om-Ngjsi|VkohQ}d^cv|Zoi|Vir050<4:la[hcjWjeex"|nm^aljqthd&Ghcx`{_`fgvZtcWhsSd`{_b{?=;523ghTahcPcnlw+wgjWjeexac/LalqkrXimnySjPatz\mkrXd|~7<3=9;o`\i`kXkfd#ob_bmmpwik'DidyczPaefq[wbXi|rTeczPltv?5584>2diS`kb_bmmp*tfeVidby|`l.O`kphsWhno~R|k_`w{[lhsWe01379mfZkbeVidby!}al]`kkruge%Fob{at^cg`wYulVk~tRgat^zlv9776:<0boQbel]`kkr(zhgTob`{rnn,Ifirf}Ujhi|Pre]bq}Ynf}Usc2>1?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;979>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|32?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;;79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|34?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;=79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|36?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;?79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|38?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;178>7clPmdo\gjhs'{kfSnaatsmo+HkrpVkoh??1005?kdXelgTob`{/scn[fii|{eg#@czx^cg`w7798;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>00011>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at698;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>20311>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at6;8;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>40311>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at6=8;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>60311>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at6?8;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>80311>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at618;986`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|71007?kdXelgTob`{/scn[fii|{eg#@czx^cg`w?69;n0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[D779;n0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[D769;i0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[D26:j1enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\E075k2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]B244d3ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^C567bheWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQM13a8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSO?>2b9mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rTN<<=c:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUI=>n5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XJ;;9o6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}YE:;8h7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZD5;;h0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[G55k2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]A744d3ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^@067dheWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQM400`?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tRL;23`8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSO;=c:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUI9<>?l4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsWK<9o6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}YE>88h7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZD1:;h0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[G15k2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]A344d3ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^@467dheWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQM800`?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tRL723`8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSO7=c:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUI5<>84nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsW{yTM1>1_lcm`4513ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[D:66Vgjbi?<0:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUyRO32?13?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_@>0:66228jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}PA=:=75=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]B8<8482diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZD;879;7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWK6:2>?4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsW{yTot2?>208jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}Pcx>24;563ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[f;979:7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWjs7>3=>;o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVxxSnw33?12?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_b{?0;563ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[f;=79:7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWjs7:3=>;o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVxxSnw37?12?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_b{?<;563ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[f;17997clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWe0=0<2:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUyRbzt=3=77=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]oqq:56:80boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[wuXd|~7?3==;o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVxxSa{{<5<06>heWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQ}s^nvp939;;1enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\vvYk}}6=2><4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsW{yT`xz37?11?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_mww8=84:2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZ~hz5:5?>5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUsc2>0?11?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_ymq8484:2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZ~hz585??5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUsc2<>208jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}Pxnp?0;553ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[}iu4<48>6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}Yu{Vrd~181339mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rT~~Qwos>4:64?TCXZ>1073?kdXelgTob`{/scn[fii|{eg#@czx^pbiZehf}xTox|Psucwa^3ZWNDOS?=Po^uj``Yj}qU:;8Q@UU02506Xg5;:2<:8;o`\i`kXkfd#ob_bmmpwik'Y]YS<:Po^cg`wYbzhynSl{w_hlw_47ZWl{~maQ<8^m?5485<:1enRcjm^aljq)uidUhccz}om-SSWY65Q`<03=51246]l8686;k1enRcjm^aljq)uidUhccz}om-SSWY652]l[55d3ghTahcPcnlw+wgjWjeexac/QUQ[42XgVidyczPsmuX7XYby|kgS<;<_n]3574<8<00=64=ijVgnaRm`nu-qehYdgg~yca!Pn1113979:81enRcjm^aljq)uidUhccz}om-\j555?585><5ab^ofiZehf}%ym`Qloovqki)Xf999;1=1209mfZkbeVidby!}al]`kkruge%Tb===7=6=64=ijVgnaRm`nu-qehYdgg~yca!Pn1113939:81enRcjm^aljq)uidUhccz}om-\j555?5<5><5ab^ofiZehf}%ym`Qloovqki)Xf999;191209mfZkbeVidby!}al]`kkruge%Tb===7=:=64=ijVgnaRm`nu-qehYdgg~yca!Pn11139?9:;1enRcjm^aljq)uidUhccz}om-\j554>Vcez?=4nc]nahYdgg~$~lcPcnlwvjj(Wg:8?;Qfnw316>heWdofSnaat.pbiZehf}xd`"Qa0274[lhq:;1enRcjm^aljq)uidUhccz}om-\j551=Vcez?<4nc]nahYdgg~$~lcPcnlwvjj(Wg:8;>Qfnw01?kdXelgTob`{/scn[fii|{eg#R`?393\mkp5:2diS`kb_bmmp*tfeVidby|`l.]m46>>W`d}=h5ab^ofiZehf}%ym`Qloovqki)Xf9?:5;>?j;o`\i`kXkfd#ob_bmmpwik'Vd;:=7>e:la[hcjWjeex"|nm^aljqthd&Ue<;972e9mfZkbeVidby!}al]`kkruge%jhi|PescpaZgrpVcex1>12d9mfZkbeVidby!}al]`kkruge%jhi|PescpaZgrpVcex1??>3g8jgYjmdUhccz r`o\gjhszff$mij}_dpbw`Yf}qUbby2>1?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz31?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz32?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz33?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz34?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz35?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz36?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz37?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz38?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz39?66?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPR;87>=7clPmdo\gjhs'{kfSnaatsmo+dbczVoym~kPatz\mkr\98WTKCJP22]l[dbcW:2TCXZ311<72>heWdofSnaat.pbiZehf}xd`"okds]fvdubWhsSd`{[03^[BHCW;9TcRokd^1;[JSS48;5885ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT=3=00=ijVgnaRm`nu-qehYdgg~yca!ndep\awgtmVk~tRgatZ32YZAILV88SbQnde]05885ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT=7=00=ijVgnaRm`nu-qehYdgg~yca!ndep\awgtmVk~tRgatZ32YZAILV88SbQnde]0heWdofSnaat.pbiZehf}xd`"okds]fvdubWhsSd`{_1]qwqvh|;i0boQbel]`kkr(zhgTob`{rnn,eabuWlxjhQnuy]jjqY6:m1enRcjm^aljq)uidUhccz}om-b`atXm{kxiRozx^kmpZ77;=1enRcjm^aljq)uidUhccz}om-b`atXm{kxiRozx^kmpZ77W{y|bz=d:la[hcjWjeex"|nm^aljqthd&kohQjr`qf[dsW`dSn5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|V88?6`m_lgn[fii|&xjaRm`nuplh*gcl{Un~l}j_`w{[lhsW;Uyy~`t3a8jgYjmdUhccz r`o\gjhszff$mij}_dpbw`Yf}qUbbyQ<329mfZkbeVidby!}al]`kkruge%jhi|PescpaZgrpVcexR=Prrvskq4d3ghTahcPcnlw+wgjWjeexac/`fgvZcuizoTmxvPiov\0653`8jgYjmdUhccz r`o\gjhszff$mij}_sf\ep~Xag~7==0=b:la[hcjWjeex"|nm^aljqthd&kohQ}d^cv|Zoi|5;:2?o4nc]nahYdgg~$~lcPcnlwvjj(imnySjPatz\mkr;978j7clPmdo\gjhs'{kfSnaatsmo+dbczVxoSl{w_hlw8785i2diS`kb_bmmp*tfeVidby|`l.cg`wYulVk~tRgat=1=6d=ijVgnaRm`nu-qehYdgg~yca!ndep\vaYf}qUbby2;>3c8jgYjmdUhccz r`o\gjhszff$mij}_sf\ep~Xag~793;:7g>4nc]nahYdgg~$~lcPcnlwvjj(imnySjPatz\mkrX;Vxxx}a{289mfZkbeVidby!}al]`kkruge%jhi|Pre]bq}Ynf}U??=5ab^ofiZehf}%ym`Qloovqki)flmxT~iQnuy]jjqY3W{y|bz=9:la[hcjWjeex"|nm^aljqthd&kohQ}d^cv|Zoi|V?8<6`m_lgn[fii|&xjaRm`nuplh*gcl{UyhRozx^kmpZ3Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'hno~R|k_`w{[lhsW?9;7clPmdo\gjhs'{kfSnaatsmo+dbczVxoSl{w_hlw[3Yu{}zdx?74nc]nahYdgg~$~lcPcnlwvjj(imnySjPatz\mkrX?::0boQbel]`kkr(zhgTob`{rnn,eabuW{nTmxvPiov\3Ztt|ye>45ab^ofiZehf}%ym`Qloovqki)flmxT~iQnuy]jjqY?;91enRcjm^aljq)uidUhccz}om-b`atXzmUjyuQfnu];[wusxf~956`m_lgn[fii|&xjaRm`nuplh*gcl{UyhRozx^kmpZ?482diS`kb_bmmp*tfeVidby|`l.cg`wYulVk~tRgat^;\vvrwg}8=7clPmdo\gjhs'{kfSnaatsmo+dbczV}ySl{w<1<13>heWdofSnaat.pbiZehf}xd`"okds]tvZgrp5;;2?84nc]nahYdgg~$~lcPcnlwvjj(imnySz|Patz?5;413ghTahcPcnlw+wgjWjeexac/`fgvZquWhs0?0=6:la[hcjWjeex"|nm^aljqthd&kohQxr^cv|959:?1enRcjm^aljq)uidUhccz}om-b`atX{Ujyu2;>348jgYjmdUhccz r`o\gjhszff$mij}_vp\ep~;=78=7clPmdo\gjhs'{kfSnaatsmo+dbczV}ySl{w<7<12>heWdofSnaat.pbiZehf}xd`"okds]tvZgrp5=5>;5ab^ofiZehf}%ym`Qloovqki)flmxT{Qnuy>;:70heWdofSnaat.pbiZehf}xd`"mg_bmmpZerzVymykPFRO\BCb6%@d8:6`m_lgn[fii|&xjaRm`nuplh*eoWjeexRmzr^qweqcXNZGTJKj>-Hl27<=ijVgnaRm`nu-qehYdgg~yca!lh^aljqYd}{Uxxlzj_GQN[C@c9Vxxx}a{339mfZkbeVidby!}al]`kkruge%hdRm`nu]`qwYt|h~nSK]B_GDg66?heWdofSnaat.pbiZehf}xd`"mg_bmmpZerzVymykPFRO\BCb3;?1enRcjm^aljq)uidUhccz}om-`lZehf}UhyQ|t`vf[CUJWOLo8 Ga1248jgYjmdUhccz r`o\gjhszff$oeQloov\gptX{}kiRH\M^DE`1+Nf;927clPmdo\gjhs'{kfSnaatsmo+fnXkfdSn{}_rvbp`YA[DUMJi:Prrvskq433ghTahcPcnlw+wgjWjeexac/bmvjqYtd~6;2?:4nc]nahYdgg~$~lcPcnlwvjj(kfexR}cw=3=61=ijVgnaRm`nu-qehYdgg~yca!lotlw[vjp4;4986`m_lgn[fii|&xjaRm`nuplh*eh}g~Tay33?00?kdXelgTob`{/scn[fii|{eg#naznu]phrY7:h1enRcjm^aljq)uidUhccz}om-`kphsWzf|S=Q}surlp75368jgYjmdUhccz r`o\gjhszff$b=>;5=:=[VQ7:=1enRcjm^aljq)uidUhccz}om-m4522414T_Z?>d:la[hcjWjeex"|nm^aljqthd&d;<89=0:la[hcjWjeex"|nm^aljqthd&d;<8938?07?kdXelgTob`{/scn[fii|{eg#c>?56>;:ZUP8;>0boQbel]`kkr(zhgTob`{rnn,j562?525S^Y>1e9mfZkbeVidby!}al]`kkruge%e<=86219mfZkbeVidby!}al]`kkruge%e<=86<9<10>heWdofSnaat.pbiZehf}xd`"`?07;?<;YT_98?7clPmdo\gjhs'{kfSnaatsmo+k67>0632R]X10f8jgYjmdUhccz r`o\gjhszff$b=>71328jgYjmdUhccz r`o\gjhszff$b=>71=:=61=ijVgnaRm`nu-qehYdgg~yca!a01:28=8X[^:986`m_lgn[fii|&xjaRm`nuplh*h781;743Q\W03g?kdXelgTob`{/scn[fii|{eg#c>?9203?kdXelgTob`{/scn[fii|{eg#c>?92>;:729WZ];>95ab^ofiZehf}%ym`Qloovqki)i8938050PSV37f>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{eZ7^[BHCW;9TcRyfdd]nq}Y6?5`8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykT5\]DJAY5;VeT{djj_lw{[412WF__0<0;b:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiV;R_FLG[75XgV}bhhQbuy]230YH]]6929l4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oP9PQHNE]17ZiX`nnS`{w_056[JSS4:4886`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId016?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c999>7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk101;?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c98'Bb>74nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf25(Oi9:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj>1^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi?=3b9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa75W{y|bz<5:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`454=2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh<:<8:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`42*Ag927clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk15/Jj45d3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo=9Q}surlp63;4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf226>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al8=&Ec?heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al83846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId0;.Mk5>3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo=4#Fn01`?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c90Uyy~`t2`8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb6W{y|bz<4:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`7503ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo> Ga3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa4*AgUX[==:;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg65523ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo><=7;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg64+Nf:30boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj=1,Km56eheWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al;>846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId36.Mk5>3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo>9#Fn01`?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c:=Uyy~`t278jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb5=:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj=5^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi<9349mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa40;11enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi<8-Hl0=>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al;=&Ec?5Q}surlp63m4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf1=Ztt|ye?o5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUM_@QIFe0\vvrwg}9?7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk3258jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb4%@d846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId2/Jj4523ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?==:;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg745?3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?<#Fn2;8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb49$Ce=>m4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf05Ztt|ye?85ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUM_@QIFe117f=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm99S}{pnv01>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al:9846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId21.Mk5>3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?>#Fn01b?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;:'Bb7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk351;?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;='Bb>74nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf00(Oi9:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj<4^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi=:399mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa52%@d856`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId27.Mk74k2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh>;Prrvskq523ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?;=7;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg73+Nf:30boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj<6,Km56e9"Io30g>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al:=T~~zou16?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;1937clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk39/Jj6?>74nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf0<(Oi;:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj<8^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi=63c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa5Xzz~{cy=;;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg06364nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf74(Oi;01enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi:?-Hl27f=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm>;S}{pnv01>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al=;846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId53.Mk5>3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo8<#Fn01`?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c<8Uyy~`t278jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb3::h0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj;_sqwtjr4<2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh8=;;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg261:4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf;71=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm38;6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId8/Jj6>3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa?Xzz~{cy=8;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?335?5586;11enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1??>030<>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m464048:5=?=7;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?335?5586;:20boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:8>:2>0?3772=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j555?5;;2?=8;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?335?5486;>1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1?=>015?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3771;97;8:6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<><8<3<273=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j555?595=>84nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><26>7:4513ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7;;=793?<6:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRQa02048386;?1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1911248jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2062:?689=7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;??939?303>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m4630W`d}=>64nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><56]jjs76;>1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf99>;Rgav31a?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3701Xag|9S^Y?3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQPn1163Zoi~;UX[<=m;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?345\mkp5WZ]9?i5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb==:7^kmr7YT_;UM?i5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb==:7^kmr7YT_;UL?:5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb==95^kmr45?3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7;??Tecx>1258jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2020Ynf88n6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<>8:_hlu6ZUP8:h0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:8:8Qfnw0\WR74j2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8:<>Sd`y2^QT66b3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQPn1147Zoi~;UX[?=k;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?361\mkp5WZ]9SK=k;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?361\mkp5WZ]9SJ=8;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?393\mkp6;11enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf993=Rgav0303>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m46>6W`d}>>l4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><80]jjs4X[^:8n6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<>6>_hlu6ZUP9:h0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:842;8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2722:56VY\<>84nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc>;66>0:4513ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7=;1811248jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2722:0689>7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;8;938?15?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3031;07;8:6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<988<9<173=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j521?525?><4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc>:1815?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l314?X[^:8:6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<8?6_RU27==ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j5361VY\=RH<8:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRQa043:[VQ6WN9=7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;9<7PSV002>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m407>WZ]8??5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb=;83218jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o263674;2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8<=8>>=4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc>:72107>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m436>9:90boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:=;5?<7:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRaj_scn[ggu9?:0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXzlfT|b}Pci3\mkr\0TUyiaQor]`l4Ynf}Q3QRczx^71[JSS4;4::95ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l4Ynf}Q3QR|jl^rlwZeo9VcexV6R_lw{[04XG\^7>3?PSV255>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^pfhZvh{Vic=RgatZ:^[wckWyexSnf>_hlw_=[Xe|rT9?Q@UU>0:471:2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg1^kmp^>ZW{ogS}a|_bj2[lhsS1WTaxvP53]LQQ:468;::=5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l4Ynf}Q3QR|jl^rlwZeo9VcexV6R_lw{[04XG\^783?94:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiR|jl^rlwZeo9VcexV6R_sgo[uitWjb:Sd`{[9_\ip~X=;UDYY2;>0]PS7073ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf>_hlw_=[XzlfT|b}Pci3\mkr\0TUfyuQ:2^MVP9399?>0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXzlfT|b}Pci3\mkr\0TUyiaQor]`l4Ynf}Q3QRczx^71[JSS4<4:S^Y=5g9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`8UbbyU7]^pfhZvh{Vic=RgatZ:^[hsW<8TCXZ36?40?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_sgo[uitWjb:Sd`{[9_\v`jXxfyToe?PiovX>RAZT=4=[VQ7>91enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh0]jjq]?UVxn`R~`s^ak5Zoi|R2VS`{w_40\KPR;07;=<6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak6Zoi|R2VSkc_qmp[fn5W`dW5SPmtz\26YH]]692<8;;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSkc_qmp[fn5W`dW5SPrdn\tjuXka8TeczT8\]nq}Y1;VE^X1<11^QT43791enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh3]jjq]?UVxn`R~`s^ak6Zoi|R2VS`{w_71\KPR;=7;=86`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak6Zoi|R2VSkc_qmp[fn5W`dW5SPmtz\26YH]]6>2ZWdsS;=POTV?2;YT_9<;7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbW{ogS}a|_bj1[lhsS1WT~hbPpnq\gm4Xag~P4PQbuy]57ZIR\525=;>4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oT~hbPpnq\gm5Xag~P4PQ}em]skvYd`:UbbyU7]^ov|Z13WF__0?0>659mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`:UbbyU7]^pfhZvh{Vic?RgatZ:^[hsW>>TCXZ32?3\WR6192diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg3^kmp^>ZW{ogS}a|_bj0[lhsS1WTaxvP75]LQQ:468;=>6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak7Zoi|R2VSkc_qmp[fn4W`dW5SPmtz\31YH]]682619mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`:UbbyU7]^pfhZvh{Vic?RgatZ:^[hsW>>TCXZ34?350>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^pfhZvh{Vic?RgatZ:^[wckWyexSnf<_hlw_=[Xe|rT;9Q@UU>7:4YT_;<;7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbW{ogS}a|_bj0[lhsS1WT~hbPpnq\gm5Xag~P4PQbuy]40ZIR\5?5=;:4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oT~hbPpnq\gm5Xag~P4PQ}em]skvYd`:UbbyU7]^ov|Z13WF__080>_RU11c=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]qaiYwgzUhd>QfnuY;YZtbdVzdRmg3^kmp^>ZWdsS::POTV?2;043ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf<_hlw_=[XzlfT|b}Pci1\mkr\0TUfyuQ84^MVP909WZ];:=5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l6Ynf}Q3QR|jl^rlwZeo;VcexV6R_lw{[22XG\^743?90:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiR|jl^rlwZeo047?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_sgo[uitWjb?Sd`{[9_\v`jXxfyToe:PiovX2WF__0>0>1043?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_sgo[uitWjb?Sd`{[9_\v`jXxfyToe:PiovX0]PS73a3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf;_hlw_=[XzlfT|b}Pci6\mkr\0TUfyuQ75^MVP909>:1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh5]jjq]?UVxn`R~`s^ak0Zoi|R2VS`{w_97\KPR;>7UX[=8?;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSkc_qmp[fn3W`dW5SPrdn\tjuXka>TeczT8\]nq}Y?=VE^X1611728jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPrdn\tjuXka?TeczT8\]qaiYwgzUhd8QfnuY;YZkrpV3=SB[[<3<221=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]qaiYwgzUhd8QfnuY;YZtbdVzdRmg5^kmp^>ZWdsS48POTV?6;7X[^:==6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak1Zoi|R2VSkc_qmp[fn2W`dW5SPmtz\=3YH]]682Sd`{[9_\ip~X1?UDYY2<>03225=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]qaiYwgzUhd8QfnuY;YZtbdVzdRmg5^kmp^>ZWdsS48POTV?0;71<2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg5^kmp^>ZW{ogS}a|_bj6[lhsS1WTaxvP97]LQQ:368UX[?8?;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSkc_qmp[fn2W`dW5SPrdn\tjuXka?TeczT8\]nq}Y>>VE^X1;11768jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPrdn\tjuXka?TeczT8\]qaiYwgzUhd8QfnuY;YZkrpV3=SB[[<4<2[VQ5=o1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh4]jjq]?UVxn`R~`s^ak1Zoi|R2VS`{w_84\KPR;>7<87clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbW{ogS}a|_bj6[lhsS1WT~hbPpnq\gm3Xag~P4PQbuy]:2ZIR\5<5S^Y?619mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo9Vcex1>12`9mfZkbeVidby!}al]`kkruge%yiaQor]`l4Ynf}6:2?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkr;:78j7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw8685i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat=6=6d=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`8Ubby2:>3c8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm7Xag~7:349m6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg1^kmp9>9ZWdsS8>RAZT=6=0g=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`8UbbyU7]^pfhZvh{Vic=RgatZ:^[hsW<8TCXZ35?6a?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn6W`dW5SPrdn\tjuXka;TeczT8\]nq}Y2:VE^X1814c9mfZkbeVidby!}al]`kkruge%yiaQor]`l4Ynf}Q3QR|jl^rlwZeo9VcexV6R_lw{[04XG\^743<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj2[lhsW99;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw[5Yu{}zdx>?4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkrX8Vxxx}a{1268jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm7Xag~T_sqwtjr492diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat^3\vvrwg};956`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg1^kmpZ4482diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat^0\vvrwg}827clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw[6573ghTahcPcnlw+wgjWjeexac/sgo[uitWjb:Sd`{_2]qwqvh|:;0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe?Piov\7Ztt|ye=?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkrX<::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe?Piov\0Ztt|ye>45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh0]jjqY2;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd_hlw[2573ghTahcPcnlw+wgjWjeexac/sgo[uitWjb:Sd`{_6]qwqvh|:;0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe?Piov\3Ztt|ye=?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkrX0::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe?Piov\l5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh3]jjq:76;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToeheWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:Vcex1=12`9mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}6?2?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkr;=78j7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw8385i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic>Rgat=5=6d=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`;Ubby27>5`8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm4Xag~P4PQ}em]skvYd`;UbbyU7]^ov|Z04WF__0?0;b:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak6Zoi|R2VSkc_qmp[fn5W`dW5SPmtz\26YH]]6?29l4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkr\0TUyiaQor]`l7Ynf}Q3QRczx^40[JSS4<4?n6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg2^kmp^>ZW{ogS}a|_bj1[lhsS1WTaxvP62]LQQ:16=h0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToeRgat^204>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:VcexR>Prrvskq563ghTahcPcnlw+wgjWjeexac/sgo[uitWjb9Sd`{_1]qwqvh|89?7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw[5Yu{}zdxR]X23;8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm4Xag~T=>>4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkrX9Vxxx}a{309mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}U:S}{pnv26<=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`;UbbyQ=319mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}U9S}{pnv1=>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:VcexR=<0:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak6Zoi|V9T~~zou12?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dS>Q}surlp44>3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb9Sd`{_513?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dS9Q}surlp7?heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:VcexR9<0:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak6Zoi|V=T~~zou12?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dS:Q}surlp44>3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb9Sd`{_913?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dS5Q}surlp7gl5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh2]jjq:46;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov?0;4f3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{<4<1e>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;Vcex1812`9mfZkbeVidby!}al]`kkruge%yiaQor]`l6Ynf}6<2?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkr;07>i7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf<_hlw_=[XzlfT|b}Pci1\mkr\0TUfyuQ84^MVP949QfnuY;YZtbdVzdRmg3^kmp^>ZWdsS::POTV?0;2e3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{[9_\v`jXxfyToe=PiovX>TCXZ36?6a?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn4W`dW5SPrdn\tjuXka9TeczT8\]nq}Y0heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;VcexR>PrrvskqYT_;827clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf<_hlw[4573ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{_0]qwqvh|:;0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov\5Ztt|ye=?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkrX:::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov\6Ztt|ye>45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh2]jjqY4;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd>Qfnu]0[wusxf~8=6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ5Xzz~{cy?=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak7Zoi|V>8<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ2Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj0[lhsW<9;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf<_hlw[0Yu{}zdx?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkrX>::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov\2Ztt|ye>45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh2]jjqY0;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd>Qfnu]4[wusxf~8=6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ1Xzz~{cy?=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak7Zoi|V28<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ>Xzz~{cy1:7gTecz33?0b?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`d090=a:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|5?5>l5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh5]jjq:16;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe:Piov?3;4f3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb?Sd`{<9<7f>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo5`8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm2Xag~P4PQ}em]skvYd`=UbbyU7]^ov|Z>2WF__090;b:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|R2VSkc_qmp[fn3W`dW5SPmtz\<0YH]]6>29l4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci6\mkr\0TUyiaQor]`l1Ynf}Q3QRczx^:6[JSS4?4?n6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg4^kmp^>ZW{ogS}a|_bj7[lhsS1WTaxvP84]LQQ:?6;30boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe:Piov\466TeczP0^pppuis;81enRcjm^aljq)uidUhccz}om-qaiYwgzUhd9Qfnu]3[wusxf~:?95ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh5]jjqY7W{y|bzPSV01=>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb?Sd`{_313?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`dS?Q}surlp7?TeczP3228jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm2Xag~T?R||tqmw74=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`=UbbyQ<_sqwtjr6:01enRcjm^aljq)uidUhccz}om-qaiYwgzUhd9Qfnu]775=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`=UbbyQ;_sqwtjr512diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic8Rgat^704>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb?Sd`{_713?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`dS;Q}surlp7?TeczP7228jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm2Xag~T;R||tqmw74=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`=UbbyQ8_sqwtjr6:01enRcjm^aljq)uidUhccz}om-qaiYwgzUhd9Qfnu];75=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`=UbbyQ7_sqwtjr5i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic9Rgat=2=6d=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`>3c8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm3Xag~7>36:7gi7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf:_hlw_=[XzlfT|b}Pci7\mkr\0TUfyuQ66^MVP929ZWdsS48POTV?1;2e3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb>Sd`{[9_\v`jXxfyToe;PiovX45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh4]jjqY6;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd8Qfnu]2[wusxf~8=6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg5^kmpZ7Xzz~{cy?=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak1Zoi|V88<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg5^kmpZ4Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj6[lhsW:9;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf:_hlw[6Yu{}zdx>?4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci7\mkrX;Vxxx}a{13;8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm3Xag~T8>>4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci7\mkrX?=5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh4]jjqY2W{y|bz=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak1Zoi|V<8<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg5^kmpZ0Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj6[lhsW>9;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf:_hlw[2Yu{}zdx>?4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci7\mkrX?Vxxx}a{13;8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm3Xag~T4>>4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci7\mkrX0Vxxx}a{b:ljfgjbWykhg?5ao`9laZtfeVhj~k5`e^pbiZdfzVEIYK=4pqq;?uvtW@H^J55pr]q858?3yzxS2>>69stvYuW9=0|}}Pr^34?uvtW{y=>5}al]`kkruWjyS~zntd48vaYumx;37k|eu]dak(dgg~T>=>BH{>3:4?0;8v`ub|Vmnb#m`nu]145KOr5;:2<74rdqfpZabf'idbyQ=01OK~9756830~h}jt^efj+ehf}U9<=CGz=30:4?0;8v`ub|Vmnb#m`nu]145KOr5;>2<64rdqfpZabf'idbyQ=01OK~979911yi~k{_fgm*fii|V8;<@Fu<3<2<>tb{l~Tkh`!cnlw[767EAp7?3?7;sgpaqY`mg$hcczP212NL:36820~h}jt^efj+ehf}U9<=CGz=7=5==umzoSjka.bmmpZ478DBq0;0>8:pfw`rXold%ob`{_323IM|;?7;37k|eu]dak(dgg~T>=>BH{>;:4>=>BH{]25==umzoSjka.bmmpZ478DBqS<>>8:pfw`rXold%ob`{_323IM|X98;37k|eu]dak(dgg~T>=>BH{]264>:46|jsdv\c`h)kfdS?>?MIx\50703{oxiyQheo,`kkrX:9:FDwQ=169qavcsWnoe"naat^034HN}W:;<7k|eu]dak(dgg~T>=>BH{]752=umzoSjka.bmmpZ478DBqS8?8;sgpaqY`mg$hcczP212NLY19>1yi~k{_fgm*fii|V8;<@Fu_634?wctm}Ulic loov\656J@sU3=:5}ergw[bci&jeexRqbWkkySBLZF028|f0tdq3&? z~g067yEFw;8o87MNw3179B?4=9rYh=7<;a;3fb?74;h:;n79756:&102<5<81v_oh525c95``=9:9j<=l52`4a7>b48<0;6<4>{Ra2>72f28om6<=7g1ij1}X?<<:182>4<1imqXo<4=4`82ac<6;:k;f18`b`=e;9?1<7:n:75b>3gcsA88o6*=3c8040=]1h0>7:18'5c5=;930b>9:18'5c5=;930b?>:18'5c5=;880b>i:18'5c5=;880b?n:18'5c5=;8h0b290/=k=530`8j4`52;10e>?7:18'5c5=;8h0b<<:18'5c5=;;>0b<>:18'5c5=;;>0b2900enm6:188m65c2900e>=<:188m6522900e>=8:188m65>2900e>=m:188m42b2900e??7:188mf4=831d>h950;&2b6<5m?1e=k<50:9l6`3=83.:j>4=e79m5c4=921d>h:50;&2b6<5m?1e=k<52:9l6`5=83.:j>4=e79m5c4=;21d>h<50;&2b6<5m?1e=k<54:9l6`7=83.:j>4=e79m5c4==21d>h>50;&2b6<5m?1e=k<56:9l6a`=83.:j>4=e79m5c4=?21d>ik50;&2b6<5m?1e=k<58:9l6c6=83.:j>4=e79m5c4=121d>hh50;&2b6<5m?1e=k<5a:9l6`c=83.:j>4=e79m5c4=j21d>hj50;&2b6<5m?1e=k<5c:9l6`e=83.:j>4=e79m5c4=l21d>hl50;&2b6<5m?1e=k<5e:9l6`g=83.:j>4=e79m5c4=n21d>h750;&2b6<5m?1e=k<51198k7c?290/=k=52d48j4`528;07b-c>3:1(;:)g7?6=,8l86i;4n0d1>7=<#m81<7*>f28g1>h6n;0876%k1;29 4`42m?0b4k5:l2b7<232!oj7>5$0d0>a3f384?>-cl3:1(d=<#mk1<7*>f28g1>h6n;0i76%k9;29 4`42m?0b=83.:j>4k5:l2b75$0d0>a3f38e?>idnj0;66alf`83>>i3k>0;66alc683>>i6>-dn;0;66%lf583>>d5<<0;6<4?:1y'66d=9;o0D?:<;I00g>i6:m0;66sm25494?7=83:p(?=m:31g?M43;2B9?n5`1dg94?=zjjim6=4;:183!44j3;:=6F=429K66e<,89o6=5f9c83>>odj3:17d1<729q/>>l51038L7243A88o6*>3e83?l?e2900enl50;9j656=831d=kk50;9~ffeb290?6=4?{%00f?7692B98>5G22a8 45c291b5o4?::k`f?6=3`8;<7>5;n3ea?6=3thhi?4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66smcd194?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~ffcf290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17plleb83>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::ag`b=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qomjf;290?6=8r.9?o4>119K615<@;9h7)?5;|``b5<72=0;6=u+22`9546<@;>87E<fd83>>{eko;1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vnnk;:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xddm?0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wioh950;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rbbg;>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th8;h4?:483>5}#::h1=<<4H360?M44k2.:?i4?;h;a>5<>o5890;66a>fd83>>{e;>l1<7;50;2x 75e28;97E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9j656=831d=kk50;9~f6>7290>6=4?{%00f?76:2B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44i323>5<5<5<4290;w)<N5<:1C>>m4i`694?=n9=81<75`1dc94?=zj8?o6=4;:183!44j3;><6F=429K66e1<75ff983>>o59?0;66a>e`83>>{e9>31<7=50;2x 75e2;>97E<;3:J17f=ni=0;66g>4383>>i6mh0;66sm14d94?2=83:p(?=m:073?M43;2B9?n5fa583>>oa03:17d<>6;29?j7bi3:17pl>7`83>6<729q/>>l52508L7243A88o6gn4;29?l73:3:17b?ja;29?xd6>80;694?:1y'66d=9<:0D?:<;I00g>of<3:17dh7:188m7712900c50z&17g<5<;1C>9=4H31`?lg32900e<:=:188k4cf2900qo?93;290?6=8r.9?o4>519K615<@;9h7do;:188mc>=831b><850;9l5`g=831vn<9l:180>5<7s-88n7<;2:J106=O::i0el:50;9j514=831d=ho50;9~f402290?6=4?{%00f?7282B98>5G22a8md2=831bj54?::k153<722e:il4?::a52b=8391<7>t$31a>7253A8??6F=3b9je1<722c:8?4?::m2ad<722wi=;950;694?6|,;9i6<;?;I077>N5;j1bm94?::ke5;n3fe?6=3th:;h4?:283>5}#::h1>9<4H360?M44k2cj87>5;h376?6=3f;nm7>5;|`22<<72=0;6=u+22`9506<@;>87E<5<5<96=44o0gb>5<54;294~"5;k0:9=5G2518L75d3`k?6=44ig:94?=n:8<1<75`1dc94?=zj82;6=4<:183!44j38?>6F=429K66e1<75f15094?=h9lk1<75rb04g>5<3290;w)<N5<:1C>>m4i`694?=nn10;66g=1783>>i6mh0;66sm19394?5=83:p(?=m:361?M43;2B9?n5fa583>>o6<;0;66a>e`83>>{e9<91<7:50;2x 75e28?;7E<;3:J17f=ni=0;66gi8;29?l46>3:17b?ja;29?xd6?;0;6>4?:1y'66d=:=80D?:<;I00g>of<3:17d?;2;29?j7bi3:17pl>5483>1<729q/>>l51428L7243A88o6gn4;29?l`?2900e??9:188k4cf2900qo?83;297?6=8r.9?o4=439K615<@;9h7do;:188m4252900c50z&17g<6=91C>9=4H31`?lg32900ek650;9j640=831d=ho50;9~f41329086=4?{%00f?43:2B98>5G22a8md2=831b=9<50;9l5`g=831vn<;6:187>5<7s-88n7?:0:J106=O::i0el:50;9jb=<722c9=;4?::m2ad<722wi=:;50;194?6|,;9i6?:=;I077>N5;j1bm94?::k207<722e:il4?::a50d=83>1<7>t$31a>4373A8??6F=3b9je1<722cm47>5;h022?6=3f;nm7>5;|`233<72:0;6=u+22`9614<@;>87E<7>5;n3fe?6=3th:;:4?:283>5}#::h1>9<4H360?M44k2cj87>5;h376?6=3f;nm7>5;|`27d<72;0;6=u+22`956?<@;>87E<N5;j1/=hj5cb48 c5=9l30(<=k:19'670=::=0enm50;9jga<722c2o7>5;h;f>5<;6=4=f;294~"5;k0m<6F=429K66e4=ij00?7)lm:02f?!dd2:30(oj51d;8 gc=;m1/j84=399'b2<6<<1/j44>449'bf<6m01/ji4=3`9'55b=;;1e=k?50:&162<68l1/>>k511g8kdg=831b=k;50;9j677=83B9>?54i315>5?<4;n`5>5<65fc583>M45:21b>?54i322>5<=h:;:1<7F=2398m77c290C>?<4;h3e3?6=3`ij6=4G2308?l75j3:1D?<=;:k176<72A89>65`a783>>ie?3:17d<>e;29L74532ch47>5H301?>id83:1D?<=;:k26f<72A89>65f22094?N5:;10co=50;9l5c0=831dmo4?::m206<722cmn7>5;n005?6=,8l86?=?;o3e6?6<3f89j7>5$0d0>7573g;m>7?4;n01a?6=,8l86?=?;o3e6?4<3f89h7>5$0d0>7573g;m>7=4;n01g?6=,8l86?=?;o3e6?2<3f89n7>5$0d0>7573g;m>7;4;n01e?6=,8l86?=?;o3e6?0<3f8957>5$0d0>7573g;m>794;|`07c<72;l1<7>t$31a>c6<@;>87E<0v(1=#jk0:"el3;n56*me;1g?!`22;937)h8:066?!`>28>>7)hl:0g:?!`c2;9j7)??d;11?k7a93:0(?<8:02f?!44m3;;i6ana;29?l7a=3:17d<=1;29L74532c9?;4?:I016>=hi00;66g=3483>M45:21dn;4?::k`1?6=@;8976an7;29?jg?2900e?=;:18K674<3`i?6=4G2308?l46n3:1D?<=;:k`=?6=@;8976gl6;29L74532c9<<4?::m152<72A89>65f1g:94?=njh0;66gm5;29?le0290C>?<4;n014?6=@;8976g=1e83>M45:21b=k950;9jgd<72A89>65f13`94?N5:;10e?=<:18K674<3fk=6=44oc594?=n:8o1<7F=2398mf>=83B9>?54ob294?N5:;10e<7>5H301?>ie;3:17b?i6;29?jge2900c<:<:188mcd=831d>>?50;&2b6<5;91e=k<50:9l67`=83.:j>4=319m5c4=921d>?k50;&2b6<5;91e=k<52:9l67b=83.:j>4=319m5c4=;21d>?m50;&2b6<5;91e=k<54:9l67d=83.:j>4=319m5c4==21d>?o50;&2b6<5;91e=k<56:9l67?=83.:j>4=319m5c4=?21vn>89:186>5<7s-88n7?>2:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<5<55;294~"5;k0:=?5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?l4783:17b?ie;29?xd4>l0;694?:1y'66d=98;0D?:<;I00g>"6;m0;7d7m:188mfd=831b>=>50;9l5cc=831vn>8k:187>5<7s-88n7?>1:J106=O::i0(<=k:19j=g<722chn7>5;h034?6=3f;mi7>5;|`02c<72=0;6=u+22`9546<@;>87E<fd83>>{e=l:1<7;50;2x 75e28;97E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9j656=831d=kk50;9~f0ba290>6=4?{%00f?76:2B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44i323>5<>odj3:17d1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a26g=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo8<8;290?6=8r.9?o4>119K615<@;9h7)?5;|`573<72=0;6=u+22`9546<@;>87E<fd83>>{e>:?1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn;=;:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd1;;0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi:>?50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb713>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th=>h4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm63f94?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f325290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl94183>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a26`=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo8119K615<@;9h7)?5;|`57f<72=0;6=u+22`9546<@;>87E<fd83>>{e>:=1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn;5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd18k0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi:=o50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb72:>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th=<;4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm61794?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f363290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl90383>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a257=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo;if;290?6=8r.9?o4>119K615<@;9h7)?5;|`6b`<72=0;6=u+22`9546<@;>87E<fd83>>{e=on1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn;?=:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd1990;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi:=h50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb72f>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th=5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm61594?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f0`d290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl9b483>0<729q/>>l51008L7243A88o6*>3e83?l?e2900el<50;9jgg<722c9<=4?::m2b`<722wi:o:50;794?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=n:9:1<75`1gg94?=zj?h86=4::183!44j3;:>6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188m7672900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th<5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm71g94?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f26c290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl80`83>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a35?=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<:36=4;:183!44j3;:<6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188k4`b2900qo9?7;290?6=8r.9?o4>119K615<@;9h7)?5;|`443<72=0;6=u+22`9546<@;>87E<fd83>>{e?9?1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn:>;:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd08;0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi;<950;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb635>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th<=94?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm70194?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f275290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl80b83>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a357=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<:;6=4;:183!44j3;:<6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188k4`b2900qo8j0;290?6=8r.9?o4>119K615<@;9h7)?5;|`5`c<72=0;6=u+22`9546<@;>87E<fd83>>{e>mo1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn;jk:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd1lh0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi:i750;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb7f;>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th=h;4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm6e794?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f3b3290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl9d383>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a2`1=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo8j5;290?6=8r.9?o4>119K615<@;9h7)?5;|`5a1<72=0;6=u+22`9546<@;>87E<fd83>>{e>l91<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn;k=:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd1lj0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi:i?50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb7f3>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<69;1C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;h034?6=3f;mi7>5;|`4<2<72<0;6=u+22`9544<@;>87E<>i6nl0;66sm79494?3=83:p(?=m:031?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831b>=>50;9l5cc=831vn:k<:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd0m80;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi;h>50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb6ff>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm7e`94?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f2bf290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl8d983>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a3a1=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<n=6=4;:183!44j3;:<6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188k4`b2900qo9k5;290?6=8r.9?o4>119K615<@;9h7)?5;|`4ad<72=0;6=u+22`9546<@;>87E<fd83>>{e?l31<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn:k7:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd0m?0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi;h;50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb6g7>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm7e194?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f2d4290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<7>54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl8b083>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a3g6=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<kn6=4;:183!44j3;:<6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188k4`b2900qo9nd;290?6=8r.9?o4>119K615<@;9h7)?5;|`4ef<72=0;6=u+22`9546<@;>87E<fd83>>{e?hh1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn:on:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd0i10;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi;l950;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb6c5>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm7c;94?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f2d?290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl8b783>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a3g3=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<h?6=4;:183!44j3;:<6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188k4`b2900qo9nf;290?6=8r.9?o4>119K615<@;9h7)?5;|`4e1<72=0;6=u+22`9546<@;>87E<fd83>>{e?h91<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn5;m:186>5<7s-88n7?>2:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<5<m7>55;294~"5;k0:=?5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?l4783:17b?ie;29?xd?=00;684?:1y'66d=9880D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::k145<722e:jh4?::a1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<6=4;:183!44j3;:<6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188k4`b2900qo6m4;290?6=8r.9?o4>119K615<@;9h7)?5;|`;f6<72=0;6=u+22`9546<@;>87E<fd83>>{e0k;1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn5l?:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd?il0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi4lj50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb9c`>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900cfj3:187>50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th3ml4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm8`;94?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f=g?290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl7bb83>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo6m9;290?6=8r.9?o4>119K615<@;9h7)?5;|`;f=<72=0;6=u+22`9546<@;>87E<fd83>>{e0k=1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn5l=:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd?i?0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi45850;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb9:6>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c?<3:187>50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th34>4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm89394?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f=>7290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl77d83>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a<2b=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo68b;290?6=8r.9?o4>119K615<@;9h7)?5;|`;3d<72=0;6=u+22`9546<@;>87E<fd83>>{e0>31<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn597:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd?0j0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi45l50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb9:b>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c?13:187>50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th3454?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm89594?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f=>5290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl77783>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a=7c=83?1<7>t$31a>4753A8??6F=3b9'56b=82c2n7>5;hc1>5<5<2290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900e?>?:188k4`b2900qo7=c;291?6=8r.9?o4>139K615<@;9h7)?5;n3ea?6=3th2444?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm99:94?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f<>0290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl68583>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a==5=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo771;290?6=8r.9?o4>119K615<@;9h7)?5;|`:<5<72=0;6=u+22`9546<@;>87E<fd83>>{e1>l1<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn49j:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd>?j0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi5:l50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb8;3>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th24h4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm99f94?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f<>d290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl68`83>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a==3=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo789;290?6=8r.9?o4>119K615<@;9h7)?5;|`:1<<72=0;6=u+22`9546<@;>87E<fd83>>{e1<21<7:50;2x 75e28;;7E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9l5cc=831vn4;8:187>5<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd>==0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi58=50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb871>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3th29=4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66sm95d94?2=83:p(?=m:033?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831d=kk50;9~f<2b290?6=4?{%00f?7682B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44o0df>5<54;294~"5;k0:==5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?j7am3:17pl64b83>1<729q/>>l51028L7243A88o6*>3e83?l?e2900el<50;9jgg<722e:jh4?::a=1d=83>1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<>of:3:17dmm:188k4`b2900qo7:f;290?6=8r.9?o4>119K615<@;9h7)?5;|`:1`<72=0;6=u+22`9546<@;>87E<fd83>>{e15<7s-88n7?>0:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<>odj3:17b?ie;29?xd>=h0;694?:1y'66d=98:0D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::m2b`<722wi58;50;694?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=h9oo1<75rb86b>5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900c50z&17g<6991C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;n3ea?6=3thj8n4?:283>5}#::h1>9<4H360?M44k2cj87>5;h376?6=3f;nm7>5;|`b7=<72=0;6=u+22`9506<@;>87E<5<5<96=44o0gb>5<54;294~"5;k0:9=5G2518L75d3`k?6=44ig:94?=n:8<1<75`1dc94?=zjh??6=4<:183!44j38?>6F=429K66e1<75f15094?=h9lk1<75rb`63>5<3290;w)<N5<:1C>>m4i`694?=nn10;66g=1783>>i6mh0;66sma4194?5=83:p(?=m:361?M43;2B9?n5fa583>>o6<;0;66a>e`83>>{ei:l1<7:50;2x 75e28?;7E<;3:J17f=ni=0;66gi8;29?l46>3:17b?ja;29?xdf=;0;6>4?:1y'66d=:=80D?:<;I00g>of<3:17d?;2;29?j7bi3:17pln3d83>1<729q/>>l51428L7243A88o6gn4;29?l`?2900e??9:188k4cf2900qoo:1;297?6=8r.9?o4=439K615<@;9h7do;:188m4252900c50z&17g<6=91C>9=4H31`?lg32900ek650;9j640=831d=ho50;9~fd3729086=4?{%00f?43:2B98>5G22a8md2=831b=9<50;9l5`g=831vnl=l:187>5<7s-88n7?:0:J106=O::i0el:50;9jb=<722c9=;4?::m2ad<722wim9h50;194?6|,;9i6?:=;I077>N5;j1bm94?::k207<722e:il4?::ae6d=83>1<7>t$31a>4373A8??6F=3b9je1<722cm47>5;h022?6=3f;nm7>5;|`b0`<72:0;6=u+22`9614<@;>87E<7>5;n3fe?6=3thj?l4?:583>5}#::h1=8>4H360?M44k2cj87>5;hd;>5<5<53;294~"5;k098?5G2518L75d3`k?6=44i061>5<5<5<4290;w)<N5<:1C>>m4i`694?=n9=81<75`1dc94?=zjh9<6=4;:183!44j3;><6F=429K66e1<75ff983>>o59?0;66a>e`83>>{e1k;1<7=50;2x 75e2;>97E<;3:J17f=ni=0;66g>4383>>i6mh0;66sm9c294?5=83:p(?=m:361?M43;2B9?n5fa583>>o6<;0;66a>e`83>>{e1031<7:50;2x 75e28?;7E<;3:J17f=ni=0;66gi8;29?l46>3:17b?ja;29?xd>jk0;6>4?:1y'66d=:=80D?:<;I00g>of<3:17d?;2;29?j7bi3:17pl6ac83>1<729q/>>l51428L7243A88o6gn4;29?l`?2900e??9:188k4cf2900qo7ma;297?6=8r.9?o4=439K615<@;9h7do;:188m4252900c50z&17g<6=91C>9=4H31`?lg32900ek650;9j640=831d=ho50;9~f29086=4?{%00f?43:2B98>5G22a8md2=831b=9<50;9l5`g=831vn4o8:187>5<7s-88n7?:0:J106=O::i0el:50;9jb=<722c9=;4?::m2ad<722wi5o650;194?6|,;9i6?:=;I077>N5;j1bm94?::k207<722e:il4?::a=d3=83>1<7>t$31a>4373A8??6F=3b9je1<722cm47>5;h022?6=3f;nm7>5;|`:f2<72:0;6=u+22`9614<@;>87E<7>5;n3fe?6=3th2m>4?:583>5}#::h1=8>4H360?M44k2cj87>5;hd;>5<5<53;294~"5;k098?5G2518L75d3`k?6=44i061>5<5<5<4290;w)<N5<:1C>>m4i`694?=n9=81<75`1dc94?=zj03m6=4;:183!44j3;><6F=429K66e1<75ff983>>o59?0;66a>e`83>>{e1k>1<7=50;2x 75e2;>97E<;3:J17f=ni=0;66g>4383>>i6mh0;66sm98f94?2=83:p(?=m:073?M43;2B9?n5fa583>>oa03:17d<>6;29?j7bi3:17pl6b283>6<729q/>>l52508L7243A88o6gn4;29?l73:3:17b?ja;29?xd>1k0;694?:1y'66d=9<:0D?:<;I00g>of<3:17dh7:188m7712900c50z&17g<5<;1C>9=4H31`?lg32900e<:=:188k4cf2900qo768;290?6=8r.9?o4>519K615<@;9h7do;:188mc>=831b><850;9l5`g=831vno99:187>5<7s-88n7?>1:J106=O::i0(<=k:19j=g<722chn7>5;h034?6=3f;mi7>5;|`a3a<72=0;6=u+22`9547<@;>87E<>odj3:17d1<729q/>>l51038L7243A88o6*>3e83?l?e2900enl50;9j656=831d=kk50;9~fg7>290?6=4?{%00f?7692B98>5G22a8 45c291b5o4?::k`f?6=3`8;<7>5;n3ea?6=3thi>?4?:583>5}#::h1=5<5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66glb;29?l4783:17b?ie;29?xde;;0;694?:1y'66d=98;0D?:<;I00g>"6;m0;7d7m:188mfd=831b>=>50;9l5cc=831vno=6:187>5<7s-88n7?>1:J106=O::i0(<=k:19j=g<722chn7>5;h034?6=3f;mi7>5;|`a03<72=0;6=u+22`9547<@;>87E<>odj3:17d6<729q/>>l52508L7243A88o6gn4;29?l73:3:17b?ja;29?xd>mh0;6>4?:1y'66d=:=80D?:<;I00g>of<3:17d?;2;29?j7bi3:17pl6d283>1<729q/>>l51428L7243A88o6gn4;29?l`?2900e??9:188k4cf2900qo7i4;297?6=8r.9?o4=439K615<@;9h7do;:188m4252900c50z&17g<6=91C>9=4H31`?lg32900ek650;9j640=831d=ho50;9~f<`429086=4?{%00f?43:2B98>5G22a8md2=831b=9<50;9l5`g=831vn4jm:187>5<7s-88n7?:0:J106=O::i0el:50;9jb=<722c9=;4?::m2ad<722wi5k<50;194?6|,;9i6?:=;I077>N5;j1bm94?::k207<722e:il4?::a=ag=83>1<7>t$31a>4373A8??6F=3b9je1<722cm47>5;h022?6=3f;nm7>5;|`:b4<72:0;6=u+22`9614<@;>87E<7>5;n3fe?6=3th2h44?:583>5}#::h1=8>4H360?M44k2cj87>5;hd;>5<5<53;294~"5;k098?5G2518L75d3`k?6=44i061>5<5<5<4290;w)<N5<:1C>>m4i`694?=n9=81<75`1dc94?=zj0n<6=4;:183!44j3;><6F=429K66e1<75ff983>>o59?0;66a>e`83>>{e1lo1<7=50;2x 75e2;>97E<;3:J17f=ni=0;66g>4383>>i6mh0;66sm9e494?2=83:p(?=m:073?M43;2B9?n5fa583>>oa03:17d<>6;29?j7bi3:17pl6ee83>6<729q/>>l52508L7243A88o6gn4;29?l73:3:17b?ja;29?xd>l<0;694?:1y'66d=9<:0D?:<;I00g>of<3:17dh7:188m7712900c50z&17g<5<;1C>9=4H31`?lg32900e<:=:188k4cf2900qo7k4;290?6=8r.9?o4>519K615<@;9h7do;:188mc>=831b><850;9l5`g=831vn4k6:180>5<7s-88n7<;2:J106=O::i0el:50;9j514=831d=ho50;9~f5G22a8md2=831bj54?::k153<722e:il4?::a7a`=83>1<7>t$31a>4763A8??6F=3b9'56b=82c2n7>5;haa>5<5<55;294~"5;k0:=?5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?l4783:17b?ie;29?xd4j<0;684?:1y'66d=9880D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::k145<722e:jh4?::a7g2=83?1<7>t$31a>4753A8??6F=3b9'56b=82c2n7>5;hc1>5<5<3290;w)<N5<:1C>>m4$01g>5=n1k0;66glb;29?l4783:17b?ie;29?xd4k?0;684?:1y'66d=9880D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::k145<722e:jh4?::a7f3=83?1<7>t$31a>4753A8??6F=3b9'56b=82c2n7>5;hc1>5<5<2290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900e?>?:188k4`b2900qo=l3;291?6=8r.9?o4>139K615<@;9h7)?5;n3ea?6=3th8o?4?:483>5}#::h1=<<4H360?M44k2.:?i4?;h;a>5<>o5890;66a>fd83>>{e;j;1<7;50;2x 75e28;97E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9j656=831d=kk50;9~f6e7290>6=4?{%00f?76:2B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44i323>5<>odj3:17d0<729q/>>l51008L7243A88o6*>3e83?l?e2900el<50;9jgg<722c9<=4?::m2b`<722wi?ij50;794?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=n:9:1<75`1gg94?=zj:nh6=4::183!44j3;:>6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188m7672900c50z&17g<69;1C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;h034?6=3f;mi7>5;|`0`d<72<0;6=u+22`9544<@;>87E<>i6nl0;66sm3e;94?3=83:p(?=m:031?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831b>=>50;9l5cc=831vn>j7:186>5<7s-88n7?>2:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<5<55;294~"5;k0:=?5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?l4783:17b?ie;29?xd4000;694?:1y'66d=98;0D?:<;I00g>"6;m0;7d7m:188mfd=831b>=>50;9l5cc=831vn>67:187>5<7s-88n7?>1:J106=O::i0(<=k:19j=g<722chn7>5;h034?6=3f;mi7>5;|`75g<72:0;6=u+22`9614<@;>87E<7>5;n3fe?6=3th?=l4?:283>5}#::h1>9<4H360?M44k2cj87>5;h376?6=3f;nm7>5;|`746<72=0;6=u+22`9506<@;>87E<5<5<96=44o0gb>5<:97>54;294~"5;k0:9=5G2518L75d3`k?6=44ig:94?=n:8<1<75`1dc94?=zj=8?6=4<:183!44j38?>6F=429K66e1<75f15094?=h9lk1<75rb530>5<3290;w)<N5<:1C>>m4i`694?=nn10;66g=1783>>i6mh0;66sm43194?5=83:p(?=m:361?M43;2B9?n5fa583>>o6<;0;66a>e`83>>{e<8;1<7:50;2x 75e28?;7E<;3:J17f=ni=0;66gi8;29?l46>3:17b?ja;29?xd3:;0;6>4?:1y'66d=:=80D?:<;I00g>of<3:17d?;2;29?j7bi3:17pl;0g83>1<729q/>>l51428L7243A88o6gn4;29?l`?2900e??9:188k4cf2900qo:=1;297?6=8r.9?o4=439K615<@;9h7do;:188m4252900c50z&17g<6=91C>9=4H31`?lg32900ek650;9j640=831d=ho50;9~f14729086=4?{%00f?43:2B98>5G22a8md2=831b=9<50;9l5`g=831vn9>m:187>5<7s-88n7?:0:J106=O::i0el:50;9jb=<722c9=;4?::m2ad<722wi8N5;j1bm94?::k207<722e:il4?::a05?=83>1<7>t$31a>4373A8??6F=3b9je1<722cm47>5;h022?6=3f;nm7>5;|`75`<72:0;6=u+22`9614<@;>87E<7>5;n3fe?6=3th?<:4?:583>5}#::h1=8>4H360?M44k2cj87>5;hd;>5<5<:h7>53;294~"5;k098?5G2518L75d3`k?6=44i061>5<5<5<4290;w)<N5<:1C>>m4i`694?=n9=81<75`1dc94?=zj=:96=4;:183!44j3;><6F=429K66e1<75ff983>>o59?0;66a>e`83>>{e<<81<7:50;2x 75e28;:7E<;3:J17f=#9:n1<6g6b;29?lee2900e?>?:188k4`b2900qo::5;290?6=8r.9?o4>109K615<@;9h7)?=83>1<7>t$31a>4763A8??6F=3b9'56b=82c2n7>5;haa>5<5<>n7>54;294~"5;k0:=<5G2518L75d3-;8h7>4i8`94?=nkk0;66g=0183>>i6nl0;66sm47:94?2=83:p(?=m:032?M43;2B9?n5+12f94>o>j3:17dmm:188m7672900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::a01>=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm44294?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo:;f;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<?h7>53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{e<=i1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::a01g=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm45494?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo:=c;290?6=8r.9?o4>109K615<@;9h7)?t$31a>4753A8??6F=3b9'56b=82c2n7>5;hc1>5<5<2290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900e?>?:188k4`b2900qo:70;291?6=8r.9?o4>139K615<@;9h7)?5;n3ea?6=3th?;k4?:483>5}#::h1=<<4H360?M44k2.:?i4?;h;a>5<>o5890;66a>fd83>>{e<>o1<7;50;2x 75e28;97E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9j656=831d=kk50;9~f11c290>6=4?{%00f?76:2B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44i323>5<>odj3:17d0<729q/>>l51008L7243A88o6*>3e83?l?e2900el<50;9jgg<722c9<=4?::m2b`<722wi84o50;794?6|,;9i6N5;j1/=>j50:k:f?6=3`k96=44ib`94?=n:9:1<75`1gg94?=zj=326=4::183!44j3;:>6F=429K66e<,89o6=5f9c83>>of:3:17dmm:188m7672900c03:197>50z&17g<69;1C>9=4H31`?!74l3:0e4l50;9je7<722chn7>5;h034?6=3f;mi7>5;|`7=2<72<0;6=u+22`9544<@;>87E<>i6nl0;66sm48494?3=83:p(?=m:031?M43;2B9?n5+12f94>o>j3:17do=:188mfd=831b>=>50;9l5cc=831vn97::186>5<7s-88n7?>2:J106=O::i0(<=k:19j=g<722cj>7>5;haa>5<5<j>7>55;294~"5;k0:=?5G2518L75d3-;8h7>4i8`94?=ni;0;66glb;29?l4783:17b?ie;29?xd3i80;684?:1y'66d=9880D?:<;I00g>"6;m0;7d7m:188md4=831boo4?::k145<722e:jh4?::a0d6=83?1<7>t$31a>4753A8??6F=3b9'56b=82c2n7>5;hc1>5<5<2290;w)<N5<:1C>>m4$01g>5=n1k0;66gn2;29?lee2900e?>?:188k4`b2900qo:6e;291?6=8r.9?o4>139K615<@;9h7)?5;n3ea?6=3th?5i4?:483>5}#::h1=<<4H360?M44k2.:?i4?;h;a>5<>o5890;66a>fd83>>{e<0i1<7;50;2x 75e28;97E<;3:J17f=#9:n1<6g6b;29?lg52900enl50;9j656=831d=kk50;9~f1?e290>6=4?{%00f?76:2B98>5G22a8 45c291b5o4?::kb6?6=3`ii6=44i323>5<>odj3:17d0<729q/>>l51008L7243A88o6*>3e83?l?e2900el<50;9jgg<722c9<=4?::m2b`<722wi=>>50;194?6|,;9i6<:8;I077>N5;j1/=>j5cc9j564=831b=>=50;9l5`g=831vn>9n:180>5<7s-88n7?;7:J106=O::i0(<=k:5;8m4552900e<=<:188k4cf2900qo:m8;290?6=8r.9?o4>499K615<@;9h7)?4?:1y'66d=9==0D?:<;I00g>"6;m0==6*>f5812f=n9:81<75f12194?=h9lk1<75rb27:>5<4290;w)<N5<:1C>>m4$01g>37<,8l?6?8m;h306?6=3`;8?7>5;n3fe?6=3th8984?:283>5}#::h1=994H360?M44k2.:?i4;9:k277<722c:?>4?::m2ad<722wi8o750;494?6|,;9i6<:n;I077>N5;j1/=>j58b9j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f1db290=6=4?{%00f?73i2B98>5G22a8 45c21?0e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo:md;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xd3jo0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m03n6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm4b394?0=83:p(?=m:06b?M43;2B9?n5+12f91<75f12794?=n9:<1<75`1dc94?=zj=hh6=49:183!44j3;?m6F=429K66e<,89o65k4i011>5<5<6=44i015>5<3:1c3`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th?n=4?:783>5}#::h1=9o4H360?M44k2.:?i476:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a0d0=83<1<7>t$31a>42f3A8??6F=3b9'56b=0?1b=><50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn>8>:185>5<7s-88n7?;a:J106=O::i0(<=k:338 4`32;3<729q/>>l515c8L7243A88o6*>3e805>"6n=09hn5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rb5`1>5<1290;w)<N5<:1C>>m4$01g>=g5<5<5<jo7>56;294~"5;k0:8l5G2518L75d3-;8h76n;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`7e`<72?0;6=u+22`951g<@;>87E<=k50;694?6|,;9i6<:7;I077>N5;j1/=>j5699'5c2=:?i0e<==:188m4542900e<=;:188k4cf2900qo;89;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xd2?h0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m02<6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm56`94?0=83:p(?=m:06b?M43;2B9?n5+12f9=5=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zj<=h6=49:183!44j3;?m6F=429K66e<,89o64>4i011>5<5<6=44i015>5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th>454?:783>5}#::h1=9o4H360?M44k2.:?i462:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a1=?=83<1<7>t$31a>42f3A8??6F=3b9'56b=1;1b=><50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn86n:185>5<7s-88n7?;a:J106=O::i0(<=k:808m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l33=7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pl:8783>3<729q/>>l515c8L7243A88o6*>3e8:2>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=191<7850;2x 75e28>j7E<;3:J17f=#9:n15;5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rb4:7>5<1290;w)<N5<:1C>>m4$01g><05<5<5<56;294~"5;k0:8l5G2518L75d3-;8h77=;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`687E<:2c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wi9:;50;494?6|,;9i6<:n;I077>N5;j1/=>j5939j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f010290=6=4?{%00f?73i2B98>5G22a8 45c2080e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo;86;291?6=8r.9?o4>489K615<@;9h7)?"6n=09m45f12094?=n9:91<75f12694?=n9:?1<75`1dc94?=zj<=36=4::183!44j3;?56F=429K66e<,89o6<>>;%3e0?4f02c:??4?::k276<722c:?94?::k270<722e:il4?::a12b=83?1<7>t$31a>42>3A8??6F=3b9'56b=99;0(0<729q/>>l515;8L7243A88o6*>3e8244=#9o>1>l84i011>5<5<6=44o0gb>5<55;294~"5;k0:845G2518L75d3-;8h77:;%3e0?4f12c:??4?::k276<722c:?94?::k270<722e:il4?::a1=6=83?1<7>t$31a>42>3A8??6F=3b9'56b=1<1/=k:52`:8m4552900e<=<:188m4532900e<=::188k4cf2900qo;71;291?6=8r.9?o4>489K615<@;9h7)?3383>>o6;:0;66g>3583>>o6;<0;66a>e`83>>{e=181<7;50;2x 75e28>27E<;3:J17f=#9:n1585+1g696d05<5<4?::k271<722e:il4?::a1<3=83?1<7>t$31a>42>3A8??6F=3b9'56b=:h1/=k:52808m4552900e<=<:188m4532900e<=::188k4cf2900qo;63;292?6=8r.9?o4>4`9K615<@;9h7)?6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm59g94?5=83:p(?=m:064?M43;2B9?n5+12f9b`=#9o>1>:74i011>5<5<53;294~"5;k0:8:5G2518L75d3-;8h78k;h306?6=3`;8?7>5;n3fe?6=3th>;>4?:283>5}#::h1=994H360?M44k2.:?i491:&2b1<5>91b=><50;9j565=831d=ho50;9~f0?029086=4?{%00f?73?2B98>5G22a8 45c2=30(3<729q/>>l515c8L7243A88o6*>3e8:4>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e>=l1<7850;2x 75e28>j7E<;3:J17f=#9:n15=5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rb773>5<1290;w)<N5<:1C>>m4$01g><65<5<5<=7>56;294~"5;k0:8l5G2518L75d3-;8h77?;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`51f<72?0;6=u+22`951g<@;>87E<:2c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wi:8j50;494?6|,;9i6<:n;I077>N5;j1/=>j5939j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f33b290=6=4?{%00f?73i2B98>5G22a8 45c2080e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo8:f;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xd1=h0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m02:6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm64`94?0=83:p(?=m:06b?M43;2B9?n5+12f9=3=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zj??36=49:183!44j3;?m6F=429K66e<,89o6484i011>5<5<6=44i015>5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th=:=4?:783>5}#::h1=9o4H360?M44k2.:?i462:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a237=83<1<7>t$31a>42f3A8??6F=3b9'56b=1;1b=><50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn;:n:185>5<7s-88n7?;a:J106=O::i0(<=k:808m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l3397d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pl94c83>0<729q/>>l515;8L7243A88o6*>3e8244=#9o>1>l:4i011>5<5<6=44o0gb>5<55;294~"5;k0:845G2518L75d3-;8h7??1:&2b1<5i:1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~f335290>6=4?{%00f?7312B98>5G22a8 45c28::7)?i4;0b6>o6;;0;66g>3283>>o6;=0;66g>3483>>i6mh0;66sm64194?3=83:p(?=m:06:?M43;2B9?n5+12f9557<,8l?6?o>;h306?6=3`;8?7>5;h300?6=3`;897>5;n3fe?6=3th=994?:483>5}#::h1=974H360?M44k2.:?i465:&2b1<5i=1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~f332290>6=4?{%00f?7312B98>5G22a8 45c20?0(0<729q/>>l515;8L7243A88o6*>3e8:1>"6n=09m?5f12094?=n9:91<75f12694?=n9:?1<75`1dc94?=zj??<6=4::183!44j3;?56F=429K66e<,89o64;4$0d7>7g63`;8>7>5;h307?6=3`;887>5;h301?6=3f;nm7>5;|`50<<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~f30f290>6=4?{%00f?7312B98>5G22a8 45c2;k0(3<729q/>>l515c8L7243A88o6*>3e846>"6n=095<5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rb740>5<4290;w)<N5<:1C>>m4$01g>cc<,8l?6?88;h306?6=3`;8?7>5;n3fe?6=3th=:h4?:283>5}#::h1=994H360?M44k2.:?i49d:k277<722c:?>4?::m2ad<722wi:9650;194?6|,;9i6<:8;I077>N5;j1/=>j5609'5c2=:50z&17g<6<>1C>9=4H31`?!74l3>27d?<2;29?l74;3:17b?ja;29?xd0:80;6;4?:1y'66d=9=k0D?:<;I00g>"6;m02<6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm73094?0=83:p(?=m:06b?M43;2B9?n5+12f9=5=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zj>886=49:183!44j3;?m6F=429K66e<,89o64>4i011>5<5<6=44i015>5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th<>k4?:783>5}#::h1=9o4H360?M44k2.:?i462:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a366=83<1<7>t$31a>42f3A8??6F=3b9'56b=1;1b=><50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn:=>:185>5<7s-88n7?;a:J106=O::i0(<=k:808m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l3397d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pl82e83>3<729q/>>l515c8L7243A88o6*>3e8:2>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e?;o1<7850;2x 75e28>j7E<;3:J17f=#9:n15;5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rb60a>5<1290;w)<N5<:1C>>m4$01g><05<5<5<56;294~"5;k0:8l5G2518L75d3-;8h779;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`476<72?0;6=u+22`951g<@;>87E<:2c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wi;>:50;494?6|,;9i6<:n;I077>N5;j1/=>j5939j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f27c290=6=4?{%00f?73i2B98>5G22a8 45c2080e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo9>f;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xd09l0;684?:1y'66d=9=30D?:<;I00g>"6;m0:<<5+1g696d65<5<=50;9j562=831b=>;50;9l5`g=831vn:<::186>5<7s-88n7?;9:J106=O::i0(<=k:022?!7a<382i6g>3383>>o6;:0;66g>3583>>o6;<0;66a>e`83>>{e?;<1<7;50;2x 75e28>27E<;3:J17f=#9:n1==?4$0d7>7?c3`;8>7>5;h307?6=3`;887>5;h301?6=3f;nm7>5;|`462<72<0;6=u+22`951?<@;>87E<=2.:j94=a19j564=831b=>=50;9j562=831b=>;50;9l5`g=831vn:<7:186>5<7s-88n7?;9:J106=O::i0(<=k:878 4`32;3m7d?<2;29?l74;3:17d?<4;29?l74=3:17b?ja;29?xd0:00;684?:1y'66d=9=30D?:<;I00g>"6;m0296*>f581=`=n9:81<75f12194?=n9:>1<75f12794?=h9lk1<75rb60b>5<2290;w)<N5<:1C>>m4$01g><3<,8l?6?7k;h306?6=3`;8?7>5;h300?6=3`;897>5;n3fe?6=3th<=n4?:583>5}#::h1=964H360?M44k2.:?i498:&2b1<5=l1b=><50;9j565=831b=>:50;9l5`g=831vn:=k:186>5<7s-88n7?;9:J106=O::i0(<=k:3c8 4`32;3;7d?<2;29?l74;3:17d?<4;29?l74=3:17b?ja;29?xd0;k0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m0<>6*>f581=5=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zj>9=6=4<:183!44j3;?;6F=429K66e<,89o6kk4$0d7>70?3`;8>7>5;h307?6=3f;nm7>5;|`404<72:0;6=u+22`9511<@;>87E<t$31a>4203A8??6F=3b9'56b=>81/=k:524g8m4552900e<=<:188k4cf2900qo9469K615<@;9h7)?3383>>o6;:0;66a>e`83>>{e?o>1<7850;2x 75e28>j7E<;3:J17f=#9:n15=5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rb6d6>5<1290;w)<N5<:1C>>m4$01g><65<5<5<56;294~"5;k0:8l5G2518L75d3-;8h77?;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`4b2<72?0;6=u+22`951g<@;>87E<82c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wi4=<50;494?6|,;9i6<:n;I077>N5;j1/=>j5939j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f=64290=6=4?{%00f?73i2B98>5G22a8 45c2080e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo6?4;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xd?8<0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m02>6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm81294?0=83:p(?=m:06b?M43;2B9?n5+12f9=3=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zj1::6=49:183!44j3;?m6F=429K66e<,89o6484i011>5<5<6=44i015>5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th5}#::h1=9o4H360?M44k2.:?i466:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a<50=83<1<7>t$31a>42f3A8??6F=3b9'56b=1;1b=><50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn5>8:185>5<7s-88n7?;a:J106=O::i0(<=k:808m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l3397d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pl8f383>3<729q/>>l515c8L7243A88o6*>3e8:6>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e?o;1<7;50;2x 75e28>27E<;3:J17f=#9:n1==?4$0d7>7?d3`;8>7>5;h307?6=3`;887>5;h301?6=3f;nm7>5;|`4b6<72<0;6=u+22`951?<@;>87E<489K615<@;9h7)?"6n=09545f12094?=n9:91<75f12694?=n9:?1<75`1dc94?=zj>l26=4::183!44j3;?56F=429K66e<,89o6<>>;%3e0?4>02c:??4?::k276<722c:?94?::k270<722e:il4?::a3cg=83?1<7>t$31a>42>3A8??6F=3b9'56b=1<1/=k:528a8m4552900e<=<:188m4532900e<=::188k4cf2900qo9ib;291?6=8r.9?o4>489K615<@;9h7)?3383>>o6;:0;66g>3583>>o6;<0;66a>e`83>>{e?oi1<7;50;2x 75e28>27E<;3:J17f=#9:n1585+1g6965<5<4?::k271<722c:?84?::m2ad<722wi;hh50;694?6|,;9i6<:7;I077>N5;j1/=>j5699'5c2=:0;291?6=8r.9?o4>489K615<@;9h7)?3383>>o6;:0;66g>3583>>o6;<0;66a>e`83>>{e09o1<7850;2x 75e28>j7E<;3:J17f=#9:n1;?5+1g696=c5<5<5<53;294~"5;k0:8:5G2518L75d3-;8h7hj;%3e0?4112c:??4?::k276<722e:il4?::a<42=8391<7>t$31a>4203A8??6F=3b9'56b=>m1b=><50;9j565=831d=ho50;9~f2cb29086=4?{%00f?73?2B98>5G22a8 45c2?;0(6<729q/>>l51558L7243A88o6*>3e87=>"6n=09;o5f12094?=n9:91<75`1dc94?=zj1i<6=49:183!44j3;?m6F=429K66e<,89o64>4i011>5<5<6=44i015>5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th3o44?:783>5}#::h1=9o4H360?M44k2.:?i460:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::at$31a>42f3A8??6F=3b9'56b=191b=><50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn5j::185>5<7s-88n7?;a:J106=O::i0(<=k:808m4552900e<=<:188m4532900e<=::188m4512900cc>3:1:7>50z&17g<69=4H31`?!74l3397d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pl7d683>3<729q/>>l515c8L7243A88o6*>3e8:6>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e0m21<7850;2x 75e28>j7E<;3:J17f=#9:n15?5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rb9f0>5<1290;w)<N5<:1C>>m4$01g><05<5<5<56;294~"5;k0:8l5G2518L75d3-;8h779;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`;`4<72?0;6=u+22`951g<@;>87E<>2c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wi4i<50;494?6|,;9i6<:n;I077>N5;j1/=>j5979j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f=b>290=6=4?{%00f?73i2B98>5G22a8 45c2080e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo6ka;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xd?k:0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m02>6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm8b794?0=83:p(?=m:06b?M43;2B9?n5+12f9=7=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zj1i?6=4::183!44j3;?56F=429K66e<,89o6<>>;%3e0?4>?2c:??4?::k276<722c:?94?::k270<722e:il4?::at$31a>42>3A8??6F=3b9'56b=99;0(0<729q/>>l515;8L7243A88o6*>3e8244=#9o>1>4;4i011>5<5<6=44o0gb>5<55;294~"5;k0:845G2518L75d3-;8h7??1:&2b1<51=1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~f=ec290>6=4?{%00f?7312B98>5G22a8 45c20?0(0<729q/>>l515;8L7243A88o6*>3e8:1>"6n=095;5f12094?=n9:91<75f12694?=n9:?1<75`1dc94?=zj1im6=4::183!44j3;?56F=429K66e<,89o64;4$0d7>7?23`;8>7>5;h307?6=3`;887>5;h301?6=3f;nm7>5;|`;`5<72<0;6=u+22`951?<@;>87E<=2.:j94=959j564=831b=>=50;9j562=831b=>;50;9l5`g=831vn5m=:187>5<7s-88n7?;8:J106=O::i0(<=k:7:8 4`32;?h7d?<2;29?l74;3:17d?<4;29?j7bi3:17pl7e283>0<729q/>>l515;8L7243A88o6*>3e81e>"6n=094i5f12094?=n9:91<75f12694?=n9:?1<75`1dc94?=zj1o:6=49:183!44j3;?m6F=429K66e<,89o6:<4$0d7>7>c3`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th3hn4?:283>5}#::h1=994H360?M44k2.:?i4ie:&2b1<5>h1b=><50;9j565=831d=ho50;9~f=c029086=4?{%00f?73?2B98>5G22a8 45c2?n0(6<729q/>>l51558L7243A88o6*>3e855>"6n=099n5f12094?=n9:91<75`1dc94?=zj1o>6=4<:183!44j3;?;6F=429K66e<,89o6974$0d7>71d3`;8>7>5;h307?6=3f;nm7>5;|`a00<72>0;6=u+22`951d<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fd6d290=6=4?{%00f?73i2B98>5G22a8 45c2:20e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qoo?d;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xdf8:0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m0846g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm9b794?0=83:p(?=m:06b?M43;2B9?n5+12f957=#9o>1>8o4i011>5<5<6=44i015>5<3:14?::k271<722c:?84?::k273<722e:il4?::a=f7=83<1<7>t$31a>42f3A8??6F=3b9'56b=9;1/=k:524:8m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l3;97)?i4;062>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e1kn1<7850;2x 75e28>j7E<;3:J17f=#9:n1=?5+1g696035<5<5<7>57;294~"5;k0:8o5G2518L75d3-;8h77;;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3thjhn4?:683>5}#::h1=9l4H360?M44k2.:?i4n0:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win8750;594?6|,;9i6<:m;I077>N5;j1/=>j5479j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vno8>:184>5<7s-88n7?;b:J106=O::i0(<=k:318m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qol:1;293?6=8r.9?o4>4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17plm5483>2<729q/>>l515`8L7243A88o6*>3e823>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sma4494?1=83:p(?=m:06a?M43;2B9?n5+12f920=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb`27>5<2290;w)<N5<:1C>>m4$01g>705<5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3thj<:4?:783>5}#::h1=9o4H360?M44k2.:?i4<4:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::af2?=83=1<7>t$31a>42e3A8??6F=3b9'56b=<=1b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fg>7290<6=4?{%00f?73j2B98>5G22a8 45c2=>0e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<69=4H31`?!74l3>?7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xde9>0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0?86g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ej8i1<7950;2x 75e28>i7E<;3:J17f=#9:n1895f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zjk8>6=48:183!44j3;?n6F=429K66e<,89o69:4i011>5<5<6=44i015>5<5<57;294~"5;k0:8o5G2518L75d3-;8h7:;;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3thi?84?:683>5}#::h1=9l4H360?M44k2.:?i4;4:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win>m50;594?6|,;9i6<:m;I077>N5;j1/=>j5459j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vno:6:184>5<7s-88n7?;b:J106=O::i0(<=k:568m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qol9e;293?6=8r.9?o4>4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17pln0783>3<729q/>>l515c8L7243A88o6*>3e811>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{ek9>1<7:50;2x 75e28>37E<;3:J17f=#9:n1:45f12094?=n9:91<75f12694?=h9lk1<75rbcd:>5<3290;w)<N5<:1C>>m4$01g>3?5<5<54;294~"5;k0:855G2518L75d3-;8h786;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|`aa6<72=0;6=u+22`951><@;>87E<N5;j1/=>j5689j564=831b=>=50;9j562=831d=ho50;9~fd6e290=6=4?{%00f?73i2B98>5G22a8 45c2:90e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qoo?0;293?6=8r.9?o4>4c9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm9gd94?1=83:p(?=m:06a?M43;2B9?n5+12f954c5<5<5<t$31a>42e3A8??6F=3b9'56b=98o0e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<69=4H31`?!74l3;:i6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{e1oh1<7950;2x 75e28>i7E<;3:J17f=#9:n1=5<5<6=44i015>5<5<57;294~"5;k0:8o5G2518L75d3-;8h7?>e:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi5k750;594?6|,;9i6<:m;I077>N5;j1/=>j510g8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo7i8;293?6=8r.9?o4>4c9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm9g594?1=83:p(?=m:06a?M43;2B9?n5+12f954c5<5<5<t$31a>42e3A8??6F=3b9'56b=98o0e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c<3:1?7>50z&17g<6<>1C>9=4H31`?!74l3ln7d?<2;29?l74;3:17b?ja;29?xde1j0;6>4?:1y'66d=9==0D?:<;I00g>"6;m0mi6g>3383>>o6;:0;66a>e`83>>{ejh>1<7=50;2x 75e28><7E<;3:J17f=#9:n1jh5f12094?=n9:91<75`1dc94?=zjkkh6=4<:183!44j3;?;6F=429K66e<,89o6kk4i011>5<5<53;294~"5;k0:8:5G2518L75d3-;8h7hj;h306?6=3`;8?7>5;n3fe?6=3thj<44?:583>5}#::h1=964H360?M44k2.:?i4=4:&2b1<50:1b=><50;9j565=831b=>:50;9l5`g=831vnoj;:186>5<7s-88n7?;9:J106=O::i0(<=k:078 4`32;?<7d?<2;29?l74;3:17d?<4;29?l74=3:17b?ja;29?xdek;0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0:>l5f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zjki:6=48:183!44j3;?n6F=429K66e<,89o6<5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3thio=4?:683>5}#::h1=9l4H360?M44k2.:?i4>2`9j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnoli:184>5<7s-88n7?;b:J106=O::i0(<=k:00b?l74:3:17d?<3;29?l74<3:17d?<5;29?l74>3:17d?<7;29?j7bi3:17plmbd83>2<729q/>>l515`8L7243A88o6*>3e826d=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rbc`a>5<1290;w)<N5<:1C>>m4$01g>4453-;m87<72:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::af0b=83=1<7>t$31a>42e3A8??6F=3b9'56b=911b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fg47290?6=4?{%00f?7302B98>5G22a8 45c2;:0e<==:188m4542900e<=;:188k4cf2900qolk2;290?6=8r.9?o4>499K615<@;9h7)?"6n=09;i5f12094?=n9:91<75f12694?=h9lk1<75rbcf0>5<1290;w)<N5<:1C>>m4$01g>4453-;m87<:7:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::ae37=83?1<7>t$31a>42>3A8??6F=3b9'56b=9?1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~f00?290?6=4?{%00f?7302B98>5G22a8 45c28n0(l0;694?:1y'66d=9=20D?:<;I00g>"6;m0:h6*>f581gc=n9:81<75f12194?=n9:>1<75`1dc94?=zj<<=6=49:183!44j3;?m6F=429K66e<,89o6<>4$0d7>7>63`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th84n4?:583>5}#::h1=964H360?M44k2.:?i4<5:&2b1<5=k1b=><50;9j565=831b=>:50;9l5`g=831vn>6k:186>5<7s-88n7?;9:J106=O::i0(<=k:258 4`32;2h7d?<2;29?l74;3:17d?<4;29?l74=3:17b?ja;29?xd40k0;6>4?:1y'66d=9==0D?:<;I00g>"6;m09i6*>f5811g=n9:81<75f12194?=h9lk1<75rb2:b>5<1290;w)<N5<:1C>>m4$01g>44<,8l?6?on;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`0<`<72>0;6=u+22`951d<@;>87E<t$31a>42>3A8??6F=3b9'56b=469K615<@;9h7)?3383>>o6;:0;66a>e`83>>{e;h31<7=50;2x 75e28><7E<;3:J17f=#9:n1jh5+1g696355<3-;m87<93:k277<722c:?>4?::m2ad<722wi?5;50;694?6|,;9i6<:7;I077>N5;j1/=>j51e9'5c2=:hk0e<==:188m4542900e<=;:188k4cf2900qo:9f;291?6=8r.9?o4>489K615<@;9h7)?3383>>o6;:0;66g>3583>>o6;<0;66a>e`83>>{e<>;1<7850;2x 75e28>j7E<;3:J17f=#9:n1j6*>f5810f=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zj:oi6=49:183!44j3;?m6F=429K66e<,89o6<><;%3e0?4?<2c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wi8:>50;794?6|,;9i6<:6;I077>N5;j1/=>j5729j564=831b=>=50;9j562=831b=>;50;9l5`g=831vn9;8:184>5<7s-88n7?;b:J106=O::i0(<=k:708m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo:82;292?6=8r.9?o4>4`9K615<@;9h7)?3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm3d;94?3=83:p(?=m:06:?M43;2B9?n5+12f92d=#9o>1>5:4i011>5<5<6=44o0gb>5<>j7>57;294~"5;k0:8o5G2518L75d3-;8h7?=7:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi?h850;794?6|,;9i6<:6;I077>N5;j1/=>j51d9'5c2=:m;0e<==:188m4542900e<=;:188m4522900c50z&17g<6<01C>9=4H31`?!74l3>>7)?i4;0`2>o6;;0;66g>3283>>o6;=0;66g>3483>>i6mh0;66sm43;94?3=83:p(?=m:06:?M43;2B9?n5+12f925=#9o>1>n84i011>5<5<6=44o0gb>5<;=7>56;294~"5;k0:8l5G2518L75d3-;8h7??b:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a7c`=83<1<7>t$31a>42f3A8??6F=3b9'56b=99h0e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo=id;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e;oh1<7850;2x 75e28>j7E<;3:J17f=#9:n1==l4i011>5<5<6=44i015>5<3:1N5;j1/=>j511`8m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l3;;n6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm3g194?0=83:p(?=m:06b?M43;2B9?n5+12f955d5<5<5<56;294~"5;k0:8l5G2518L75d3-;8h7??b:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a7``=83<1<7>t$31a>42f3A8??6F=3b9'56b=99h0e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo=jd;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e;l21<7850;2x 75e28>j7E<;3:J17f=#9:n1==l4i011>5<5<6=44i015>5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`2bg<72>0;6=u+22`951d<@;>87E<t$31a>42e3A8??6F=3b9'56b=9;30e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<69=4H31`?!74l3;9>6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66smbb794?1=83:p(?=m:06a?M43;2B9?n5+12f957g5<5<5<t$31a>42e3A8??6F=3b9'56b=9;k0e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<69=4H31`?!74l3;9m6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ej1<1<7850;2x 75e28>j7E<;3:J17f=#9:n1=?<4i011>5<5<6=44i015>5<4?::m2ad<722win?750;594?6|,;9i6<:m;I077>N5;j1/=>j51178m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qom?a;297?6=8r.9?o4>469K615<@;9h7)?m6g>3383>>o6;:0;66a>e`83>>{ek931<7950;2x 75e28>i7E<;3:J17f=#9:n156g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ejol1<7=50;2x 75e28><7E<;3:J17f=#9:n1:i5+1g6960?5<5<5<5<4?::m2ad<722wink=50;594?6|,;9i6<:m;I077>N5;j1/=>j59:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722winh750;194?6|,;9i6<:8;I077>N5;j1/=>j56e9'5c2=:<<0e<==:188m4542900c50z&17g<69=4H31`?!74l330e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<6<>1C>9=4H31`?!74l3o6;;0;66g>3283>>i6mh0;66smbef94?1=83:p(?=m:06a?M43;2B9?n5+12f9=>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66smb7494?3=83:p(?=m:06:?M43;2B9?n5+12f95525<5<t$31a>42e3A8??6F=3b9'56b=02c:??4?::k276<722c:?94?::k270<722c:?;4?::k272<722e:il4?::af54=83?1<7>t$31a>42>3A8??6F=3b9'56b=9820e<==:188m4542900e<=;:188m4522900c50z&17g<69=4H31`?!74l320e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<6<01C>9=4H31`?!74l3;:46g>3383>>o6;:0;66g>3583>>o6;<0;66a>e`83>>{eion1<7950;2x 75e28>i7E<;3:J17f=#9:n146g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{eiok1<7;50;2x 75e28>27E<;3:J17f=#9:n1=<64i011>5<5<6=44o0gb>5<57;294~"5;k0:8o5G2518L75d3-;8h764i011>5<5<6=44i015>5<5<55;294~"5;k0:845G2518L75d3-;8h7?>8:k277<722c:?>4?::k271<722c:?84?::m2ad<722wimk;50;594?6|,;9i6<:m;I077>N5;j1/=>j58:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wimh;50;594?6|,;9i6<:m;I077>N5;j1/=>j5839j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnlk9:185>5<7s-88n7?;a:J106=O::i0(<=k:558m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<6<11C>9=4H31`?!74l3;;<6*>f58131=n9:81<75f12194?=n9:>1<75`1dc94?=zjh8j6=4;:183!44j3;?46F=429K66e<,89o6<>?;%3e0?40<2c:??4?::k276<722c:?94?::m2ad<722wim?850;694?6|,;9i6<:7;I077>N5;j1/=>j51128 4`32;=87d?<2;29?l74;3:17d?<4;29?j7bi3:17pln2683>1<729q/>>l515:8L7243A88o6*>3e8245=#9o>1>:=4i011>5<5<=50;9j562=831d=ho50;9~fd43290?6=4?{%00f?7302B98>5G22a8 45c28:;7)?i4;046>o6;;0;66g>3283>>o6;=0;66a>e`83>>{ei;:1<7:50;2x 75e28>37E<;3:J17f=#9:n1==>4$0d7>7163`;8>7>5;h307?6=3`;887>5;n3fe?6=3thj><4?:583>5}#::h1=964H360?M44k2.:?i4>019'5c2=:>;0e<==:188m4542900e<=;:188k4cf2900qoo>d;290?6=8r.9?o4>499K615<@;9h7)?"6n=09;;5f12094?=n9:91<75f12694?=h9lk1<75rb`3f>5<3290;w)<N5<:1C>>m4$01g>4673-;m87<86:k277<722c:?>4?::k271<722e:il4?::ae4g=83>1<7>t$31a>42?3A8??6F=3b9'56b=99:0("6;m0:<=5+1g696235<5<54;294~"5;k0:855G2518L75d3-;8h7??0:&2b1<5?91b=><50;9j565=831b=>:50;9l5`g=831vnl?7:187>5<7s-88n7?;8:J106=O::i0(<=k:023?!7a<38<<6g>3383>>o6;:0;66g>3583>>i6mh0;66sma0194?2=83:p(?=m:06;?M43;2B9?n5+12f9556<,8l?6?8i;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|`b51<72=0;6=u+22`951><@;>87E<50z&17g<69=4H31`?!74l39=7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pln0g83>1<729q/>>l515:8L7243A88o6*>3e8245=#9o>1>;k4i011>5<5<=50;9j562=831d=ho50;9~fd76290=6=4?{%00f?73i2B98>5G22a8 45c2:<0(3:17b?ja;29?xdejm0;684?:1y'66d=9=30D?:<;I00g>"6;m0:=l5+1g696=45<5<=83=1<7>t$31a>42e3A8??6F=3b9'56b=9;k0e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<69=4H31`?!74l3;9m6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ejjl1<7950;2x 75e28>i7E<;3:J17f=#9:n1=?o4i011>5<5<6=44i015>5<5<57;294~"5;k0:8o5G2518L75d3-;8h7?=a:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wini?50;194?6|,;9i6<:8;I077>N5;j1/=>j5fd9j564=831b=>=50;9l5`g=831vnl;m:184>5<7s-88n7?;b:J106=O::i0(<=k:7d8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qoo:c;293?6=8r.9?o4>4c9K615<@;9h7)?4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17pln5g83>2<729q/>>l515`8L7243A88o6*>3e81?l74:3:17d?<3;29?l74<3:17d?<5;29?l74>3:17d?<7;29?j7bi3:17pl:3883>2<729q/>>l515`8L7243A88o6*>3e86`>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm52a94?1=83:p(?=m:06a?M43;2B9?n5+12f95705<5<5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`674<72>0;6=u+22`951d<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~f044290<6=4?{%00f?73j2B98>5G22a8 45c23:1;7>50z&17g<69=4H31`?!74l3;9:6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{e=:91<7950;2x 75e28>i7E<;3:J17f=#9:n19i5f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zj<>>6=48:183!44j3;?n6F=429K66e<,89o6<<9;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3th>=44?:683>5}#::h1=9l4H360?M44k2.:?i4:d:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi9N5;j1/=>j51348m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo;>0;293?6=8r.9?o4>4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17pl:1283>2<729q/>>l515`8L7243A88o6*>3e8263=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb424>5<0290;w)<N5<:1C>>m4$01g>0b5<5<5<2c:??4?::k276<722c:?94?::k270<722c:?;4?::k272<722e:il4?::a0cc=83=1<7>t$31a>42e3A8??6F=3b9'56b==m1b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~f066290<6=4?{%00f?73j2B98>5G22a8 45c288=7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xd3mk0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0346g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ei7E<;3:J17f=#9:n1==<4i011>5<5<6=44i015>5<5<ni7>57;294~"5;k0:8o5G2518L75d3-;8h7;k;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3th?ik4?:683>5}#::h1=9l4H360?M44k2.:?i4>1c9j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vn9k8:184>5<7s-88n7?;b:J106=O::i0(<=k:021?l74:3:17d?<3;29?l74<3:17d?<5;29?l74>3:17d?<7;29?j7bi3:17pl;e883>2<729q/>>l515`8L7243A88o6*>3e86`>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm4dc94?1=83:p(?=m:06a?M43;2B9?n5+12f954d5<5<5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`626<72>0;6=u+22`951d<@;>87E<t$31a>42e3A8??6F=3b9'56b=?91b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~f002290=6=4?{%00f?73i2B98>5G22a8 45c24c9K615<@;9h7)?3:17d?<7;29?j7bi3:17pl:5c83>2<729q/>>l515`8L7243A88o6*>3e876>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm54a94?1=83:p(?=m:06a?M43;2B9?n5+12f935=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb47g>5<1290;w)<N5<:1C>>m4$01g>0c5<5<5<m?7>57;294~"5;k0:8o5G2518L75d3-;8h7:=;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3th?j;4?:683>5}#::h1=9l4H360?M44k2.:?i4;2:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi8k950;594?6|,;9i6<:m;I077>N5;j1/=>j5719j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vn9h7:185>5<7s-88n7?;a:J106=O::i0(<=k:4g8m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l32:7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xd2<80;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0:=:5f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zj:2m6=48:183!44j3;?n6F=429K66e<,89o6;94i011>5<5<6=44i015>5<5<57;294~"5;k0:8o5G2518L75d3-;8h788;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3th85>4?:683>5}#::h1=9l4H360?M44k2.:?i497:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi?4;50;594?6|,;9i6<:m;I077>N5;j1/=>j5669j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vn>78:184>5<7s-88n7?;b:J106=O::i0(<=k:758m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo=69;293?6=8r.9?o4>4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17pl<9c83>2<729q/>>l515`8L7243A88o6*>3e853>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm47294?1=83:p(?=m:06a?M43;2B9?n5+12f90d=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb542>5<0290;w)<N5<:1C>>m4$01g>7=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb541>5<0290;w)<N5<:1C>>m4$01g>46?3`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`726<72>0;6=u+22`951d<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~f103290?6=4?{%00f?7302B98>5G22a8 45c2>l0e<==:188m4542900e<=;:188k4cf2900qo:95;293?6=8r.9?o4>4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17pl;6683>2<729q/>>l515`8L7243A88o6*>3e826==n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb54b>5<0290;w)<N5<:1C>>m4$01g>4443`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`72g<72>0;6=u+22`951d<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~f10d290<6=4?{%00f?73j2B98>5G22a8 45c250z&17g<6<11C>9=4H31`?!74l3o6;;0;66g>3283>>o6;=0;66a>e`83>>{ei7E<;3:J17f=#9:n15:5f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zj=8<6=4::183!44j3;?56F=429K66e<,89o65;h300?6=3`;897>5;n3fe?6=3th?>;4?:683>5}#::h1=9l4H360?M44k2.:?i479:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi?9j50;094?6|,;9i6474H360?M44k2e:il4?::k274<722wi?9650;094?6|,;9i6474H360?M44k2e:il4?::k274<722wi?9=50;094?6|,;9i6474H360?M44k2e:il4?::k274<722wi?9750;094?6|,;9i6474H360?M44k2e:il4?::k274<722wi?=k50;094?6|,;9i6<=7;I077>N5;j1b=>?50;9l5`g=831vn>>k:181>5<7s-88n7?<8:J106=O::i0e<=>:188k4cf2900qo=?c;296?6=8r.9?o4>399K615<@;9h7d?<1;29?j7bi3:17pl<0c83>7<729q/>>l512:8L7243A88o6g>3083>>i6mh0;66sm30594?4=83:p(?=m:01;?M43;2B9?n5f12394?=h9lk1<75rb235>5<5290;w)<N5<:1C>>m4i012>5<5;|`051<72;0;6=u+22`956><@;>87E<t$31a>45?3A8??6F=3b9j567=831d=ho50;9~f67a29096=4?{%00f?7402B98>5G22a8m4562900c7>50z&17g<6;11C>9=4H31`?l7493:17b?ja;29?xd49m0;6?4?:1y'66d=9:20D?:<;I00g>o6;80;66a>e`83>>{e;;31<7<50;2x 75e28937E<;3:J17f=n9:;1<75`1dc94?=zj:836=4=:183!44j3;846F=429K66e5<52;294~"5;k0:?55G2518L75d3`;8=7>5;n3fe?6=3th8>;4?:383>5}#::h1=>64H360?M44k2c:?<4?::m2ad<722wi?><50;094?6|,;9i6<=7;I077>N5;j1b=>?50;9l5`g=831vn>=>:181>5<7s-88n7?<8:J106=O::i0e<=>:188k4cf2900qo=<0;296?6=8r.9?o4>399K615<@;9h7d?<1;29?j7bi3:17pl<2g83>7<729q/>>l512:8L7243A88o6g>3083>>i6mh0;66sm4bc94?4=83:p(?=m:01;?M43;2B9?n5f12394?=h9lk1<75rbbab>5<5290;w)<N5<:1C>>m4i012>5<5;|`073<72;0;6=u+22`956><@;>87E<=8381<7>t$31a>45?3A8??6F=3b9j567=831d=ho50;9~f65f29096=4?{%00f?7402B98>5G22a8m4562900c7>50z&17g<6;11C>9=4H31`?l7493:17b?ja;29?xd6o6;80;66a>e`83>>{e:831<7<50;2x 75e28937E<;3:J17f=n9:;1<75`1dc94?=zjj91<7<50;2x 75e28937E<;3:J17f=n9:;1<75`1dc94?=zjl<1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl?1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl>1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl91<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl81<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl;1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjll1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjlo1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjln1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjli1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjlh1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjlk1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl31<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl21<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl=1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjl:1<7:50;2x 75e28>;7E<;3:J17f=n9:;1<75f22;94?=h9lk1<75`12d94?=zjjl86=4;:183!44j3;?<6F=429K66e5<5<54;294~"5;k0:8=5G2518L75d3`;8=7>5;h00=?6=3f;nm7>5;n30b?6=3th9jl4?:383>5}#::h1=hl4H360?M44k2c:?<4?::m2ad<722wi>k750;094?6|,;9i6N5;j1b=>?50;9l5`g=831vn?h7:181>5<7s-88n7?jb:J106=O::i0e<=>:188k4cf2900qoec9K615<@;9h7d?<1;29?j7bi3:17pl=f783>7<729q/>>l51d`8L7243A88o6g>3083>>i6mh0;66sm2g794?4=83:p(?=m:0ga?M43;2B9?n5f12394?=h9lk1<75rb3d7>5<5290;w)<N5<:1C>>m4i012>5<5;|`1b7<72;0;6=u+22`95`d<@;>87E<t$31a>4ce3A8??6F=3b9j567=831d=ho50;9~f66529096=4?{%00f?7bj2B98>5G22a8m4562900c7>50z&17g<6mk1C>9=4H31`?l7493:17b?ja;29?xd4890;6?4?:1y'66d=9lh0D?:<;I00g>o6;80;66a>e`83>>{e:ol1<7<50;2x 75e28oi7E<;3:J17f=n9:;1<75`1dc94?=zj;ln6=4=:183!44j3;nn6F=429K66e5<52;294~"5;k0:io5G2518L75d3`;8=7>5;n3fe?6=3th9jn4?:383>5}#::h1=hl4H360?M44k2c:?<4?::m2ad<722wi>kl50;094?6|,;9i6N5;j1b=>?50;9l5`g=831vn?h>:181>5<7s-88n7?jb:J106=O::i0e<=>:188k4cf2900qomid;296?6=8r.9?o4>ec9K615<@;9h7d?<1;29?j7bi3:17pllfc83>7<729q/>>l51d`8L7243A88o6g>3083>>i6mh0;66sm4b:94?4=83:p(?=m:0ga?M43;2B9?n5f12394?=h9lk1<75rbba;>5<5290;w)<N5<:1C>>m4i012>5<5;|`00g<72;0;6=u+22`95`d<@;>87E<1<7>t$31a>4773A8??6F=3b9'56b=82c2n7>5;hc1>5<5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`0a7<72:0;6=u+22`955`<@;>87E<5;haa>5<>i6nl0;66sm3`694?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo?:e;296?6=8r.9?o4>479K615<@;9h7)?90;6?4?:1y'66d=9=<0D?:<;I00g>"6;m08i6g>3383>>i6mh0;66sm17094?4=83:p(?=m:065?M43;2B9?n5+12f97`=n9:81<75`1dc94?=zj8k4i011>5<7>5;n3fe?6=3th::54?:383>5}#::h1=984H360?M44k2.:?i4t$31a>4213A8??6F=3b9'56b=;l1b=><50;9l5`g=831vn<8l:181>5<7s-88n7?;6:J106=O::i0(<=k:2g8m4552900c7>50z&17g<69=4H31`?!74l39n7d?<2;29?j7bi3:17pl>5583>7<729q/>>l51548L7243A88o6*>3e80a>o6;;0;66a>e`83>>{e9<<1<7<50;2x 75e28>=7E<;3:J17f=#9:n1?h5f12094?=h9lk1<75rb07;>5<5290;w)<N5<:1C>>m4$01g>6c5<m7>52;294~"5;k0:8;5G2518L75d3-;8h7=j;h306?6=3f;nm7>5;|`21f<72;0;6=u+22`9510<@;>87E<N5;j1/=>j5219j564=831b=>=50;9j562=831d=ho50;9~f2B98>5G22a8 45c2:o0e<==:188k4cf2900qo7nc;296?6=8r.9?o4>479K615<@;9h7)?ih0;6?4?:1y'66d=9=<0D?:<;I00g>"6;m08i6g>3383>>i6mh0;66sm9`:94?4=83:p(?=m:065?M43;2B9?n5+12f97`=n9:81<75`1dc94?=zj0k=6=4=:183!44j3;?:6F=429K66e<,89o6>k4i011>5<7>5;n3fe?6=3th2m?4?:383>5}#::h1=984H360?M44k2.:?i4t$31a>4213A8??6F=3b9'56b=;l1b=><50;9l5`g=831vn47j:181>5<7s-88n7?;6:J106=O::i0(<=k:2g8m4552900ck3:1>7>50z&17g<69=4H31`?!74l39n7d?<2;29?j7bi3:17pl;0583>7<729q/>>l51548L7243A88o6*>3e80a>o6;;0;66a>e`83>>{e<8<1<7<50;2x 75e28>=7E<;3:J17f=#9:n1?h5f12094?=h9lk1<75rb537>5<5290;w)<N5<:1C>>m4$01g>6c5<:>7>52;294~"5;k0:8;5G2518L75d3-;8h7=j;h306?6=3f;nm7>5;|`755<72;0;6=u+22`9510<@;>87E<N5;j1/=>j53d9j564=831d=ho50;9~f16d29096=4?{%00f?73>2B98>5G22a8 45c2:o0e<==:188k4cf2900qo:?a;296?6=8r.9?o4>479K615<@;9h7)?"6;m08i6g>3383>>i6mh0;66sm41494?4=83:p(?=m:065?M43;2B9?n5+12f97`=n9:81<75`1dc94?=zj8=36=4=:183!44j3;?:6F=429K66e<,89o6>k4i011>5<7>5;n3fe?6=3th?=n4?:383>5}#::h1=984H360?M44k2.:?i4t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm36494?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo=:e;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{e=k81<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::a2<2=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm69594?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo862;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{e?50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::a<6g=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm83f94?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo6<8;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{e19:1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::af1d=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm3`294?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo=97;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{e?lh1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900cem3:1?7>50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::a=<7=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th8;:4?:483>5}#::h1=974H360?M44k2.:?i4;e:&2b1<50k1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~f61>290>6=4?{%00f?7312B98>5G22a8 45c2=i0(0<729q/>>l515;8L7243A88o6*>3e86<>o6;;0;66g>3283>>o6;=0;66g>3483>>i6mh0;66smb6094?1=83:p(?=m:06a?M43;2B9?n5+12f955g5<5<5<5<5<5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`0=5<72?0;6=u+22`951g<@;>87E<92c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wi?4<50;494?6|,;9i6<:n;I077>N5;j1/=>j5909j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f6?3290=6=4?{%00f?73i2B98>5G22a8 45c20;0e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo=66;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xd4110;6;4?:1y'66d=9=k0D?:<;I00g>"6;m02=6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm38c94?0=83:p(?=m:06b?M43;2B9?n5+12f9=4=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zj:3h6=49:183!44j3;?m6F=429K66e<,89o64?4$0d7>7>23`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th?:;4?:783>5}#::h1=9o4H360?M44k2.:?i4>069j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f5G22a8 45c2?n0e<==:188m4542900c50z&17g<6<11C>9=4H31`?!74l32<729q/>>l515`8L7243A88o6*>3e825<=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb`da>5<3290;w)<N5<:1C>>m4$01g>3c5<5<57;294~"5;k0:8o5G2518L75d3-;8h7?>9:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wimkh50;694?6|,;9i6<:7;I077>N5;j1/=>j56d9j564=831b=>=50;9j562=831d=ho50;9~fgg2290<6=4?{%00f?73j2B98>5G22a8 45c28;27d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xde8:0;694?:1y'66d=9=20D?:<;I00g>"6;m0=i6g>3383>>o6;:0;66g>3583>>i6mh0;66smb`f94?1=83:p(?=m:06a?M43;2B9?n5+12f954?5<5<5<7>5;h307?6=3`;887>5;n3fe?6=3thin84?:683>5}#::h1=9l4H360?M44k2.:?i4>189j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnl;6:184>5<7s-88n7?;b:J106=O::i0(<=k:0a8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qolkf;293?6=8r.9?o4>4c9K615<@;9h7)?4c9K615<@;9h7)?4c9K615<@;9h7)?4c9K615<@;9h7)?4c9K615<@;9h7)?489K615<@;9h7)?0<729q/>>l515;8L7243A88o6*>3e877>o6;;0;66g>3283>>o6;=0;66g>3483>>i6mh0;66smb9:94?3=83:p(?=m:06:?M43;2B9?n5+12f906=n9:81<75f12194?=n9:>1<75f12794?=h9lk1<75rbc::>5<2290;w)<N5<:1C>>m4$01g>155<5<7>5;h307?6=3`;887>5;h301?6=3f;nm7>5;|`a87E<t$31a>42>3A8??6F=3b9'56b=<:1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~fg>b290>6=4?{%00f?7312B98>5G22a8 45c2=90e<==:188m4542900e<=;:188m4522900c50z&17g<6<01C>9=4H31`?!74l3>87d?<2;29?l74;3:17d?<4;29?l74=3:17b?ja;29?xde190;684?:1y'66d=9=30D?:<;I00g>"6;m0??6g>3383>>o6;:0;66g>3583>>o6;<0;66a>e`83>>{ej0;1<7;50;2x 75e28>27E<;3:J17f=#9:n18>5f12094?=n9:91<75f12694?=n9:?1<75`1dc94?=zjk396=4::183!44j3;?56F=429K66e<,89o69=4i011>5<5<6=44o0gb>5<57;294~"5;k0:8o5G2518L75d3-;8h7?>f:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wion?50;594?6|,;9i6<:m;I077>N5;j1/=>j5189j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnnl7:184>5<7s-88n7?;b:J106=O::i0(<=k:018m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qom6c;293?6=8r.9?o4>4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17pll9283>2<729q/>>l515`8L7243A88o6*>3e827>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66smc6594?1=83:p(?=m:06a?M43;2B9?n5+12f95<=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rbb4f>5<0290;w)<N5<:1C>>m4$01g>455<5<5<3`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|``0<<72>0;6=u+22`951d<@;>87E<t$31a>42e3A8??6F=3b9'56b=901b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~ff43290<6=4?{%00f?73j2B98>5G22a8 45c2890e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<6<>1C>9=4H31`?!74l3m80;6>4?:1y'66d=9==0D?:<;I00g>"6;m0=h6g>3383>>o6;:0;66a>e`83>>{e1l81<7=50;2x 75e28><7E<;3:J17f=#9:n1:i5f12094?=n9:91<75`1dc94?=zj0o86=4<:183!44j3;?;6F=429K66e<,89o6;j4i011>5<5<53;294~"5;k0:8:5G2518L75d3-;8h78k;h306?6=3`;8?7>5;n3fe?6=3th2i84?:283>5}#::h1=994H360?M44k2.:?i49d:k277<722c:?>4?::m2ad<722wi5h850;194?6|,;9i6<:8;I077>N5;j1/=>j56e9j564=831b=>=50;9l5`g=831vn4k8:180>5<7s-88n7?;7:J106=O::i0(<=k:7f8m4552900e<=<:188k4cf2900qo7j8;297?6=8r.9?o4>469K615<@;9h7)?6<729q/>>l51558L7243A88o6*>3e85`>o6;;0;66g>3283>>i6mh0;66sm1gf94?5=83:p(?=m:064?M43;2B9?n5+12f92a=n9:81<75f12194?=h9lk1<75rb5ae>5<0290;w)<N5<:1C>>m4$01g>7`5<5<5<4?::k271<722e:il4?::ae01=83=1<7>t$31a>42e3A8??6F=3b9'56b=9=1b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fgec290=6=4?{%00f?73i2B98>5G22a8 45c28897d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pln5983>2<729q/>>l515`8L7243A88o6*>3e857>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66smbc494?0=83:p(?=m:06b?M43;2B9?n5+12f93d=#9o>1>ol4i011>5<5<6=44i015>5<3-;m874?::k271<722c:?84?::m2ad<722wioo=50;594?6|,;9i6<:m;I077>N5;j1/=>j51c9j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnooj:185>5<7s-88n7?;a:J106=O::i0(<=k:6c8 4`32;h;7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17plmag83>0<729q/>>l515;8L7243A88o6*>3e84=>"6n=09n=5f12094?=n9:91<75f12694?=n9:?1<75`1dc94?=zjj2n6=48:183!44j3;?n6F=429K66e<,89o65<5<6=44i015>5<5<56;294~"5;k0:8l5G2518L75d3-;8h79n;%3e0?4f=2c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722winl950;794?6|,;9i6<:6;I077>N5;j1/=>j5789'5c2=:h?0e<==:188m4542900e<=;:188m4522900c50z&17g<69=4H31`?!74l3;i7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xde1l0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m0f581=d=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zjk3m6=4::183!44j3;?56F=429K66e<,89o6:74$0d7>7?f3`;8>7>5;h307?6=3`;887>5;h301?6=3f;nm7>5;|``01<72>0;6=u+22`951d<@;>87E<t$31a>42f3A8??6F=3b9'56b=?h1/=k:529d8m4552900e<=<:188m4532900e<=::188m4512900c?3:197>50z&17g<6<01C>9=4H31`?!74l3=27)?i4;0;b>o6;;0;66g>3283>>o6;=0;66g>3483>>i6mh0;66smc0d94?1=83:p(?=m:06a?M43;2B9?n5+12f95g=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb8fe>5<4290;w)<N5<:1C>>m4$01g>3b5<7>5;h307?6=3f;nm7>5;|`b26<72>0;6=u+22`951d<@;>87E<t$31a>42f3A8??6F=3b9'56b==91/=k:529a8m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<6=81C>9=4H31`?l74:3:17d?<3;29?l46>3:17b?ja;29?xdekh0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0:=85f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zjkii6=4;:183!44j3;?46F=429K66e<,89o6:64i011>5<5<5;h022?6=3f;nm7>5;|`af<<72>0;6=u+22`951d<@;>87E<t$31a>42e3A8??6F=3b9'56b=i81b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fgd7290?6=4?{%00f?7292B98>5G22a8m4552900e<=<:188m7712900c50z&17g<69=4H31`?!74l3>37d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xdej;0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0j=6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ejh21<7:50;2x 75e28?:7E<;3:J17f=n9:81<75f12194?=n:8<1<75`1dc94?=zjkk26=48:183!44j3;?n6F=429K66e<,89o6964i011>5<5<6=44i015>5<5<57;294~"5;k0:8o5G2518L75d3-;8h7o>;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3thim=4?:583>5}#::h1=8?4H360?M44k2c:??4?::k276<722c9=;4?::m2ad<722winl?50;594?6|,;9i6<:m;I077>N5;j1/=>j5499j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnoo=:184>5<7s-88n7?;b:J106=O::i0(<=k:`38m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qol68;290?6=8r.9?o4>509K615<@;9h7d?<2;29?l74;3:17d<>6;29?j7bi3:17plm9883>2<729q/>>l515`8L7243A88o6*>3e81`>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66smb8c94?1=83:p(?=m:06a?M43;2B9?n5+12f955?5<5<5<43`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`45<<72>0;6=u+22`951d<@;>87E<t$31a>42e3A8??6F=3b9'56b=0:1b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~f=da290<6=4?{%00f?73j2B98>5G22a8 45c2190e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c:3:1;7>50z&17g<69=4H31`?!74l3287d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xd41o0;694?:1y'66d=9=20D?:<;I00g>"6;m09;6*>f581=6=n9:81<75f12194?=n9:>1<75`1dc94?=zj:k:6=4;:183!44j3;?46F=429K66e<,89o6?94$0d7>7?43`;8>7>5;h307?6=3`;887>5;n3fe?6=3thhn<4?:483>5}#::h1=974H360?M44k2.:?i49b:&2b1<50?1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~ffga290<6=4?{%00f?73j2B98>5G22a8 45c250z&17g<6<01C>9=4H31`?!74l3o6;;0;66g>3283>>o6;=0;66g>3483>>i6mh0;66smc9c94?1=83:p(?=m:06a?M43;2B9?n5+12f91d=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rbb44>5<2290;w)<N5<:1C>>m4$01g>3d<,8l?6?67;h306?6=3`;8?7>5;h300?6=3`;897>5;n3fe?6=3thh:84?:683>5}#::h1=9l4H360?M44k2.:?i4:a:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wio9<50;794?6|,;9i6<:6;I077>N5;j1/=>j56c9'5c2=:130e<==:188m4542900e<=;:188m4522900c50z&17g<69=4H31`?!74l3?j7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xdd9m0;684?:1y'66d=9=30D?:<;I00g>"6;m0=n6*>f5811<75f12794?=h9lk1<75rbb3a>5<0290;w)<N5<:1C>>m4$01g>0g5<5<5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th89k4?:583>5}#::h1=964H360?M44k2.:?i4:7:k277<722c:?>4?::k271<722e:il4?::ae1g=83=1<7>t$31a>42e3A8??6F=3b9'56b=091b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fd2>290<6=4?{%00f?73j2B98>5G22a8 45c21:0e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<69=4H31`?!74l32;7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xdf<>0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m03<6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ei=<1<7950;2x 75e28>i7E<;3:J17f=#9:n14=5f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zjh>>6=48:183!44j3;?n6F=429K66e<,89o65>4i011>5<5<6=44i015>5<5<57;294~"5;k0:8o5G2518L75d3-;8h76?;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3th>8>4?:783>5}#::h1=9o4H360?M44k2.:?i4le:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a11?=83=1<7>t$31a>42e3A8??6F=3b9'56b=;91b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~f02e290<6=4?{%00f?73j2B98>5G22a8 45c2<30e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<69=4H31`?!74l3=:7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xdd8>0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0?<6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ejon1<7950;2x 75e28>i7E<;3:J17f=#9:n1;<5f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zjklh6=48:183!44j3;?n6F=429K66e<,89o69>4i011>5<5<6=44i015>5<5<7>57;294~"5;k0:8o5G2518L75d3-;8h79>;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3thij<4?:683>5}#::h1=9l4H360?M44k2.:?i4;0:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722winh950;594?6|,;9i6<:m;I077>N5;j1/=>j5709j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnok9:184>5<7s-88n7?;b:J106=O::i0(<=k:528m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qolkc;293?6=8r.9?o4>4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17plmdc83>2<729q/>>l515`8L7243A88o6*>3e874>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66smc1494?1=83:p(?=m:06a?M43;2B9?n5+12f931=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rbb26>5<0290;w)<N5<:1C>>m4$01g>165<5<5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`abd<72>0;6=u+22`951d<@;>87E<t$31a>42e3A8??6F=3b9'56b=?=1b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fgca290<6=4?{%00f?73j2B98>5G22a8 45c2=:0e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<69=4H31`?!74l3=?7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xdem=0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0?<6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{ejmk1<7950;2x 75e28>i7E<;3:J17f=#9:n1;95f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zjkn26=48:183!44j3;?n6F=429K66e<,89o69>4i011>5<5<6=44i015>5<5<55;294~"5;k0:845G2518L75d3-;8h7?n;%3e0?4?i2c:??4?::k276<722c:?94?::k270<722e:il4?::afa3=83=1<7>t$31a>42e3A8??6F=3b9'56b=:k1b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fgc6290>6=4?{%00f?7312B98>5G22a8 45c28k0(2<729q/>>l515`8L7243A88o6*>3e81f>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66smbda94?3=83:p(?=m:06:?M43;2B9?n5+12f95d=#9o>1>564i011>5<5<6=44o0gb>5<57;294~"5;k0:8o5G2518L75d3-;8h75;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3thij:4?:483>5}#::h1=974H360?M44k2.:?i4>a:&2b1<50>1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~fg`1290<6=4?{%00f?73j2B98>5G22a8 45c2;h0e<==:188m4542900e<=;:188m4522900e<=9:188m4502900c50z&17g<6<01C>9=4H31`?!74l3;j7)?i4;0;2>o6;;0;66g>3283>>o6;=0;66g>3483>>i6mh0;66smc1394?1=83:p(?=m:06a?M43;2B9?n5+12f96g=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb4a3>5<1290;w)<N5<:1C>>m4$01g>245<5<5<56;294~"5;k0:8l5G2518L75d3-;8h79=;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`42=<72?0;6=u+22`951g<@;>87E<l50;494?6|,;9i6<:n;I077>N5;j1/=>j5739j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f<6b290=6=4?{%00f?73i2B98>5G22a8 45c2>80e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qo:mb;293?6=8r.9?o4>4c9K615<@;9h7)?3:17d?<7;29?j7bi3:17pl;b`83>2<729q/>>l515`8L7243A88o6*>3e8260=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb5a1>5<0290;w)<N5<:1C>>m4$01g>=15<5<5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`711<72>0;6=u+22`951d<@;>87E<t$31a>4203A8??6F=3b9'56b=kk1/=k:52658m4552900e<=<:188k4cf2900qo=n5;297?6=8r.9?o4>469K615<@;9h7)?3383>>o6;:0;66a>e`83>>{e=l81<7950;2x 75e28>i7E<;3:J17f=#9:n146g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{e=k91<7;50;2x 75e28>27E<;3:J17f=#9:n1?k5+1g6962`5<5<4?::k271<722c:?84?::m2ad<722wi:o950;594?6|,;9i6<:m;I077>N5;j1/=>j58:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi:5650;794?6|,;9i6<:6;I077>N5;j1/=>j53g9'5c2=:>o0e<==:188m4542900e<=;:188m4522900c;3:197>50z&17g<6<01C>9=4H31`?!74l39m7)?i4;04a>o6;;0;66g>3283>>o6;=0;66g>3483>>i6mh0;66sm79c94?1=83:p(?=m:06a?M43;2B9?n5+12f9<>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm74`94?3=83:p(?=m:06:?M43;2B9?n5+12f97c=#9o>1>:64i011>5<5<6=44o0gb>5<55;294~"5;k0:845G2518L75d3-;8h7=i;%3e0?4002c:??4?::k276<722c:?94?::k270<722e:il4?::a<0b=83=1<7>t$31a>42e3A8??6F=3b9'56b=02c:??4?::k276<722c:?94?::k270<722c:?;4?::k272<722e:il4?::a<7c=83?1<7>t$31a>42>3A8??6F=3b9'56b=;o1/=k:527f8m4552900e<=<:188m4532900e<=::188k4cf2900qo6<9;291?6=8r.9?o4>489K615<@;9h7)?3383>>o6;:0;66g>3583>>o6;<0;66a>e`83>>{e1::1<7950;2x 75e28>i7E<;3:J17f=#9:n146g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66a>e`83>>{e19;1<7;50;2x 75e28>27E<;3:J17f=#9:n1?k5+1g696345<5<4?::k271<722c:?84?::m2ad<722wim9=50;594?6|,;9i6<:m;I077>N5;j1/=>j51328m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qoo;2;293?6=8r.9?o4>4c9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm4d194?3=83:p(?=m:06:?M43;2B9?n5+12f954g5<5<5<5<5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3thj>o4?:783>5}#::h1=9o4H360?M44k2.:?i4>1:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a13d=83<1<7>t$31a>42f3A8??6F=3b9'56b=991/=k:52928m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l3;;7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pl:7083>3<729q/>>l515c8L7243A88o6*>3e824>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=?l1<7850;2x 75e28>j7E<;3:J17f=#9:n1==5+1g696=65<5<5<57;294~"5;k0:8o5G2518L75d3-;8h7hi;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3th>?;4?:783>5}#::h1=9o4H360?M44k2.:?i4>079j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~f050290=6=4?{%00f?73i2B98>5G22a8 45c288:7)?i4;0;5>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=:21<7850;2x 75e28>j7E<;3:J17f=#9:n1==84i011>5<5<6=44i015>5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`67g<72?0;6=u+22`951g<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn85<7s-88n7?;b:J106=O::i0(<=k:gd8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo;=b;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=;n1<7850;2x 75e28>j7E<;3:J17f=#9:n1==84i011>5<5<6=44i015>5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`675<72?0;6=u+22`951g<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn8?i:184>5<7s-88n7?;b:J106=O::i0(<=k:gd8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo;=0;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=;81<7850;2x 75e28>j7E<;3:J17f=#9:n1==84i011>5<5<6=44i015>5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`660<72?0;6=u+22`951g<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn8<8:184>5<7s-88n7?;b:J106=O::i0(<=k:gd8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo;=8;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=:81<7850;2x 75e28>j7E<;3:J17f=#9:n1==84i011>5<5<6=44i015>5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`67a<72?0;6=u+22`951g<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn8?::184>5<7s-88n7?;b:J106=O::i0(<=k:gd8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo;>6;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=821<7850;2x 75e28>j7E<;3:J17f=#9:n1==84i011>5<5<6=44i015>5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`65g<72?0;6=u+22`951g<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn8>l:184>5<7s-88n7?;b:J106=O::i0(<=k:gd8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo;?d;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=9l1<7850;2x 75e28>j7E<;3:J17f=#9:n1==84i011>5<5<6=44i015>5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`657<72?0;6=u+22`951g<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn8><:184>5<7s-88n7?;b:J106=O::i0(<=k:gd8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo;?4;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=9<1<7850;2x 75e28>j7E<;3:J17f=#9:n1==84i011>5<5<6=44i015>5<7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`64<<72?0;6=u+22`951g<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vn9hn:184>5<7s-88n7?;b:J106=O::i0(<=k:gd8m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k4cf2900qo:ib;292?6=8r.9?o4>4`9K615<@;9h7)?o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{ej7E<;3:J17f=#9:n1==84$0d7>70f3`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3th?jk4?:683>5}#::h1=9l4H360?M44k2.:?i4if:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi9=>50;494?6|,;9i6<:n;I077>N5;j1/=>j51148 4`32;<27d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pl;ee83>1<729q/>>l515:8L7243A88o6*>3e84b>"6n=09:95f12094?=n9:91<75f12694?=h9lk1<75rb5g;>5<3290;w)<N5<:1C>>m4$01g>2`<,8l?6?8;;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|`61c<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~f006290=6=4?{%00f?73i2B98>5G22a8 45c288:7)?i4;0`b>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{e=?81<7:50;2x 75e28>37E<;3:J17f=#9:n1;k5+1g6962d5<5<;7>54;294~"5;k0:855G2518L75d3-;8h79i;%3e0?41>2c:??4?::k276<722c:?94?::m2ad<722wi98o50;694?6|,;9i6<:7;I077>N5;j1/=>j57g9'5c2=:??0e<==:188m4542900e<=;:188k4cf2900qo:i2;290?6=8r.9?o4>499K615<@;9h7)?3383>>o6;:0;66g>3583>>i6mh0;66sm4g794?2=83:p(?=m:06;?M43;2B9?n5+12f93c=#9o>1>;;4i011>5<5<4?::k271<722e:il4?::a114=83?1<7>t$31a>42>3A8??6F=3b9'56b=?<1/=k:52``8m4552900e<=<:188m4532900e<=::188k4cf2900qo;;e;292?6=8r.9?o4>4`9K615<@;9h7)?3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66sm55594?1=83:p(?=m:06a?M43;2B9?n5+12f954b5<5<5<3:14?::k271<722c:?84?::k273<722e:il4?::a112=83=1<7>t$31a>42e3A8??6F=3b9'56b=n;1b=><50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~f02f290>6=4?{%00f?7312B98>5G22a8 45c2:i0(2<729q/>>l515`8L7243A88o6*>3e86b>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>i6mh0;66sm58g94?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo;6c;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j52d9j=g<722chn7>5;n3ea?6=3th>n44?:283>5}#::h1==h4H360?M44k2.:?i4=e:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:5<>odj3:17b?ie;29?xd2i10;6>4?:1y'66d=99l0D?:<;I00g>"6;m0;7d7m:188mfd=831d=kk50;9~f0g329086=4?{%00f?77n2B98>5G22a8 45c291b5o4?::k`f?6=3f;mi7>5;|`6e7<72:0;6=u+22`955`<@;>87E<5<4290;w)<N5<:1C>>m4$01g>5=n1k0;66glb;29?j7am3:17pl:ad83>6<729q/>>l511d8L7243A88o6*>3e83?l?e2900enl50;9l5cc=831vn;9<:180>5<7s-88n7??f:J106=O::i0(<=k:19j=g<722chn7>5;n3ea?6=3th=;<4?:283>5}#::h1==h4H360?M44k2.:?i4?;h;a>5<fd83>>{e>1o1<7=50;2x 75e28:m7E<;3:J17f=#9:n1>h5f9c83>>odj3:17b?ie;29?xd10j0;6>4?:1y'66d=99l0D?:<;I00g>"6;m09i6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::a22b=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm66594?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo875;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{e?=>1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l38n7d7m:188mfd=831d=kk50;9~f20629086=4?{%00f?77n2B98>5G22a8 45c2;o0e4l50;9jgg<722e:jh4?::a30`=8391<7>t$31a>46a3A8??6F=3b9'56b=:l1b5o4?::k`f?6=3f;mi7>5;|`417<72:0;6=u+22`955`<@;>87E<5<4290;w)<N5<:1C>>m4$01g>5=n1k0;66glb;29?j7am3:17pl84b83>6<729q/>>l511d8L7243A88o6*>3e83?l?e2900enl50;9l5cc=831vn::n:180>5<7s-88n7??f:J106=O::i0(<=k:19j=g<722chn7>5;n3ea?6=3th<954?:283>5}#::h1==h4H360?M44k2.:?i4?;h;a>5<?=6=4<:183!44j3;;j6F=429K66e<,89o6=5f9c83>>odj3:17b?ie;29?xd?900;6>4?:1y'66d=99l0D?:<;I00g>"6;m0;7d7m:188mfd=831d=kk50;9~f=7029086=4?{%00f?77n2B98>5G22a8 45c291b5o4?::k`f?6=3f;mi7>5;|`;73<72:0;6=u+22`955`<@;>87E<5;haa>5<5<4290;w)<N5<:1C>>m4$01g>7c>i6nl0;66sm83794?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo6=3;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{e0;h1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c513:1?7>50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::a<`e=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm91;94?5=83:p(?=m:02e?M43;2B9?n5+12f96`=n1k0;66glb;29?j7am3:17pl60683>6<729q/>>l511d8L7243A88o6*>3e81a>o>j3:17dmm:188k4`b2900qo7?5;297?6=8r.9?o4>0g9K615<@;9h7)?5<7s-88n7??f:J106=O::i0(<=k:19j=g<722chn7>5;n3ea?6=3th3j;4?:283>5}#::h1==h4H360?M44k2.:?i4?;h;a>5<>odj3:17b?ie;29?xd?n90;6>4?:1y'66d=99l0D?:<;I00g>"6;m0;7d7m:188mfd=831d=kk50;9~f=`b29086=4?{%00f?77n2B98>5G22a8 45c291b5o4?::k`f?6=3f;mi7>5;|`;bf<72:0;6=u+22`955`<@;>87E<5<4290;w)<N5<:1C>>m4$01g>5=n1k0;66glb;29?j7am3:17plm4183>6<729q/>>l511d8L7243A88o6*>3e83?l?e2900enl50;9l5cc=831vno9;:180>5<7s-88n7??f:J106=O::i0(<=k:3g8mi;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ej>o1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::af43=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smb3194?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qol=c;297?6=8r.9?o4>0g9K615<@;9h7)?=50;194?6|,;9i6<>i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ej==1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::aeac=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sm68494?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qo999;297?6=8r.9?o4>0g9K615<@;9h7)?m50;194?6|,;9i6<>i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{eil:1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::agdc=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smc7694?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qom0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ej9i1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::af3d=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smb6294?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qol<0;297?6=8r.9?o4>0g9K615<@;9h7)?k50;194?6|,;9i6<>i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<7>53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ej8o1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::ag=b=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smc5194?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qom>e;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<n7>53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ej?91<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::af0`=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smb4594?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qooj4;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{eijn1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::aef?=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smab794?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qool3;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{eik31<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::a0fc=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smc8794?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qom60;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ek=<1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::ag70=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smag094?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qoojc;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ekk?1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::ag26=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smcb194?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qomme;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ek>31<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::ag02=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smc3d94?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qom=a;297?6=8r.9?o4>0g9K615<@;9h7)?650;594?6|,;9i6<:m;I077>N5;j1/=>j5e:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win9?50;594?6|,;9i6<:m;I077>N5;j1/=>j5e:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi9n<50;594?6|,;9i6<:m;I077>N5;j1/=>j5b:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi:4950;594?6|,;9i6<:m;I077>N5;j1/=>j5c:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi;;o50;594?6|,;9i6<:m;I077>N5;j1/=>j5b:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi4>j50;594?6|,;9i6<:m;I077>N5;j1/=>j5c:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi5<>50;594?6|,;9i6<:m;I077>N5;j1/=>j5c:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win>?50;594?6|,;9i6<:m;I077>N5;j1/=>j53:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win>h50;594?6|,;9i6<:m;I077>N5;j1/=>j56:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win??50;594?6|,;9i6<:m;I077>N5;j1/=>j5a:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win?950;594?6|,;9i6<:m;I077>N5;j1/=>j5a:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win:;50;594?6|,;9i6<:m;I077>N5;j1/=>j54:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win<=50;594?6|,;9i6<:m;I077>N5;j1/=>j53:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722win:m50;594?6|,;9i6<:m;I077>N5;j1/=>j5a:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wimh950;594?6|,;9i6<:m;I077>N5;j1/=>j5d:k277<722c:?>4?::k271<722c:?84?::k273<722c:?:4?::m2ad<722wi94h50;694?6|,;9i6<:7;I077>N5;j1/=>j56d9'5c2=:jn0e<==:188m4542900e<=;:188k4cf2900qo;6d;290?6=8r.9?o4>499K615<@;9h7)?3383>>o6;:0;66g>3583>>i6mh0;66sm5``94?2=83:p(?=m:06;?M43;2B9?n5+12f92`=#9o>1>nm4i011>5<5<4?::k271<722e:il4?::a1d3=83>1<7>t$31a>42?3A8??6F=3b9'56b=>l1/=k:52b`8m4552900e<=<:188m4532900c50z&17g<6<11C>9=4H31`?!74l3o6;;0;66g>3283>>o6;=0;66a>e`83>>{e=k;1<7:50;2x 75e28>37E<;3:J17f=#9:n1:h5+1g696fg5<5<54;294~"5;k0:855G2518L75d3-;8h78j;%3e0?4di2c:??4?::k276<722c:?94?::m2ad<722wi:::50;694?6|,;9i6<:7;I077>N5;j1/=>j56d9'5c2=:j30e<==:188m4542900e<=;:188k4cf2900qo882;290?6=8r.9?o4>499K615<@;9h7)?3383>>o6;:0;66g>3583>>i6mh0;66sm69294?2=83:p(?=m:06;?M43;2B9?n5+12f92`=#9o>1>n64i011>5<5<4?::k271<722e:il4?::a22g=83>1<7>t$31a>42?3A8??6F=3b9'56b=>l1/=k:52b58m4552900e<=<:188m4532900c50z&17g<6<11C>9=4H31`?!74l3o6;;0;66g>3283>>o6;=0;66a>e`83>>{e>1<1<7:50;2x 75e28>37E<;3:J17f=#9:n1:h5+1g696f35<5<54;294~"5;k0:855G2518L75d3-;8h78j;%3e0?4d=2c:??4?::k276<722c:?94?::m2ad<722wi;9950;694?6|,;9i6<:7;I077>N5;j1/=>j56d9'5c2=:j>0e<==:188m4542900e<=;:188k4cf2900qo9;5;290?6=8r.9?o4>499K615<@;9h7)?3383>>o6;:0;66g>3583>>i6mh0;66sm74194?2=83:p(?=m:06;?M43;2B9?n5+12f92`=#9o>1>n=4i011>5<5<4?::k271<722e:il4?::a31b=83>1<7>t$31a>42?3A8??6F=3b9'56b=>l1/=k:52b08m4552900e<=<:188m4532900c50z&17g<6<11C>9=4H31`?!74l3o6;;0;66g>3283>>o6;=0;66a>e`83>>{e?<31<7:50;2x 75e28>37E<;3:J17f=#9:n1:h5+1g696f75<5<;7>54;294~"5;k0:855G2518L75d3-;8h78j;%3e0?4d92c:??4?::k276<722c:?94?::m2ad<722wi4N5;j1/=>j56d9'5c2=:j:0e<==:188m4542900e<=;:188k4cf2900qo6>8;290?6=8r.9?o4>499K615<@;9h7)?3383>>o6;:0;66g>3583>>i6mh0;66sm83494?2=83:p(?=m:06;?M43;2B9?n5+12f92`=#9o>1>oh4i011>5<5<4?::k271<722e:il4?::a<76=83>1<7>t$31a>42?3A8??6F=3b9'56b=>l1/=k:52cg8m4552900e<=<:188m4532900c6m3:187>50z&17g<6<11C>9=4H31`?!74l3o6;;0;66g>3283>>o6;=0;66a>e`83>>{e0;i1<7:50;2x 75e28>37E<;3:J17f=#9:n1:h5+1g696gb5<5<54;294~"5;k0:855G2518L75d3-;8h78j;%3e0?4el2c:??4?::k276<722c:?94?::m2ad<722wi4hj50;694?6|,;9i6<:7;I077>N5;j1/=>j56d9'5c2=:ki0e<==:188m4542900e<=;:188k4cf2900qo6jb;290?6=8r.9?o4>499K615<@;9h7)?3383>>o6;:0;66g>3583>>i6mh0;66sm8g;94?2=83:p(?=m:06;?M43;2B9?n5+12f92`=#9o>1>oo4i011>5<5<4?::k271<722e:il4?::a1<7>t$31a>42?3A8??6F=3b9'56b=>l1/=k:52c;8m4552900e<=<:188m4532900ca93:187>50z&17g<6<11C>9=4H31`?!74l3o6;;0;66g>3283>>o6;=0;66a>e`83>>{e0ol1<7:50;2x 75e28>37E<;3:J17f=#9:n1:h5+1g696g>5<5<54;294~"5;k0:855G2518L75d3-;8h78j;%3e0?4e02c:??4?::k276<722c:?94?::m2ad<722wi9om50;694?6|,;9i6<:7;I077>N5;j1/=>j5f79j564=831b=>=50;9j562=831d=ho50;9~f0df290?6=4?{%00f?7302B98>5G22a8 45c2o<0("6;m0m:6*>f58115=n9:81<75f12194?=n9:>1<75`1dc94?=zj?3:6=4;:183!44j3;?46F=429K66e<,89o6k84$0d7>7333`;8>7>5;h307?6=3`;887>5;n3fe?6=3th=4k4?:583>5}#::h1=964H360?M44k2.:?i4i6:&2b1<5<50;9j565=831b=>:50;9l5`g=831vn;6k:187>5<7s-88n7?;8:J106=O::i0(<=k:g48 4`32;>m7d?<2;29?l74;3:17d?<4;29?j7bi3:17pl86583>1<729q/>>l515:8L7243A88o6*>3e8e2>"6n=09995f12094?=n9:91<75f12694?=h9lk1<75rb641>5<3290;w)<N5<:1C>>m4$01g>c0<,8l?6?:j;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|`425<72=0;6=u+22`951><@;>87E<2.:j94=4d9j564=831b=>=50;9j562=831d=ho50;9~f=50290?6=4?{%00f?7302B98>5G22a8 45c2o<0("6;m0m:6*>f5810a=n9:81<75f12194?=n9:>1<75`1dc94?=zj1986=4;:183!44j3;?46F=429K66e<,89o6k84$0d7>72c3`;8>7>5;h307?6=3`;887>5;n3fe?6=3th25}#::h1=964H360?M44k2.:?i4i6:&2b1<5=:1b=><50;9j565=831b=>:50;9l5`g=831vn4>7:187>5<7s-88n7?;8:J106=O::i0(<=k:g48 4`32;ni7d?<2;29?l74;3:17d?<4;29?j7bi3:17pl60783>1<729q/>>l515:8L7243A88o6*>3e8e2>"6n=09ho5f12094?=n9:91<75f12694?=h9lk1<75rbc4b>5<3290;w)<N5<:1C>>m4$01g>3c<,8l?6?l=;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|`a3d<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~fg>6290?6=4?{%00f?7302B98>5G22a8 45c2?o0("6;m0=i6*>f581f0=n9:81<75f12194?=n9:>1<75`1dc94?=zjk;36=4;:183!44j3;?46F=429K66e<,89o6;k4$0d7>7d23`;8>7>5;h307?6=3`;887>5;n3fe?6=3thi=i4?:583>5}#::h1=964H360?M44k2.:?i49e:&2b1<5j=1b=><50;9j565=831b=>:50;9l5`g=831vno<9:187>5<7s-88n7?;8:J106=O::i0(<=k:7g8 4`32;h?7d?<2;29?l74;3:17d?<4;29?j7bi3:17plm2g83>1<729q/>>l515:8L7243A88o6*>3e85a>"6n=09n>5f12094?=n9:91<75f12694?=h9lk1<75rbc15>5<3290;w)<N5<:1C>>m4$01g>3c<,8l?6?l<;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|`a7a<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~fg2f290?6=4?{%00f?7302B98>5G22a8 45c2?o0(o0;694?:1y'66d=9=20D?:<;I00g>"6;m0=i6*>f581ec=n9:81<75f12194?=n9:>1<75`1dc94?=zjho86=4;:183!44j3;?46F=429K66e<,89o6;k4$0d7>7d03`;8>7>5;h307?6=3`;887>5;n3fe?6=3thjhi4?:583>5}#::h1=964H360?M44k2.:?i49e:&2b1<5j>1b=><50;9j565=831b=>:50;9l5`g=831vnllk:185>5<7s-88n7?;a:J106=O::i0(<=k:8f8m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l33o7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17plncd83>3<729q/>>l515c8L7243A88o6*>3e8:`>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{eiji1<7850;2x 75e28>j7E<;3:J17f=#9:n15i5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rb`ab>5<1290;w)<N5<:1C>>m4$01g>5<5<5<56;294~"5;k0:8l5G2518L75d3-;8h77k;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|`bg3<72?0;6=u+22`951g<@;>87E<l2c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wimn:50;494?6|,;9i6<:n;I077>N5;j1/=>j59e9j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~fde5290=6=4?{%00f?73i2B98>5G22a8 45c20n0e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qool0;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xdfjl0;6;4?:1y'66d=9=k0D?:<;I00g>"6;m02h6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66smac:94?0=83:p(?=m:06b?M43;2B9?n5+12f9=a=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zjjh;6=4;:183!44j3;?46F=429K66e<,89o6;k4$0d7>7bf3`;8>7>5;h307?6=3`;887>5;n3fe?6=3thh4o4?:583>5}#::h1=964H360?M44k2.:?i49e:&2b1<5l11b=><50;9j565=831b=>:50;9l5`g=831vnn89:187>5<7s-88n7?;8:J106=O::i0(<=k:7g8 4`32;n=7d?<2;29?l74;3:17d?<4;29?j7bi3:17pll4083>1<729q/>>l515:8L7243A88o6*>3e85a>"6n=09h95f12094?=n9:91<75f12694?=h9lk1<75rbb3`>5<3290;w)<N5<:1C>>m4$01g>3c<,8l?6?j=;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|`bac<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~fd`6290?6=4?{%00f?7302B98>5G22a8 45c2?o0("6;m0=i6*>f581f4=n9:81<75f12194?=n9:>1<75`1dc94?=zjk=86=4;:183!44j3;?46F=429K66e<,89o6;k4$0d7>7ga3`;8>7>5;h307?6=3`;887>5;n3fe?6=3thhn94?:583>5}#::h1=964H360?M44k2.:?i49e:&2b1<5l01b=><50;9j565=831b=>:50;9l5`g=831vnn6i:187>5<7s-88n7?;8:J106=O::i0(<=k:7g8 4`32;n<7d?<2;29?l74;3:17d?<4;29?j7bi3:17pll6`83>1<729q/>>l515:8L7243A88o6*>3e85a>"6n=09h85f12094?=n9:91<75f12694?=h9lk1<75rbb66>5<3290;w)<N5<:1C>>m4$01g>3c<,8l?6?j<;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|``65<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~fg3b290?6=4?{%00f?7302B98>5G22a8 45c2?o0(10;694?:1y'66d=9=20D?:<;I00g>"6;m0=i6*>f581e`=n9:81<75f12194?=n9:>1<75`1dc94?=zjk?=6=4;:183!44j3;?46F=429K66e<,89o6;k4$0d7>7gc3`;8>7>5;h307?6=3`;887>5;n3fe?6=3thi:?4?:583>5}#::h1=964H360?M44k2.:?i49e:&2b1<5im1b=><50;9j565=831b=>:50;9l5`g=831vno;=:187>5<7s-88n7?;8:J106=O::i0(<=k:7g8 4`32;kh7d?<2;29?l74;3:17d?<4;29?j7bi3:17plm5`83>1<729q/>>l515:8L7243A88o6*>3e85a>"6n=09mn5f12094?=n9:91<75f12694?=h9lk1<75rb`d0>5<3290;w)<N5<:1C>>m4$01g>3c<,8l?6?;=;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|`baa<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~fdba290=6=4?{%00f?73i2B98>5G22a8 45c2;30e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qoml0;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ek081<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c3:1?7>50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::ag3b=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66smc5:94?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qom=c;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ei0<1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::aed1=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<>i6nl0;66sma`194?5=83:p(?=m:02e?M43;2B9?n5+12f94>o>j3:17dmm:188k4`b2900qoon1;297?6=8r.9?o4>0g9K615<@;9h7)?i;I077>N5;j1/=>j50:k:f?6=3`ii6=44o0df>5<53;294~"5;k0:4i8`94?=nkk0;66a>fd83>>{ei0h1<7=50;2x 75e28:m7E<;3:J17f=#9:n1<6g6b;29?lee2900c=3:1?7>50z&17g<68o1C>9=4H31`?!74l3:0e4l50;9jgg<722e:jh4?::ae<5=8391<7>t$31a>46a3A8??6F=3b9'56b=82c2n7>5;haa>5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3thh8h4?:783>5}#::h1=9o4H360?M44k2.:?i486:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::ag7?=83<1<7>t$31a>42f3A8??6F=3b9'56b=??1b=><50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vnnlk:185>5<7s-88n7?;a:J106=O::i0(<=k:648m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l3==7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pll9783>3<729q/>>l515c8L7243A88o6*>3e842>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{ek0;1<7850;2x 75e28>j7E<;3:J17f=#9:n1;;5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rbb6`>5<1290;w)<N5<:1C>>m4$01g>205<5<5<56;294~"5;k0:8l5G2518L75d3-;8h799;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|``62<72?0;6=u+22`951g<@;>87E<2c:??4?::k276<722c:?94?::k270<722c:?;4?::m2ad<722wio?<50;494?6|,;9i6<:n;I077>N5;j1/=>j5779j564=831b=>=50;9j562=831b=>;50;9j560=831d=ho50;9~ffde290=6=4?{%00f?73i2B98>5G22a8 45c2><0e<==:188m4542900e<=;:188m4522900e<=9:188k4cf2900qomm6;292?6=8r.9?o4>4`9K615<@;9h7)?3:17b?ja;29?xdd?80;6;4?:1y'66d=9=k0D?:<;I00g>"6;m0<:6g>3383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i6mh0;66smc7a94?0=83:p(?=m:06b?M43;2B9?n5+12f933=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`1dc94?=zjji?6=49:183!44j3;?m6F=429K66e<,89o6:84i011>5<5<6=44i015>5<3:17>5;h307?6=3`;887>5;h301?6=3`;8:7>5;n3fe?6=3thh5k4?:783>5}#::h1=9o4H360?M44k2.:?i486:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::agt$31a>42f3A8??6F=3b9'56b=??1b=><50;9j565=831b=>:50;9j563=831b=>850;9l5`g=831vnn9n:185>5<7s-88n7?;a:J106=O::i0(<=k:648m4552900e<=<:188m4532900e<=::188m4512900c50z&17g<69=4H31`?!74l3==7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?j7bi3:17pll5483>3<729q/>>l515c8L7243A88o6*>3e842>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a>e`83>>{ek<:1<7850;2x 75e28>j7E<;3:J17f=#9:n1;;5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h9lk1<75rbb13>5<1290;w)<N5<:1C>>m4$01g>205<5<5<56;294~"5;k0:8l5G2518L75d3-;8h799;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f;nm7>5;|``g7<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~ffd>290?6=4?{%00f?7302B98>5G22a8 45c2?o0("6;m0=i6*>f581`==n9:81<75f12194?=n9:>1<75`1dc94?=zjj3?6=4;:183!44j3;?46F=429K66e<,89o6;k4$0d7>7b03`;8>7>5;h307?6=3`;887>5;n3fe?6=3thh;54?:583>5}#::h1=964H360?M44k2.:?i49e:&2b1<5l?1b=><50;9j565=831b=>:50;9l5`g=831vnn8i:187>5<7s-88n7?;8:J106=O::i0(<=k:7g8 4`32;n>7d?<2;29?l74;3:17d?<4;29?j7bi3:17pll5283>1<729q/>>l515:8L7243A88o6*>3e85a>"6n=09h95f12094?=n9:91<75f12694?=h9lk1<75rbb6b>5<3290;w)<N5<:1C>>m4$01g>3c<,8l?6?j<;h306?6=3`;8?7>5;h300?6=3f;nm7>5;|``6`<72=0;6=u+22`951><@;>87E<=50;9j562=831d=ho50;9~ff42290?6=4?{%00f?7302B98>5G22a8 45c2?o0("6;m0:=95f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zjh3<6=48:183!44j3;?n6F=429K66e<,89o65;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3thjml4?:683>5}#::h1=9l4H360?M44k2.:?i4>159j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnlo7:184>5<7s-88n7?;b:J106=O::i0(<=k:037?l74:3:17d?<3;29?l74<3:17d?<5;29?l74>3:17d?<7;29?j7bi3:17plna783>2<729q/>>l515`8L7243A88o6*>3e8251=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb`c7>5<0290;w)<N5<:1C>>m4$01g>4733`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f;nm7>5;|`be7<72>0;6=u+22`951d<@;>87E<<50;9j565=831b=>:50;9j563=831b=>850;9j561=831d=ho50;9~fdg7290<6=4?{%00f?73j2B98>5G22a8 45c28;?7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b?ja;29?xdf1l0;6:4?:1y'66d=9=h0D?:<;I00g>"6;m0:=95f12094?=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75`1dc94?=zjh3h6=48:183!44j3;?n6F=429K66e<,89o65;h300?6=3`;897>5;h302?6=3`;8;7>5;n3fe?6=3thj5l4?:683>5}#::h1=9l4H360?M44k2.:?i4>159j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9l5`g=831vnl7;:184>5<7s-88n7?;b:J106=O::i0(<=k:037?l74:3:17d?<3;29?l74<3:17d?<5;29?l74>3:17d?<7;29?j7bi3:17pl:5283>1<729q/>>l51438L7243A88o6g>3383>>o6;:0;66g=1783>>i6mh0;66sm54694?1=83:p(?=m:06a?M43;2B9?n5+12f91<=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75f12594?=h9lk1<75rb476>5<3290;w)<N5<:1C>>m4$01g>715<5<<7>54;294~"5;k0:9<5G2518L75d3`;8>7>5;h307?6=3`8::7>5;n3fe?6=3th>9<4?:783>5}#::h1=9o4H360?M44k2.:?i4;f:k277<722c:?>4?::k271<722c:?84?::k273<722e:il4?::a104=83?1<7>t$31a>42>3A8??6F=3b9'56b==j1b=><50;9j565=831b=>:50;9j563=831d=ho50;9~f41729096=4?{%00f?74m2B98>5G22a8m4562900c7>50z&17g<6;l1C>9=4H31`?l7493:17b?ja;29?xd3910;6?4?:1y'66d=9:o0D?:<;I00g>o6;80;66a>e`83>>{e>6=4=:183!44j3;8i6F=429K66e5<52;294~"5;k0:?h5G2518L75d3`;8=7>5;n3fe?6=3th8954?:383>5}#::h1=>k4H360?M44k2c:?<4?::m2ad<722wi?;o50;094?6|,;9i6<=j;I077>N5;j1b=>?50;9l5`g=831vn89=:181>5<7s-88n7?:188k4cf2900qo8;7;296?6=8r.9?o4>3d9K615<@;9h7d?<1;29?j7bi3:17pl81`83>7<729q/>>l512g8L7243A88o6g>3083>>i6mh0;66sm7df94?4=83:p(?=m:01f?M43;2B9?n5f12394?=h9lk1<75rb9a3>5<5290;w)<N5<:1C>>m4i012>5<h1<75f7b83>>o0l3:17d9j:188md4=831bm84?::k`f?6=3f;mi7>5;n3eb?6=3th84?4?:583>5}#::h1=<>4H360?M44k2.:?i4?;h;a>5<>i6nl0;66s|31g94?4|5::n6{t;9i1<74cf34?j?7?<4:p75d=838p1>>m:0gb?83>l3;886s|30594?4|5:;<6{t;8?1<74cf34<<47?<4:p742=838p1>?;:0gb?800:3;886s|33294?4|5:8;6{t;8o1<74cf34=?n7?<4:p74b=838p1>?k:0gb?813=3;886s|33;94?4|5:826{t;;=1<74cf342:i7?<4:p770=838p1><9:0gb?8>603;886s|32094?4|5:996?50;0x965628oj706i7;300>{t;::1<74cf342m=7?<4:p77`=838p1>bj3;886s|4bc94?5|5=ij6309~wfef2909w0mld;aa?8edi3;nm6s|32f94?4|V:9o70?{t;:>1<74cf34?i47?<3:p760=838p1>=9:0gb?80?l3;8?6s|32:94?4|5:936o50;0x965f28oj706<3;307>{t;:i1<74cf343;:7?<3:p51`=838p1>67:b`8942a28oj7p}=1883>7}::831=ho4=2c6>4543tyh?7>52z?`7?7bi2785i4lb:p6c5=838p1l>>:0gb?84a;3;8=6s|2g094?4|5h:96{t;981<74cf349;>7?<1:p757=838p14h6:0gb?85793;8=6s|31294?4|50lj6kh50;0x9<`e28oj70{t:oo1<74cf348mi7?<1:p6cb=838p14hk:0gb?84al3;8=6s|2ga94?4|50ln6kl50;0x9<`a28oj70{t:o;1<74cf348m=7?<1:p`3<72;qUh;52e7827c=z{m>1<763j3;30b>{tl80;6?uQd09>a7<6;o1vi>50;0xZa6<5l;1=>h4}rfe>5<5sWnm70ki:01e?xucm3:1>vPke:?fa?74n2wxhi4?:3y]`a=:mm0:?k5rsea94?4|Vmi01hm512d8yvbe2909wSjm;45a3tyom7>52z\ge>;bi3;8j6s|d883>7}Yl016i44>3g9~wa>=838pRi64=d:956`=83kp1oj;:017?8dc;3;8863;568272=:jki1=><4=54`>45134>=:7?<6:?7a6<6;=16n?651gg89g4>289870l=7;306>{tkon1<74cf34imh7?<1:p0f>=839p1olm:0gb?8eaj3;8=63;c98274=z{ji36=4={<`g0?7bi27ho54>309~w1ec29098v3<6g8b6>;4>80:??523459560<5:?h6l<4=5ag>4`b34k=?7?<6:?0=c<6;:16?l?512189g2d289870;l0;300>;11<0:?95277:9562<519i6<=;;<;3a?74<278m:4>339>7d3=9:801>k=:b`890c5289?70;m3;306>;2jl0:??526c59562<5?236<==;<4:7?74:27<4l4>359>30d=9:801:89:011?8>2l3;886372d8277=:0:31=><4=813>453343;=7?<2:?:4f<6;;16?;651248960f289:70=6d;c1?85?:3k97p}lcg83>d`|5jim64>369>f1e=9:>018m?:011?80>=3;8>638698277=:0:h1=><4=82f>45534?n>7?<5:?6f6<6;=169ok5126893d0289>70878;300>;11:0:?95279c9563<5>?i6<=;;<552?74<2739i4>349><7c=9:>015=6:017?8?483;89636008271=:19i1=>:4=4;e>45434?2h7?<3:?6eg<6;:169l75121890g2289870;n3;307>;2j80:?>525`d9565<5?=?6<=<;<446?74;27=4=4>329>22c=9:901;9n:010?80003;8?639878276=:>1>1=>=4=664>45434=?97?<3:?416<6;:16;8?51218922c2898709;b;307>;0=00:?>527459565<51;j6<=<;<:2;4>329><72=9:90156m3;8?6372b8276=:0;k1=>=4=9gg>454342nn7?<3:?;b<<6;:164k9512189=`42898706i1;307>;?no0:?>528gf9565<5n54>359>2<7=9:>01;6i:017?80?l3;88638658271=:??81=>:4=643>4533428;7?<4:?;70<6;=164>=512689<6f289?707?8;300>;>8?0:?952b7c9565<5k=j6<=<;<`;5?74;27i=<4>329>f4>=9:901o?k:010?8d5>3;8?63m2g8276=:j:<1=>=4=c1g>45434h?m7?<3:?a2c<6;:16mh=512189dbc289870mm0;307>;d0k0:?>52c749565<5j>:6<=<;329>ec7=9:901o:=:010?8d0;3;8?63lb58276=:k1l1=>=4=b4b>45434i?97?<3:?`65<6;:16n8k512189g0?289870l:6;307>;e>;0:?>52b409565<5k?j6<=<;329>ea`=9:901n77:010?8e3m3;8?63l288276=:kkn1=>=4=b50>45434i2:7?<3:?`=4<6;:16o9m512189f20289870m=7;307>;d:;0:?>52cc`9565<5jh=6<=<;329>gf2=9:901nli:010?8e>n3;8?63l9`8276=:k>k1=>=4=b56>45434i>97?<3:?`15<6;:16o>>512189f4e289870ml2;307>;dj00:?>52c8f9565<5j3?6<=<;329>g05=9:901n:n:010?8e5m3;8?63l248276=:ikn1=>:4=``a>45334khi7?<4:?bgf<6;=16mno512689de?289?70ol6;300>;fk=0:?952ab09562<5hi;6<=;;359>e84=`c7>45134kj>7?<6:?be5<6;?16m4k512489d?d289=70o6a;302>;f1=0:?;5rsbaa>5<4s4ihh7?ie:?`g`339~wfed2909w0mle;3ea>;6;90:?>5rs21f>5<4s4;8m7?ja:?005jj:0df?873l3;8=6s|ce394?3|5==;6379>f7?=9:=01o<8:015?xudn=0;6?uQcg689f`2289m7p}lf383>7}Yko801nh<:01e?xu4i6<=>;|q00a<72;9=w0mlf;;a?8edl33i70mle;;a?8eb:33i70mj3;;a?8ebi33i70mjb;;a?8ebk33i70mjd;;a?8ebm33i70mjf;;a?8ea833i70mi1;;a?8eb<33i70mj5;;a?8eb>33i70mj7;;a?8eb033i70mj9;;a?850m33i70=8f;;a?85?833i70=9f;;a?851l33i70=9e;;a?851=33i70=96;;a?83aj33i70;ic;;a?807?33i708?c;;a?807l33i708?e;;a?807n33i708>0;;a?806933i708>2;;a?83al33i70;ie;;a?83an33i708?0;;a?807933i708?2;;a?807;33i708?4;;a?807=33i708?6;;a?807033i708?9;;a?807i33i708?b;;a?805j33i708=c;;a?804?33i7082;;a?816;33i709>4;;a?816=33i709>6;;a?816?33i709?2;;a?817;33i709?4;;a?817=33i709?6;;a?817?33i709?8;;a?817133i709?a;;a?817j33i709?d;;a?817m33i709?f;;a?816833i708m3;;a?80e<33i708m5;;a?81f;33i709n4;;a?81fn33i709m4;;a?81e=33i709m6;;a?81e?33i709m8;;a?81e133i709ma;;a?81f=33i709n6;;a?81f?33i709n8;;a?81f133i709na;;a?81fj33i709nc;;a?81fl33i709ne;;a?81e833i709m1;;a?81e:33i709m3;;a?81c;33i709k4;;a?81cn33i709j4;;a?81b=33i709j6;;a?81b?33i709j8;;a?81b133i709ja;;a?81c=33i709k6;;a?81c?33i709k8;;a?81c133i709ka;;a?81cj33i709kc;;a?81cl33i709ke;;a?81b833i709j1;;a?81b:33i709j3;;a?81?>33i70977;;a?81?033i70686;;a?8>0?33i70672;;a?8>??33i70678;;a?8>?133i7067a;;a?8>?j33i7067c;;a?8>?l33i70688;;a?8>0133i7068a;;a?8>0j33i7068c;;a?8>0l33i7068e;;a?8>0n33i70670;;a?8>?933i70673;;a?8>?<33i70675;;a?8>?>33i706n6;;a?8>f?33i706m2;;a?8>e?33i706m8;;a?8>e133i706ma;;a?8>ej33i706mc;;a?8>el33i706n8;;a?8>f133i706na;;a?8>fj33i706nc;;a?8>fl33i706ne;;a?8>fn33i706m0;;a?8>e933i706m3;;a?8>e<33i706m5;;a?8>e>33i706:9;;a?8>2i33i706:b;;a?8?3133i707;a;;a?8?2=33i707:a;;a?8?2j33i707:c;;a?8?2l33i707:e;;a?8?2n33i70790;;a?8?3j33i707;c;;a?8?3l33i707;e;;a?8?3n33i707:0;;a?8?2933i707:2;;a?8?2;33i707:4;;a?8?2>33i707:7;;a?8?2033i707:9;;a?8?0133i7078a;;a?8??=33i7077a;;a?8??j33i7077c;;a?8??l33i7077e;;a?8??n33i70760;;a?8?0j33i7078c;;a?8?0l33i7078e;;a?8?0n33i70770;;a?8??933i70772;;a?8??;33i70774;;a?8??>33i70777;;a?8??033i70779;;a?8?5k33i707=d;;a?8?5m33i70l99;;a?8d3>33i70l<9;;a?8d4:33i70l=b;;a?8d5:33i70l>9;;a?8d6<33i70l?d;;a?8d0l33i70l86;;a?85?033i70=79;;a?85c?33i70=k8;;a?85c133i70=ka;;a?85cj33i70=kc;;a?85cl33i70=ke;;a?85en33i70=l0;;a?85d933i70=l2;;a?85d;33i70=l4;;a?85d=33i70=l6;;a?85b<33i70=m4;;a?85e=33i70=m6;;a?85cn33i70:63;;a?82><33i70:6b;;a?82>k33i70:6d;;a?82>m33i70:6f;;a?82f833i70:n1;;a?82f:33i70:65;;a?82>>33i70:67;;a?82>033i70:69;;a?82>i33i70:8b;;a?820k33i70:8d;;a?820m33i70:8f;;a?82?833i70:71;;a?82?:33i70:=c;;a?823>33i70:;7;;a?823i33i70:;b;;a?823k33i70:;d;;a?823m33i70:;f;;a?822833i70::1;;a?823033i70:;9;;a?821033i70::b;;a?822033i70::5;;a?822:33i70=;d;3fe>;4=j02n63;4i?02n63;3km02n63<798:f>;4??02n63<5d8:f>;2jo02n63:e08:f>;2j;02n63:be8:f>;11=02n639b78:f>;10>02n639938:f>;0>>02n638888:f>;0=h02n638648:f>;?;h02n6375b8:f>;?:m02n637398:f>;>8m02n6362g8:f>;>8902n6360c8:f>;e;4i902n63<668:f>;1<<02n638198:f>;0mk02n637bd8:f>;>1802n63:9d8:f>;21j02n63:bc8:f>;2j002n63:b68:f>;2ih02n63:a98:f>;2i=02n63:a38:f>;2j902n63:ad8:f>;1?:02n639708:f>;11902n6398d8:f>;10j02n6397g8:f>;1?m02n639788:f>;1?>02n639848:f>;10:02n638478:f>;0<=02n638628:f>;0>802n6385g8:f>;0=;02n638518:f>;0;0=102n638578:f>;?9002n637168:f>;?;?02n637358:f>;?;;02n637248:f>;?::02n6371g8:f>;?9m02n6372c8:f>;?:002n637eb8:f>;?mh02n636088:f>;>8>02n636048:f>;?n102n637f78:f>;?n;02n637f18:f>;?nl02n637fb8:f>;e;>02n63m418:f>;e?=02n63m428:f>;e?>02n63m7d8:f>;e8l02n63m148:f>;e9h02n63m228:f>;e:j02n63m328:f>;e;h02n63m468:f>;e>j02n63ndd8:f>;2k802n639978:f>;0>002n6373b8:f>;>8o02n63ne18:f>;flh02n63lad8:f>;d0002n63l658:f>;d;o02n63l1`8:f>;fml02n63m0b8:f>;fn902n63m6c8:f>;e:102n63m718:f>;e;902n63m3d8:f>;e9;02n63m1d8:f>;dj;02n63l8e8:f>;d>102n63l428:f>;d9l02n63m7c8:f>;e=k02n63m628:f>;e=:02n63m5g8:f>;e;fm=02n63nbb8:f>;fjh02n63nce8:f>;fkk02n63nc88:f>;fk>02n63nc48:f>;fk:02n63nc08:f>;fjo02n63nb88:f>;fj>02n63;cd8:f>;d1>02n63l948:f>;d1902n63l4e8:f>;d;d:102n63l278:f>;d:802n63nf38:f>;fmj02n63lbb8:f>;djh02n63lb48:f>;d?;02n63l718:f>;d>k02n63lc28:f>;djl02n63l9d8:f>;d1002n63l788:f>;d?=02n63l558:f>;d;d:h02n63lc18:f>;dj>02n63l9c8:f>;d1;02n63l778:f>;d>m02n63l508:f>;d<102n63l2b8:f>;d::02n63n998:f>;f1?02n63na88:f>;fi>02n63na48:f>;fi:02n63na08:f>;f1o02n63n9e8:f>;f1k02n63n948:f>;f1:02n63<9e8b1>;40;02n6s|ce:94?5|5jo26339~wfb02908w0mj8;3ea>;6nh0:?>5214a956454z?`a2<6nl16=8o5120891ea289?70?ic;306>{tkm?1<7:t=bg5>4`b34;>47?<2:?7gc<6;<16=km51218yvec<3:18v3le482b`=:9<<1=><4=5ae>45134;mo7?<5:pga5=83>p1nk;:0df?87ak3;8863>558277=:9on1=><4}raf5?6=;r7hj<4>fd9>5cd=9:=01<8j:011?xudm90;6>u2cg295cc<58li6<=9;<35g?74:2wxoih50;1x9fca28ln70?ib;301>;6>h0:??5rsbff>5<4s4ini7?ie:?2bg<6;=16=;651208yvecl3:1?v3lee82b`=:9oh1=><4=045>4553tyhhn4?:2y>g`e=9oo016s|ce`94?5|5joi6339~wfbf2908w0mja;3ea>;6nh0:?;52172956453z?`a6<6nl16=ko51278943b28997p}ld383>6}:kl81=kk4=0db>45334;<<7?<1:p57`=838p1nmi:b`8945728oj7p};cc83>1}:kjl1>=>4=bag>76734ihi763le28b6>;dmh0j>63lec8b6>;dmj0j>63lee8b6>;dml0j>63leg8b6>;dn90j>63lf08b6>;dm=0j>63le48b6>;dm?0j>63le68b6>;dm10j>63le88b6>;6nj0:il5rs5a6>5<>7?ja:?7f=<6;=168o75124891db289=70:md;302>;3jo0:?;524b39560<5=hh6<=9;<6`4?74>27?n=4>379>0d0=9:<019l=:015?82fk3;8:63;ad8273=z{=i?6=49{<14a?478278;k4=019>7=6=:9:0199>:0gb?850?3;8>63<788277=z{=i86=4<{<65b?7bi278;:4>359>72?=9:>0q~:l6;292~;4m:0:il524459563<5=<>6<=8;<65g?74?27?:o4>349>03c=9:>0q~=j4;29e~;4m=0:jh5246`9e7=:<>i1m?5246f9e7=:<>o1m?5246d9e7=:<1:1m?524939e7=:<181m?523d3956353z?0fc<6nl16?n>5cc9>02d=kk1v>l7:18085d83;mi63;3?j0hn6s|3c;94?5|5:i:61oo5246d9gg=z{:hh6=4<{<1`0?7am278o84lb:?7<57}:;j<1=kk4=5:1>fdj?7>52z?7=6e`9~w1ge2909w0:64;aa?82fk3;nm6s|4`f94?4|5=3i6nl4=5cf>4cf3ty?mk4?:3y>051dc8yv2e93:1>v3;9e8`f>;3j;0:il5rs5`0>5<5s4>2i7mm;<6a=?7bi2wx8o:50;0x91?a2jh019ln:0gb?xu3j<0;6?u24`29gg=:{t1<7fd<5=hn60d0=9lk0q~:n7;296~;31>0hn63;bg82ad=z{=k36=4={<6:h<7?ja:p0d?=838p1976:b`891e628oj7p};a`83>7}:<0k1oo524b095`g54z?03`e`9~w6232909w0=;1;;f?853=3;nm6s|35:94?4|5:>;6o;4=26;>4cf3ty88>4?:2y>717=1j16?9=51dc89622289:7p}<4883>7}:;:l1n85235;95`g7>52z?`a7e`9~w76f2909w0mj3;aa?87013;nm6s|21a94?4|5joj6nl4=05b>4cf3ty9g`d=kk16=:l51dc8yv4683:1>v3leb8`f>;6?j0:il5rs332>5<5s4inh7mm;<34`?7bi2wx><<50;0x9fcb2jh01<9j:0gb?xu59:0;6?u2cdd9gg=:9>l1=ho4}r020?6=:r7hj=4lb:?2<5<6mh1v??::1818ea93ii70?71;3fe>{t:9>1<7fd<58=96525=9lk0q~7582ad=z{;:<6=4={=838p1nk7:b`8941128oj7p}=0883>7}:kl31oo5216595`g55z?03d<6;;168;;51248961?28ln70=87;307>;4?00:?>5rs255>5<2s4970=89;301>{t:991<7fd<5:=:6724=9lk0q~h51g789=:g:8yv50;3:19=u2257957b<58?96l:4=052>d2<58?o6k64=07e>c><58<:6k64=040>c><58<>6k64=044>c><58<26k64=04a>c><58c><58?>6k64=074>c><58?26k64=07a>c><5:>:6nm4=262>767349?=7<>6:?005f69>716=9o201>:?:322?854n3li70=;4;o0:j55232d9657<50n96k64=8f7>c><50n>6k64=8f5>c><50n<6k64=8f;>c><50n26k64=8fb>c><50ni6k64=8f`>c><50n86k64=8;;>d2<50km6l:4=8;a>c><503o6k64=8;e>c><50k:6k64=8c0>c><50k>6k64=8c4>c><50k26k64=8ca>c><50326k64=`14>d2<5h>i6l:4=`62>c><5h936k64=521>d2<5=;26l:4=526>c><5=:<6k64=52:>c><5=:i6k64=52g>c><5=:m6k64=532>c><5=;86k64=536>c><5=:86k64=3db>456348m57?<1:?1b=<6;816>k95123897`1289:70;5n=0:?<5238f93g=:;0n1;n5238f93a=:;0n1;h52cg19567<5jl>6<=>;|q22c<72:q6=8<52048941628>970?80;3fe>{t9<81<7=t=071>4cf34;>h7o;;<34=?g33ty:9i4?:2y>50b=9lk01<;i:`68941f2h>0q~?:f;297~;6=o0:il521739e1=:9>h1m95rs042>5<4s4;==7?ja:?226<0;6>u217795`g<58<<6l:4=05f>d253z?222<6mh16=;75a59>52`=i=1v<86:18087113;nm63>6c8b0>;6090j86s|17`94?5|5801<9=:`68yv72;3:1?v3>5282ad=:96=4<{<361?7bi27:9:4n4:?2315883>6}:9<31=ho4=07a>d2<58==6l:4}r36f?6=:r7:9o4>e`9>521=i=1v>9m:1824~;4?l0:jh523609565<5=hm6<=;;<6ag?74<279

339>727=9:;019l6:016?82em3;8963;be8270=:;4=5a3>45234>i<7?<5:?7e3<6;<168o<5127891gd289?70:ne;301>{t;>i1<7??{<14b?7am27?n54>329>724=9:8019lk:017?82d93;8863;b18271=::4=32f>45434>i57?<4:?7f`<6;=168oh5127891dd289>70:l0;300>;3j;0:?9524`a9563<5=kn6<=;;|q03a<72lq6?5>51gg891d>289870:l0;307>;3j;0:?>524`a9565<5=kn6<=<;<03a?74<27?nh4>329>0gb=9:9019li:010?82d93;8?63;bb8276=:=4=5c5>4543ty?h<4?:3y>113=9lk01h>51238yv2c03:1>v3:0082ad=:m>0:?<5rs5f:>5<5s4?;m7?ja:?f?4}r6gg?6=:r7>>;4>e`9>ag<6;81v9jk:18183493;nm63jc;305>{t4cf34oo6<=>;|q7`c<72;q699=51dc89`c=9:;0q~:j0;296~;27}:=??1=ho4=d19567o97>52z?7ad<6mh16i94>309~w1b12909w0:jf;3fe>;b=3;8=6s|4e594?4|5=l364563ty?i<4?:2;x9ge128oj70:jb;306>;3ml0:??524d;9564<5<<>6<==;<76`?74:27?j54>339>116=9:8018:<:011?83313;8>63:4c8272=:=:?1=>;4=415>45334?847?<4:?67d<6;<169>l51268904f289>70;=b;300>;2:m0:?95253d9563<5<9;6<=;;<72b?74=27>>=4>359>174=9:>018<;:016?835=3;8863:268270=:=;21=>:4=411>45334?887?<5:?67a<6;=169<;512789071289?70;>8;300>;29h0:?85250`9562<5<:h6<=:;<73`?74<27>359>147=9:?018?=:017?837;3;8963:058271=:=9<1=>:4=42;>45234?;57?<4:?7bd<6;<168kl5126891`c289?70:if;301>;2890:?95255g9562<5<><6<=9;<760?74?27>984>359>107=9:<018;=:016?xu>1:0;6nu2bcg95`g<5<<36<=;;<752?74>27>:=4>359>10>=9:>019h<:017?831j3;8963:688270=:=>;1=><4=44e>45134?8;7?<6:?624<6;;1v47;:18`8den3;nm63:698276=:=?<1=>;4=443>45434?>47?<3:?7b6<6;:169;l51268900>289?70;81;302>;2>o0:??525259563<5<<:6<=<;|q:=0<72oq6nn>51dc8900b289870;96;300>;2>:0:?9525769563<5359>0c1=9:?0188m:011?83113;8:63:708271=:=?l1=>:4=414>45534?==7?<5:p=<0=83lp1om>:0gb?831m3;8863:678276=:=?91=>=4=447>45134?>n7?<3:?61f<6;?168k85121891`0289=70;9b;302>;2>00:??525639563<5<:<4>379~w;2>10:??5257g9564<5<<=6<==;<75f?74;27>:44>329>127=9:90188i:010?834?3;8863:608271=z{0:m6=4>4z?;`f<6;:164h;512089dc5289?70okc;300>;>8l0:?>5252:9565<5<8o6<=<;<716?74;27>??4>329>14>=9:9018>i:010?837>3;8?63;fe8276=::4=5g;>45334??>7?<5:?60d<6;;165=h51gg89<77289>70oj7;306>{t0:i1<7?>{<:3=?74;273=?4>339><6d=9:9018=m:010?83483;8?63:248276=:=:n1=>=4=43a>45434?:>7?<3:?64<<6;:169=>512189005289?70;:a;300>;3n<0:?95282a95cc<5ho=6<=<;<:0`?74=2wx;;750;32814>3;8?6383g8277=:??21=>=4=415>45434?9n7?<3:?665<6;:169?6512189071289870;?d;307>;28=0:?>524g`9565<5<9m6<=;;<77a?74=27>8n4>379>33?=9oo01lk9:011?811i3;886s|68494?75s4<=?7?<3:?52f<6;;16mh85126893?2289870;;2:o0:?>525369565<5<9?6<=<;<72e?74;27>=<4>329>15>=9:9019hi:010?832n3;8863:568271=::4=464>45234<2:7?ie:?5=2<6;<1v8m>:18e83?m3;8?63:968277=:=j:1=>=4=416>45434?9m7?<3:?65c<6;:169?9512189072289870;?c;307>;28:0:?>524gc9565<5<>?6<=:;<7`5?7am27ji84>329>1f4=9:>0q~:j2;2954}:>74=d6966?<5l91>>74=d0966?<5l;1>>74=dd966?<5lo1>>74=df966?<5li1>>74=d`966?<5lk1>>74=d;966?<5l21>>74=d5966?<5l:1>>74}r150?6==r78:<4>349>701=9:?01>;k:017?851?3;mi63<698277=z{:<86=49{<152?7am278944>339>737=9:>01>;8:011?852l3;8?63<698276=z{:<96=48{<151?7am278944>329>737=9:901>;8:010?852l3;8>63<698271=:;<21=>?4}r16f?6=:r78:;4lb:?01<<6mh1v>;n:181851=3ii70=:8;3fe>{t;<<1<7=t=246>767349=:786:180<~;4>m09<=5237g9656<5:236?>?;<1;=?478278h:4=019>7a>=:9:01>j6:323?85ci38;<63=>4=2fg>767349oi75212896e62;:;70=l2;034>;4k:09<=523b69656<5:i>6?>?;<1`2?478278i94=019>7g2=:9:01>l::323?85e>38;<63=>4=5;7>76734>2n7;3i909<=524`39656<5=k96?>?;<6:1?47827?5;4=019>0<1=:9:01977:323?82>138;<63;9`8145=:<>h1>=>4=55`>76734>72;:;70:71;034>;30;09<=5243a9656<5=<36?>?;<66f?47827?954=019>003=:9:019;=:323?851i3;nm6s|34694?4|5:4cf3ty8:=4?:3y>737=9lk01>;i:011?xu4=j0;6>u234a95cc<5:?o6<=9;3k970=91;302>;4=>0:?95234f9563<5:<36<=:;|q01`<72:q6?8k51gg8963a289870mi5;00=>{t;?i1<74`b349>97?<2:p73d=839p1>8k:0df?851m3ii70=:5;307>{t=1n1<76t=4:f>4cf34>nj7?<6:?7ad<6;?169;>51248903?289=70:i3;302>;251dy>1`6=9oo01896:016?830i3;8963:7c8270=:=>i1=>;4=4:4>45434?347?<3:?6<<<6;:1695o5121890>2289870;76;307>;20:0:?>525969565<5<2i6<=<;<7;g?74;27>;84>329>121=9:901899:017?83003;8863:7e8271=:=>o1=>:4=45e>45334?3<7?<4:?6<4<6;=1695<512689013289?70;65;301>;21:0:?>526549563<5h1=>:4=45`>45334?3;7?<5:?6<=<6;<169575127890>f289>70;75;300>;20?0:?9525919562<5<2?6<=;;<7;f?74<27>4n4>359>123=9:>01898:017?830<3;8?63:948271=:=091=>84=450>455341v8m<:182b~;2ll0:jh5256;9565<5<=j6<=<;<74f?74;27>;n4>329>1=1=9:>01867:017?83?13;8863:8`8271=:=1?1=>;4=4:5>45234?3?7?<5:?6<1<6;<1695l5127890>d289>70;85;301>;2?>0:?8525649565<5<=36<=<;<74`?74;27>;h4>329>12`=9:90186?:010?83?93;8?63:838276=:=>>1=><4=4;0>45234?7}:=l:1oo5256695`g52z?6`c;>4>e`9~w0>a2909w0;ke;aa?830:3;nm6s|58:94?2|5d4<54cf3ty>5;4?:0;x934e2h801;4?|5d4<5?:<6l<4=72`>d4<5?:o6l<4=72f>d4<5?:m6l<4=733>d4<5?;:6l<4=731>d4<5d4<5d4<5?::6l<4=721>d4<5?:86l<4=727>d4<5?:>6l<4=725>d4<5?:36l<4=72:>d4<5?:j6l<4=72a>d4<5<3>6;e<80:?:525b09561<5?3<6<=8;<55e?74?273?i4>369>=46=9:=01o=>:014?8d4n3;8;63m208272=:j;=1=>94=c56>45034h:?7?<7:?a3f<6;>16mh951258yv3d>3:1>v3:fc8`f>;2??0:il5rs4f2>5<5s4?mo7mm;<740;6?u261a9gg=:=>o1=ho4}r7g{t=mk1<7fd<5<2:65cc9>1=4=9lk0q~;kc;296~;1980hn63:8282ad=z{228oj7p}:c983>7}:=oo1oo5259495`g52z?6bc4:4>e`9~w0ef2909w08?0;aa?83?03;nm6s|5b`94?4|5?::6nl4=4::>4cf3ty>on4?:3y>254=kk1695o51dc8yv3dl3:1>v39028`f>;20k0:il5rs4af>5<5s4<;87mm;<7;g?7bi2wx9nh50;0x93622jh0189::0gb?xu2l90;6?u26149gg=:=>=1=ho4}r7g6?6=:r7=<54lb:?63<<6mh1v8j<:18180713ii70;8a;3fe>{t=m>1<7fd<5<=i612e=9lk0q~;62;290~;2ll09<=525ed9656<5?;<7:7?7bi2wx:<=50;0x934e28ln70;=7;302>{t>8o1<74`b34>mm7?<6:p275=838p1;=8:0df?837;3;8:6s|63694?4|5?9h62wx:?;50;0x935c28ln70;>5;302>{t>;<1<74`b34?:j7?<6:p271=838p1;=i:0df?835i3;8:6s|63:94?4|5?>;62wx:?750;0x932628ln70;;4;307>{t>;k1<74`b34??n7?<5:p242=838p1;{t>8=1<74`b34>nm7?<7:p24>=838p1;=>:0df?82bn3;8;6s|60;94?4|5?996{t>8h1<74`b34>mm7?<7:p24e=838p1;=::0df?837;3;8;6s|60f94?4|5?9=65;303>{t>;:1<74`b34?:j7?<7:p277=838p1;=n:0df?835i3;8;6s|63094?4|5?9i63;8>6s|5dg94?5|58:0df?804?3ii70;8d;306>{t=o>1<7=t=72`>4`b34<8o7mm;<74a?74:2wx9k;50;1x936c28ln7086s|5g494?5|5?:n6i:0df?804n3ii70;71;306>{t=o21<7=t=733>4`b346s|5gc94?5|5?;96{t=l?1<7=t=4df>4`b34<9i7mm;<7;2?74:2wx9h850;1x90`a28ln708=f;aa?83??3;8>6s|5d594?5|5?:;6=839p1;>>:0df?80493ii70;79;306>{t=l31<7=t=721>4`b34<8>7mm;<7;e?74:2wx9ho50;1x936428ln708<3;aa?83?j3;8>6s|5d`94?5|5?:?6::0df?804=3ii70;85;306>{t=ln1<7=t=725>4`b34<8:7mm;<743?74:2wx9hh50;1x936?28ln708<8;aa?83013;8>6s|5g294?5|5?:26n:0df?804i3ii70;8b;306>{t=o81<7=t=72a>4`b34<8n7mm;<74g?74:2wx9oh50;ax90>b289970;mf;3ea>;2;<0:??5253c9564<5<;m6<==;<713?74:27>=84>339>15e=9:8018><:011?82ai3;8>63:458271=:=j81=>=4}r471?6==r7>584>329>1<5=9:>01876:011?803=3;mi639478277=z{nh4>329>1gd=9oo0q~;m4;296~;2j>0:jh525cc956554z?6f6<6;:169ok5127890d>28ln70;mc;307>{t=0h1<79t=45:>45134?3;7?<6:?6<6<6;?1695l512489011289>70;8f;301>;21l0:jh5rs4;b>5<5s4?2o7?ie:?6=c<6;=1v8k>:18083>?3;8?63:e082b`=:=j81=><4}r7a6?6=:r7>n?4>fd9>210=9:=0q~;md;292~;21<0:??525819564<5<326<=<;<7a`?7am27=8;4>359>1`4=9:80q~;nd;293~;2?j0:?;5259c9560<5<2=6<=9;<743?74>27>;h4>349>1=4=9:?018l?:0df?xu2ij0;6?u25`g95cc<5>289=70;75;302>;2?<0:?;525`c95cc54z?63a<6;<1695?5127890g?28ln70;nb;300>{t=h;1<79t=45b>45134?347?<6:?6<1<6;?1695m51248901?289>70;70;301>;2i=0:jh5rs4c3>5<5s4?j>7?ie:?6e0<6;=1v;8=:186801;3;nm63;eb8272=:94=462>45134?>87?<5:p2;1329>20b=9:901;;j:010?802n3;8?6395`8276=:>=4=77;>45434<>57?<3:?525<6;:16:;?51218932f2898708;c;307>;1359>203=9:>01;;9:017?802?3;88639488271=:>?k1=>;4=74;>45434=:57?<5:?5f2<6;:1v;76:1823~;1j=0:jh5265g9562<5?>m6<=;;<464?74<27=9<4>359>20e=9:?01;;k:016?802m3;896395g8270=:>:4=77a>45334<>47?<4:?51<<6;=16:;>512689306289?708;a;300>;127=854>339>34?=9:<01;l8:014?xu1110;64`b345121893362898708:c;300>;1=m0:?95264g9562<5??m6<=;;<46e?74=27=9o4>349>20>=9:?01;;6:016?80183;89639608270=:>=k1=>;4=76`>45234;1=<0:?>526449565<5??<6<=<;<47=?74:27=:54>349>21>=9:901:?6:010?80e?3;8:639468274=z{?<=6=4={<4a1?ee347}:>k91oo5265595`g54z?5f6e`9~w30e290:5v38018b6>;0880j>6380b8b6>;0980j>638138b6>;09:0j>638158b6>;09<0j>638178b6>;09>0j>638038b6>;08:0j>638058b6>;08<0j>638078b6>;08>0j>638098b6>;0800j>6380`8b6>;08k0j>6380e8b6>;08l0j>6380g8b6>;0990j>6396b82ad=z{?<26=4>9z?5`54n2:?5a14n2:?5`1{t>h<1<7fd<5?>o6204=9lk0q~8nc;296~;1m80hn6395282ad=z{?ko6=4={<4f6?ee34<>87?ja:p2dc=838p1;k<:b`8933228oj7p}9ag83>7}:>l>1oo5264495`g52z?5a0e`9~w3d62909w08j6;aa?80203;nm6s|6c094?4|5?o<6nl4=77:>4cf3ty=5n4?:3y>2a4=kk16:8o51dc8yv0>l3:1>v39d28`f>;1=k0:il5rs7;f>5<5s4{t>h91<7fd<5?<:621g=9lk0q~8n5;296~;1lk0hn6394b82ad=z{?k<6=4={<4g`?ee34=838p1;jj:b`8932a28oj7p}9a883>7}:>ml1oo5264295`g52z?5a5e`9~w300290?w08m3;034>;1j=09<=526c79656<5?<3651gg89053289=7p}9f283>7}:?9;1=kk4=5de>4513ty=j54?:3y>35e=9oo018>7:015?xu1n00;6?u270395cc<5<;:6<=9;|q5bd<72;q6;<<51gg8907f289=7p}9fc83>7}:?891=kk4=407>4513ty=jn4?:3y>342=9oo0187}:?8=1=kk4=464>4543ty=i44?:3y>354=9oo018;;:015?xu1mh0;6?u271195cc<57}:?9?1=kk4=5g4>4513ty=ii4?:3y>350=9oo019kl:015?xu1ml0;6?u271595cc<5=l96<==;|q5ac<72;q6;=651gg89053289<7p}9f183>7}:?931=kk4=5de>4503ty=j<4?:3y>35g=9oo018>7:014?xu1n;0;6?u271`95cc<5<;:6<=8;|q5b1<72;q6;=j51gg8907f289<7p}9f483>7}:?9o1=kk4=407>4503ty=j;4?:3y>35`=9oo0180;6?u270295cc<5<9j6<=8;|q5f=<72:q6:i>51gg892672jh01;:m:011?xu1k:0;6>u26e395cc<5>::6nl4=76g>4553ty=o54?:2y>2ae=9oo01:>l:b`8933528997p}9c883>6}:>l;1=kk4=632>fd<5??86<==;|q5gd<72:q6:h<51gg892752jh01;;;:011?xu1kk0;6>u26d195cc<5>;86nl4=776>4553ty=on4?:2y>2`2=9oo01:?;:b`8933128997p}9ce83>6}:>l?1=kk4=636>fd<5??<6<==;|q5g`<72:q6:h851gg892712jh01;;7:011?xu1ko0;6>u26d595cc<5>;<6nl4=77:>4553ty=n44?:2y>2a4=9oo01:>=:b`8933f28997p}9b`83>6}:>m91=kk4=620>fd<5??i6<==;|q5fg<72:q6:i:51gg892632jh01;;l:011?xu1jj0;6>u26e795cc<5>:>6nl4=77g>4553ty=ni4?:2y>2a0=9oo01:>9:b`8933b28997p}9bd83>6}:>m=1=kk4=624>fd<5??m6<==;|q5fc<72:q6:i651gg8926?2jh01;8?:011?xu1k90;6>u26e;95cc<5>:26nl4=742>4553ty=o<4?:2y>2ag=9oo01:>n:b`8932f28997p}9c383>6}:>mh1=kk4=62a>fd<5?>h6<==;|q5g1<72:q6:ij51gg8926c2jh01;:j:011?xu1k<0;6>u26eg95cc<5>:n6nl4=76e>4553ty=o;4?:2y>2a`=9oo01:>i:b`8933728997p}9c683>6}:>l:1=kk4=633>fd<5??:6<==;|q5=1<72oq6:;=5120893?328ln70;;2:o0:??525369564<5<9?6<==;<72e?74:27>=<4>339>15>=9:8019hi:011?832n3;8?63:568276=:=4=464>45334<2;7?<3:p34>=83?p1;8n:010?80103;886396d8277=:?821=kk4=63:>4553ty=4o4?:2y>2=>=9:?01;7<:010?80>83;mi6s|69;94?4|5?2h6?289870863;301>;10l0:jh52683956557z?50`<6;?16:8m51248933?289=70890;302>;16}:>?i1=>=4=7`5>4`b34<2;7?<2:p2=1=838p1;68:0df?81613;8;6s|68094?0|5?329>2<4=9oo01:?6:017?80e?3;8>6s|69094?1|5??:6<=9;<46b?74>27=9o4>379>21e=9:<01;;<:016?802?3;896398482b`=z{?2:6=4={<4;7?7am27=4;4>359~w31d290>w08:0;302>;1=l0:?;5264c9560<5?>j6<=9;<44b?7am2wx::l50;6x9335289>708:6;301>;1?m0:jh52692956257z?50c<6;?16:8j51248933>289=70891;302>;13}:?:<1=ho4=5g`>45334>n;7?<4:?626<6;?1698l5124891`1289=7p}86e83>4c|5>236?4>349>375=9:?01:<;:016?815n3;8?638318276=:?:;1=>=4=611>45434=9h7?<3:?46`<6;:16;?l51218924d2898709<3;307>;0;=0:?>5270f9565<5>;m6<=<;<52a?74<27<>=4>359>373=9:>01:<9:017?815?3;88638298271=:?;31=>:4=60b>45334=:o7?<4:?47a<6;<16;>l5121892cd289>7097a;307>{t??i1<7?8{<5;3?7am27<><4>359>374=9:>01:<<:017?815<3;886382g8270=:?::1=>;4=612>45234=8>7?<5:?46a<6;=16;?k51268924e289?709=c;300>;0;:0:?9527269562<5>;o6<=;;<52b?74<27<=n4>329>36b=9:>01:=m:015?816j3;8>638eb8273=:?1k1=>94}r55f?6=9oq6;5851gg892462898709=2;307>;0::0:?>527369565<5>8m6<=;;<504?74<27359>364=9:>01:;4=610>45234=887?<5:?45a<6;<16;;0:<0:?>527349565<5>8<6<=<;<5144>329>37g=9:901:?l:011?814j3;896381c8276=:?li1=>=4=6:b>45134=:m7?<1:p36?=838p1:67:b`8927d28oj7p}83983>7}:?1=1oo5270`95`g52z?4<3e`9~w227290?w0976;c1?81??3k970978;c1?81393;nm6s|72g94?7>s4=o?7o=;<5g0?g534=oj7o=;<5f0?g534=n97o=;<5f2?g534=n;7o=;<5f7o=;<5f7?g534=8j7?ja:p36e=83;2w09n3;c1?81f<3k9709nf;c1?81e<3k9709m5;c1?81e>3k9709m7;c1?81e03k9709m9;c1?81ei3k9709n5;c1?81f>3k9709n7;c1?81f03k9709n9;c1?81fi3k9709nb;c1?81fk3k9709nd;c1?81fm3k9709m0;c1?81e93k9709m2;c1?81e;3k9709{t??o1<7fd<5>;n6376=9lk0q~98e;296~;0io0hn6382482ad=z{>=m6=4={<5a0?ee34=9:7?ja:p3=6=838p1:l::b`8924028oj7p}88083>7}:?k<1oo5273:95`g7>52z?4f244>e`9~w2>42909w09m8;aa?815i3;nm6s|79694?4|5>h26nl4=60a>4cf3ty<484?:3y>3gg=kk16;?m51dc8yv11n3:1>v38a48`f>;0:m0:il5rs653>5<5s4=j:7mm;<51a?7bi2wx;:?50;0x92g02jh01:{t?>?1<7fd<5>986362=9lk0q~987;296~;0im0hn6381e82ad=z{>=36=4={<5ba?ee34=:j7?ja:p32g=838p1:l?:b`8924628oj7p}87c83>7}:?k;1oo5273095`g52z?4f7>4>e`9~w21c2909w09m3;aa?815<3;nm6s|72c94?2|5>2=6?>?;<5;3?47827<454=019>36d=9lk0q~9mb;296~;0l:0:jh5253:956352z?4`1<6nl168kl51278yv1dj3:1>v38dg82b`=:=9>1=>;4}r5`g?6=:r7fd9>15b=9:?0q~9ld;296~;0m<0:jh52504956352z?4a3<6nl169?>51278yv1dn3:1>v38e682b`=:=;h1=>;4}r5g4?6=:r7fd9>160=9:?0q~9k1;296~;0m00:jh5252d95647>52z?4ad<6nl1699m51268yv1ek3:1>v38d482b`=:==o1=>=4}r5a`?6=:r7fd9>10d=9:=0q~9me;296~;0l>0:jh52571956152z?4`=<6nl168h951278yv1d83:1>v38d882b`=:;4}r5`5?6=:r7fd9>0c0=9:=0q~9l2;296~;0lk0:jh5253:956052z?4`f<6nl168kl51248yv1d<3:1>v38de82b`=:=9>1=>84}r5`1?6=:r7fd9>15b=9:<0q~9l7;296~;0m90:jh52504956052z?4a4<6nl169?>51248yv1d13:1>v38e382b`=:=;h1=>84}r5`e?6=:r74>fd9>160=9:<0q~97b;297~;0i:0:jh527e19gg=:?8o1=><4}r5:2?6=;r7fd9>3a2=kk16;?>51208yv1>j3:1?v38ag82b`=:?ml1oo52737956453z?4f1<6nl16;h:5cc9>370=9:80q~96d;297~;0j<0:jh527d79gg=:?;=1=><4}r5:a?6=;r7fd9>3`0=kk16;?651208yv1>n3:1?v38b682b`=:?l=1oo5273;956453z?4f=<6nl16;h65cc9>37g=9:80q~9n1;297~;0j00:jh527d;9gg=:?;h1=><4}r5b6?6=;r7fd9>3`g=kk16;?m51208yv1?k3:1?v38a482b`=:?m?1oo5273f956453z?4e3<6nl16;i85cc9>37c=9:80q~97e;297~;0i>0:jh527e59gg=:?;l1=><4}r5;b?6=;r7fd9>3a>=kk16;>>51208yv1>83:1?v38a882b`=:?m31oo52723956453z?4ed<6nl16;io5cc9>364=9:80q~962;297~;0ik0:jh527e`9gg=:?:91=><4}r5:7?6=;r7fd9>3ae=kk16;>:51208yv1><3:1?v38ae82b`=:?mn1oo5270f956453z?4e`<6nl16;ik5cc9>34`=9:80q~967;297~;0j90:jh527d29gg=:?;;1=><4}r5:fd9>3`7=kk16;?<51208yv1>13:1?v38b382b`=:?l81oo52731956453z?4f6<6nl16;h=5cc9>372=9:80q~997;29a~;0;?0:??5277595cc<5<9=6<==;<71f?74:27>>=4>339>17>=9:8018?9:011?837l3;8>63:058277=:<4=41e>45434??i7?<6:?60f<6;<16;;o51218yv1bj3:19v383e8276=:?:h1=>:4=662>45534=nn7?ie:?4af<6;;1v:;j:180812j3;89638678276=:??91=kk4}r56g?6=:r7<9k4>fd9>334=9:90q~9:d;290~;0=k0:?>527749563<5><:6;0:k0:?;527219560<5>;n6<=:;<513?74=27<8;4>fd9~w2252909w09;4;3ea>;0<>0:?95rs6::>5<4s4=8j7?<3:?4<<<6nl16;;o51208yv12i3:1>v385`82b`=:?li1=>94}r551?6=>r7339>36d=9:801::>:010?811=3;mi638eb8271=:?1k1=><4}r561?6=?r7<>94>379>364=9:<01:;4=67;>4`b3ty<994?:3y>300=9oo01:;6:017?xu09:6<=9;<51`?74>27<=i4>379>304=9oo0q~9;e;290~;0:<0:?85273;9563<5>?;6;0:j0:?;527269560<5>8;6<=:;<51fd9~w22?2909w09;a;3ea>;05<1s42;57?ja:?7a`<6;:168h7512189026289870;;9;301>;2=;0:?95rs963>5<6mr739o4>fd9>3c2=9:?01:h::016?81a>3;89638f68270=:0981=>=4=920>454342;87?<3:?;40<6;:164=>512189=662898709ie;307>;0no0:?>528149565<51:<6<=<;<5e4?74;27329>3c7=9:>01:h<:017?81a03;88638f88271=:?ok1=>:4=6da>45334=mo7?<4:?4ba<6;=16;hh512689=77289>706?e;307>;?jo0:?85284f9565516y><0g=9oo01:h;:017?81a=3;88638f78271=:?o=1=>:4=921>452342;?7?<5:?;41<6;<164=;512789=67289?706?1;300>;0nl0:?9527gd9562<51:=6<=;;<:33?74<27359>3c4=9:>01:ki:010?8>683;886370d8273=:?lo1=><4=9`e>451342>h7?<7:p<6c=83;mw06:9;3ea>;0n=0:?>527g79565<5>l=6<=<;<5e3?74;273359><55=9:>015>;:017?8>7=3;88637018270=:09;1=>;4=6df>45234=mj7?<5:?;43<6;<164=95127892`7289>709i2;301>;0n80:?>527g19565<5>l36<=<;<5e=?74;27329>3cd=9:901:hl:010?81al3;8?638eg8277=:09o1=>;4=6gf>454342ij7?<3:?;1a<6;?16;hj51238yv>7k3:1>v375c8`f>;0mo0:il5rs92a>5<5s42>m7mm;<5fa?7bi2wx4=o50;0x9=3>2jh01:kk:0gb?xu?9:0;69u284;9e7=:01=ho4}r:25?6=90q64l85a39>5a39>7n3:1=4u28649e7=:0>=1m?528909e7=:01=1m?5289:9e7=:0131m?5289c9e7=:01h1m?5289a9e7=:01n1m?5286:9e7=:0>31m?5286c9e7=:0>h1m?5286a9e7=:0>n1m?5286g9e7=:0>l1m?528929e7=:01;1m?528919e7=:01>1m?528979e7=:01<1m?5280295`g52z?;33e`9~w=2d2909w0687;aa?81a;3;nm6s|84394?4|51296nl4=6d;>4cf3ty39?4?:3y><=1=kk16;k751dc8yv>2;3:1>v37898`f>;0nh0:il5rs977>5<5s42357mm;<5ef?7bi2wx48;50;0x9=>f2jh01:hl:0gb?xu?=?0;6?u289`9gg=:?on1=ho4}r:63?6=:r734n4lb:?4b`<6mh1v5;7:1818>?l3ii709if;3fe>{t0=81<7fd<51:;6<57=9lk0q~6;4;296~;??h0hn6370382ad=z{1>>6=4={<:4f?ee342;?7?ja:p<10=838p159l:b`89=6328oj7p}74683>7}:0>n1oo5281795`g52z?;3`e`9~w=2>2909w068f;aa?8>7?3;nm6s|85c94?4|512;6nl4=6d3>4cf3ty38o4?:3y><=7=kk16;k<51dc8yv>3l3:1>v37828`f>;0n=0:il5rs96f>5<5s42387mm;<5e1?7bi2wx49h50;0x9=>22jh01:h9:0gb?xu?=90;6?u28949gg=:?o=1=ho4}r:3`?6=<0g=:9:015;m:323?8>7m3;nm6s|89g94?4|51k=6{t00o1<74`b34?;57?<5:p<<`=838p15l8:0df?836:3;896s|8`294?4|51h3628ln70;=5;301>{t0h81<74`b34?8<7?<5:p{t01l1<74`b34?>>7?<3:p<<6=838p15o6:0df?832i3;8>6s|88394?4|51kj6{t0091<74`b34>ni7?<4:p<<2=838p15ok:0df?82a=3;8>6s|88794?4|51kn62wx44850;0x9=ga28ln70;?0;302>{t00=1<74`b34?;57?<6:p<<>=838p15l>:0df?836:3;8:6s|88c94?4|51h862wx44l50;0x9=d328ln70;=5;302>{t00i1<74`b34?8<7?<6:p<f?3ii709i3;306>{t0?o1<7=t=9:1>4`b342i>7mm;<5e028ln706m7;aa?81a13;8>6s|86294?5|51236e13ii709ib;306>{t0>81<7=t=9:b>4`b342im7mm;<5eg?74:2wx4:=50;1x9=>e28ln706mb;aa?81al3;8>6s|86694?5|512h6el3ii709if;306>{t04`b342j47mm;<:34?74:2wx4;>50;1x9=1>28ln706n9;aa?8>793;8>6s|87394?5|51=j67?<2:p<34=839p159m:0df?8>fj3ii706?3;306>{t0?91<7=t=95`>4`b342jo7mm;<:30?74:2wx4;:50;1x9=1c28ln706nd;aa?8>7=3;8>6s|87794?5|51=n6fn3ii706?7;306>{t0?=1<7=t=9:3>4`b342i<7mm;<5e4?74:2wx4;650;1x9=>628ln706m1;aa?81a:3;8>6s|87c94?5|51286e<3ii709i5;306>{t0?i1<7=t=9:6>4`b342i97mm;<5e2?74:2wx4;j50;1x9=>128ln706m6;aa?81a?3;8>6s|82c94?c|51:26<==;<:0e?7am27>?o4>339>166=9:8018<::011?834l3;8>63:1c8277=:=881=><4=42:>45534?;<7?<2:?627<6;:1698o5121891`22898706{t0ko1<7;t=933>454342;i7?<4:?;51<6;;164ok51gg89=da28997p}73083>6}:0;o1=>;4=91:>4543428:7?ie:p<7`=838p15==:0df?8>4=3;8?6s|82294?2|518n6<=<;<:0=?74=273?94>fd9><61=9:90q~6>6;293~;0n=0:?;528109560<5>ln6<=9;<:32?74>27349>3cg=9:?015?6:0df?xu?9<0;6?u280595cc<51;j6<=;;|q;1f<72:q64<<512189=3d28ln706{t0;n1<74`b342ij7?<7:p<6>=837m3;8>637158276=:0:21=kk4=9`e>453342>h7?<2:p<7>=83=p1:h8:015?8>7=3;8:637008273=:?o81=>84=6d:>45234=mh7?<5:?;6g<6nl1v5<8:1818>513;mi6372b8271=z{1896=4:{<5e2?74>273<94>379><56=9:<01:h?:015?8>5=3;mi6s|83394?2|5>l36<=:;<5eg?74=273>>4>fd9><70=9:>0q~6>c;293~;0n<0:?;528119560<5>lm6<=9;<:33?74>274>349>3cd=9:?015?i:0df?xu?9k0;6?u280f95cc<518;6<=;;|q;`g<72;3n>0:?>52543956251dy>=7c=9oo015m8:016?8>d03;89637c88270=:0jk1=>;4=9f6>454342o:7?<3:?;`2<6;:164i6512189=b42898706k4;307>;?l80:?>528e09565<51n26<=<;<:ge?74;273o>4>329>d>3;88637cc8271=:0ji1=>:4=9ag>453342hi7?<4:?;gc<6;=164i>512689=e5289?706j3;301>;?m80:?>529809563<509;6<=<;|q:57<728=p14d?3;88637c98271=:0j31=>:4=9ab>453342o97?<5:?;`3<6;<164i9512789=b?289>706k3;300>;?l=0:?9528e39562<51n96<=;;<:g=?74<273hl4>359>015m::017?8>d:3;8?637e28271=:0l;1=>84=9a2>4553432>7?<6:?:75<6;>1v4?>:182b~;>:j0:jh528b59565<51i36<=<;<:`=?74;273ol4>329>015j9:017?8>c?3;88637d98271=:0m91=>;4=9f7>452342o=7?<5:?;`7<6;<164i7512789=bf289>706l3;301>;?k<0:?8528b69565<51i=6<=<;<:`f?74;273on4>329>dn3;8?637d18276=:0j81=><4=9g2>452342h=7?<3:?:=7<6;:165>>512489=e7289:7p}7dg83>7}:1;o1oo528b095`g52z?:6ae`9~w=bc2909w07=c;aa?8>d83;nm6s|8d494?2|508h6l<4=80g>d4<508n6l<4=9g4>4cf3ty3i94?:0;x9<1>2h80149n:`089<>22h80146n:`089<>e2h80146l:`089<>c2h80146j:`089<>a2h80147?:`089<1e2h80149l:`089<1c2h80149j:`089<1a2h80146?:`089<>62h80146=:`089<>42h80146;:`089<>12h801468:`089<>?2h801466:`089=c228oj7p}7e383>4?|50>26l<4=86b>d4<50?>6l<4=87b>d4<50?i6l<4=87`>d4<50?o6l<4=87f>d4<50?m6l<4=843>d4<50>i6l<4=86`>d4<50>o6l<4=86f>d4<50>m6l<4=873>d4<50?:6l<4=871>d4<50?86l<4=877>d4<50?=6l<4=874>d4<50?36l<4=87:>d4<51o86f;296~;>7}:152z?:1fe`9~w<4?2909w07:d;aa?8>dn3;nm6s|93;94?4|50?n6nl4=9f3>4cf3ty2>l4?:3y>=0`=kk164i?51dc8yv?5j3:1>v36618`f>;?l;0:il5rs836>5<5s43?n7mm;<:g7?7bi2wx5<850;0x9<2d2jh015j;:0gb?xu>9>0;6?u295f9gg=:0m?1=ho4}r;2{t18k1<7fd<51n36c;296~;>=;0hn637d`82ad=z{0;o6=4={<;67?ee342h?7?ja:p=4c=838p14;;:b`89=e228oj7p}62183>7}:1<<1oo528b595`g52z?:12e`9~w<452909w07:8;aa?8>d13;nm6s|93194?4|50?26nl4=9ab>4cf3ty3i=4?:5y>=7e=:9:014??4>349~w<0d2909w078a;3ea>;3nm0:?85rs852>5<5s43397?ie:?643<6;<1v49=:1818??i3;mi63:0g8270=z{0=86=4={<;;f?7am27>=54>349~w<132909w077c;3ea>;2:;0:?85rs856>5<5s433h7?ie:?66a<6;<1v499:1818??m3;mi63:398270=z{0=<6=4={<;;b?7am27>8?4>329~w<1?2909w0760;3ea>;25<5s43:94>359~w<022909w078e;3ea>;3m10:??5rs845>5<5s43??4>379~w<0>2909w0772;3ea>;3nm0:?;5rs84b>5<5s433?7?ie:?643<6;?1v48m:1818??<3;mi63:0g8273=z{0=54>379~w<0b2909w0777;3ea>;2:;0:?;5rs84e>5<5s43347?ie:?66a<6;?1v49?:1818??13;mi63:398273=z{09:6=4<{<;7=?7am272;44lb:?;g1<6;;1v4=l:1808?3i3;mi6367`8`f>;?k?0:??5rs862>5<4s43>97?ie:?:<0339~w<252908w07:a;3ea>;>0h0hn637cb8277=z{0>86=4<{<;6f?7am2724o4lb:?;ga<6;;1v4:;:1808?2k3;mi6368b8`f>;?kl0:??5rs866>5<4s43>h7?ie:?:339~w<212908w07:e;3ea>;>0l0hn637d18277=z{0><6=4<{<;6b?7am2724k4lb:?;`4<6;;1v4:7:1808?183;mi636918`f>;?l;0:??5rs811>5<4s43?n7?ie:?:3g4>339~w<542908w07;c;3ea>;>?j0hn637d58277=z{09?6=4<{<;7`?7am272;i4lb:?;`0<6;;1v4=::1808?3m3;mi6367d8`f>;?l?0:??5rs815>5<4s43?j7?ie:?:3c339~w<502908w07:0;3ea>;>090hn637d98277=z{0936=4<{<;65?7am2724<4lb:?;`<<6;;1v4=6:1808?2:3;mi636838`f>;?lh0:??5rs81b>5<4s43>?7?ie:?:<64>339~w<5e2908w07:4;3ea>;>0=0hn637c48277=z{09o6=4<{<;62?7am2724;4lb:?;g2<6;;1v4=j:1808?2?3;mi636868`f>;?k10:??5rs81e>5<4s43>47?ie:?:<=339~w<272908w07:9;3ea>;>000hn637c`8277=z{0:o6=4i{<:gg?74:272fd9>16>=9:801863:338277=:=821=><4=42e>45534?;:7?<2:?7ba<6;;168hj5121891c?289870;;2;300>;252902956555z?;a6<6;:164h?512689=c0289970761;3ea>;>1;0:??5rs827>5<4s43;=7?<5:?:4f<6;:165=751gg8yv?7:3:1>v360482b`=:1921=>=4}r;37?6=329>=5e=9:?014>8:0df?8?7i3;8?6s|8d;94?1|51i<6<=9;<:g1?74>273h<4>379>dl3;89637eb82b`=z{1o36=4={<:fe?7am273ii4>359~w<4a2908w06j5;307>;>:o0:jh52902956452z?:45<6nl1654<51258yv?7j3:1:v37e28277=:0l;1=><4=9g4>454343;n7?ie:?:=7<6;=165>>51208yv>aj3:1;v37c`8273=:0m21=>84=9f7>451342h97?<6:?;gf<6;<164i>512789=`b28ln7p}7f`83>7}:0oi1=kk4=9de>4533ty3j84?:4y>c;3;8:637c28273=:0o21=kk4}r:e0?6=349>a13;886s|8dd94?1|51i36<=9;<:g2?74>273h?4>379>dm3;89637f382b`=z{1on6=4={<:e4?7am273j>4>359~wf64290?w07l5;302>;d8=0:il52c1;9560<5jh36<=:;|qab=<72=q65n=512489g`>28oj70lie;302>;d1:0:?85rscgg>5<3s43h=7?<6:?aa`<6mh16nk=512489f0b289>7p}me383>1}:1kl1=>84=cg0>4cf34hn47?<6:?`0<<6;<1voj8:1878?el3;8:63md982ad=:jmn1=>84=b07>4523tyj<54?:2y>e5?=9lk01o?<:016?8d0k3;896s|9g794?b|5h:;6<=9;<;eb?74>272jh4>379>=cb=9:<014hl:015?8?aj3;8:636f`8273=:1o31=>84=8d;>451343m;7?<6:?b47<6;?16m=?512489<`128oj7p}m9283>1}:j0>1=ho4=cfg>45234h297?<7:?`5c<6;=1vo7m:1878d>k3;nm63me98270=:j0n1=>94=b67>4533tyim>4?:5y>fd2=9lk01oh<:016?8df=3;8;63l688271=z{kki6=4;{<`bg?7bi27ijh4>349>fdb=9:=01n6j:017?xuej:0;69u2bc695`g<5j:26<=:;<`a1?74?27hn>4>359~wg>5290ow0l76;3fe>;e0h0:?852b959563<5k236<=:;<`;=?74=27i4o4>349>f=e=9:?01o6k:016?8d?m3;8963m8g8270=:j0:1=>;4=c;2>45234h2>7?<5:pe6>=838p1l=7:0gb?8g793;8;6s|a5394?5|5h>:651dc89d262h>01l;::`68yvg3i3:1?v3n418153=:i<>1=9<4=`6b>4cf3tyj?k4?:2y>e6`=9lk01l:?:`689d332h>0q~o;9;297~;f;o09=;52a419514<5h>26k51dc89d5a2h>01l;<:`68yvg303:1?v3n3d8153=:i<81=9<4=`6;>4cf3tyj?i4?:2y>e6b=9lk01l=j:`689d352h>0q~o;7;297~;f;m09=;52a439514<5h><6m51dc89d5c2h>01l;>:`68yvg3>3:1?v3n3b8153=:i<:1=9<4=`65>4cf3tyj?o4?:2y>e6d=9lk01l=l:`689d372h>0q~o;5;297~;f;k09=;52a5d9514<5h>>6o51dc89d5e2h>01l:i:`68yvg3<3:1?v3n3`8153=:i=o1=9<4=`67>4cf3tyj?44?:2y>e6?=9lk01l=n:`689d2b2h>0q~o;3;297~;f;009=;52a5f9514<5h>8675f99>e7e=9lk0q~o<7;297~;f;>0:il52a2;9e1=:i=n1m95rs`61>5<4s4k8;7<>6:?b0g<6<;16m9<51dc8yvg5j3:1>v3n368e<>;f:k0:il5rsbc;>5<2s4h;:7?<2:?b6d<6;=16on=51gg89fe3289>70m?b;307>{tkh=1<7;t=c25>45434k9;7?<4:?`g5<6nl16o=l512089fe628997p}6c583>6}:1j?1=ho4=b2a>45134ih=7?<6:pgd0=83np14m::011?8d7=3;8?63n258271=:kk;1=>;4=b25>45234iii7?ie:?`fc<6;<16o=7512089gd2289970lm9;306>;ejh0:??52c1:9563<5j:96<=<;|q`e0<72lq65n;512189g62289?70o=1;300>;dj80:?952c159564<5j:=6<=9;fd9>ggb=9:?01n>6:010?8de=3;8?63mb88271=:jkk1=>:4=b2;>4513tyhm94?:by>=f3=9:>01o>::015?8g6m3;8863m068277=:kk;1=>=4=b26>45534i;>7?<2:?`fd<6nl16ool512789f6f289870m?8;300>;d8?0:?95rsbc0>5;dj10:??52c1:9564<5j:=6<=<;|q`e7<721q6n=8512689d7?289?70m?8;307>;d8?0:??52c139564<5jh>6339~wfg6290hw0m?4;307>;e8<0:?852a069562<5k:<6<=<;<`a2?74:27in54=179>gg7=9:801n>8:015?8e7=3;8:63l008270=:kk81=kk4=c`4>4553tyhm=4?:ey>f53=9:801l??:017?8d7?3;8863mb78276=:khl1=><4=b24>45434i;97?<3:?`44<6;=16olk51gg89f63289?70lm7;307>;ej00:?>52bcc956555z?a47<6;;16m?o512189f?b28ln70m6f;301>;d890:?>5rsb:1>5<2s4h;>7?<3:?b62<6;:16o4l51gg89f67289970m6c;306>{t1j81<7=t=8a0>4cf34i;<7?<6:?`=f<6;?1vn6>:18g8?d;3;8>63m008276=:i;>1=>=4=b:`>45234hmn7?<5:?`=<<6nl16o4o512789g`b289970lnd;306>;ej80:??52bc09564<5klo6<=:;<`e3?74;2wxo5>50;gx9;f:80:?>52c9a9562<5klh6<==;<`ef?74>27ij:4>359>g<1=9oo01n77:016?8dam3;8?63mae8276=:jk;1=>:4=c`1>45334hmh7?<6:pg2`=83ip14m<:017?8d793;8:63n1d8276=:j991=><4=b:`>45434hmm7?<2:?ab2<6;;16o4;51gg89f?1289>70lif;307>;enm0:?952bg`956258z?:g6<6;<16n=<512789d7e289870m62;3ea>;eno0:??52c819564<5klo6<==;<`ef?74;2wxo:j50;:x9g65289?70o>8;307>;enm0:?>52bg`9564<5kl=6<==;349>fc?=9:80q~m8c;29g~;en00:?>52b139563<5h;?6<=<;<`37?74;27imh4>339>fg6=:8<01n6l:011?8dak3;8:63mf`8273=:jo<1=>;4=b:g>4`b34hjj7?<2:pg2d=83np1o>>:011?8g683;8?63m028271=:jho1=>=4=b:b>45534hmo7?<3:?abd<6;:16nk8512689f>>28ln70li9;300>;eio0:?>52bc39565<5kh96<=<;|q`1`<72289?70m89;3ea>;d?h0:?852bg79565h7>55z?bb`<6;:16m?8512689f1128ln70li5;306>;d?>0:??5rs8a3>5<4s43h=7?ja:?ab0<6;?16o:951248yve2k3:1hv36c08277=:ion1=>=4=`00>45334i=;7?<5:?ab5<6;<16o::51gg89f12289>70li3;306>;ei<0:??52b`;9564<5kkj6<==;<`e6?74=27iin4>329~wf3e290nw07l1;307>;fnm0:?952a329562<5j<<6<=;;<`e5?74:27ij=4>379>f`e=9:>01n9=:0df?8e0;3;8963mf28276=:jh?1=>=4=cc:>45334hjm7?<4:?ab7<6;?1vn;n:18`8?d93;8863nfe8273=:i8n1=>:4=`de>45534i=;7?<3:?aac<6;;16nhm512089f1728ln70m81;301>;en=0:?>52bg09562<5kl;6<=;;|q`1<<721q65n?512789d`b289>70o>a;300>;d>m0:jh52bg69564<5j329~wf3?2903w0oie;300>;f9>0:?952bg09565<5kl;6<==;<`ff?74:27h:o4>fd9>g3e=9:?01okj:011?xud=>0;6nu2bdg9565<5hlo6<=:;329>fd0=9:801oo7:335?8e1?3;8>63mf08273=:jll1=>84=cga>45234i=47?ie:?ae2<6;;1vn;9:18g8gal3;8>63n0g8271=:iol1=>:4=cc5>45434i=97?<2:?ab4<6;:16nhh512189gce289?70m94;3ea>;eml0:?952b`59565<5kk26<=<;<`be?74;2wxo>750;7x9d`f289970o=9;307>;d==0:jh52c479563<5koj6<=<;|q`7=<72;emh0:??52c40956453z?:fc<6mh16nho512489f35289=7p}l3683>a}:1kl1=><4=`d:>45434k9?7?<3:?`07<6;<16nh;512789f2a28ln70m:0;301>;em10:??52b8f9564<5kk:6<==;<`b6?74:27ii:4>349>f`7=9:90q~m<6;29a~;>jo0:?>52ag;9562<5h8;6<=<;339>f`3=9:<01ok>:017?8e3l3;mi63l4d8270=:jl21=>=4=c;g>45434hj=7?<4:?ae7<6;=16nh951248yve4=3:1ov36bg8271=:io31=>84=`3g>45434kmn7?<2:?`07<6;:16nh:512089gc6289970m;b;3ea>;d:50;:x970oia;301>;f9h0:?>52c5:95cc<5ko26<==;339>f`3=9:90q~m<3;29<~;fnh0:?952a059565<5ko<6<=<;<`f1?74:27ii=4>339>g10=9oo01n:8:016?8db;3;8>6s|c2094?e|5ko86<=<;4>329>ecd=9:901o7j:011?8df838::63l438277=:jl<1=>84=cg7>45134hn<7?<5:?`06<6nl16n4h51208yve493:1hv3nf88277=:i9l1=>=4=`da>45334h2i7?<3:?`05<6;;16nh8512189gc3289870lj0;300>;d;o0:jh52bd19562<5k3m6<=<;<`b5?74;27im?4>329~w2909w0769;3fe>;>j80j86s|9``94?5|50ki6014lm:`68yv?f?3:1?v36a682ad=:1h31m9529cc9e1=z{0k>6=4<{<;b1?7bi272m:4n4:?:f<6}:1h;1=ho4=8c0>d2<50h<6l:4}r;:b?6=;r725k4>e`9>=d7=i=165o85a59~w;>1o0j8636b48b0>{t10h1<7=t=8;a>4cf3432h7o;;<;a0?g33ty2554?:2y>=<>=9lk0147m:`6890q~7nd;297~;>1109=;529`d9514<50kn63;8>63m788273=:j1:1=>84=c33>45134h:;7?<6:?a5f<6;?16n?;512489g4b289=70l<5;302>;e;j0:?;52b5;9560<5k4>339>ec4=9oo01o<6:017?8g213;8>6s|adc94?72s4h?97?<5:?a3<<6;<16n5>512789g77289>70l>7;301>;e9j0:?852b379563<5k8n6<=:;<`01?74=27i?n4>349>f1?=9:?01o8j:016?8g193;8?63mcb8277=:i?91=>=4=caa>45534km<7?ie:?b13<6;:16n?7512789d3>289870lla;301>{til31<7?9{<`71?74<27i;44>359>f=6=9:>01o??:017?8d6?3;8863m1b8271=:j;?1=>:4=c0f>45334h897?<4:?a7f<6;=16n97512689g0b289?70o91;300>;e:h0:??52a4;9562<5kih6<=<;329>e`c=9oo01l;9:017?8g203;8?63mc`8273=z{ho36=4>6z?a00<6;:16n:7512189g>7289870l>0;307>;e9>0:?>52b0a9565<5k8>6<=<;<`1a?74;27i?84>329>f6e=9:901o:6:010?8d1m3;8?63n608270=:j;k1=>=4=ca`>45334k=?7?<5:?agg<6;=16mhm51gg89d31289>70o:9;301>;f=10:??52bbc956155z?b46<6;?16mk8512089f4a28ln70m<0;301>;elo0:?>5rsb30>5<2s4k;h7?<6:?bb3<6;:16o?m51gg89gba289970m=d;306>{t1ki1<7=t=8`g>4cf34hoj7?<6:?`6a<6;?1vn?=:18g8g7k3;8:636be8277=:io?1=>=4=cfb>45234i9m7?ie:?`6g<6;<16nij512089g?2289970l69;306>;e1h0:??52c0f9565<5knh6<=:;<`g2?74;2wxo;fn<0:?952b8;9565<5kni6<==;<`ge?74>27ih;4>359>g7>=9oo01n<6:016?8dcl3;8?63m948276=:j0k1=>=4=b3g>45234hoo7?<6:pg46=83ip14lk:017?8g7?3;8:63nf48273=:io=1=><4=cf:>45534ho:7?<2:?`63<6nl16o?9512789gbb289870m>d;306>;elj0:?952bec956258z?:fa<6;<16m=8512789d`1289>70m=3;3ea>;ell0:??52c369564<5knh6<==;<`ge?74;2wxo=k50;:x9d62289=70oi6;300>;elj0:?>52bec9564<5kn>6<==;?4>349>fa>=9:80q~m?d;29`~;el10:?>52ag79563<5hl<6<=<;<`:2?74:27i554=179>fad=9:<01oj6:015?8dc=3;8963n428276=:i;i1=>=4=b3f>4`b34h2;7?<2:?`5a<6;=1vn>l:18f8ga=3;8>63nf68271=:j0<1=>=4=b3a>45534hon7?<3:?a`<<6;:16ni;512689d25289870o=b;307>;d9h0:jh52be:9562<5k3<6<=<;<`:=?74<27i5l4>359~wd05290hw0l99;034>;e?m09<=52b1f9656<5k;?6?>?;<`2=?47827i>?4=019>f7d=:9:01o==:323?8d4138;<63m778145=:j=<1>=>4=`40>4cf3ty2hk4?:3y>=`d=9=8014ji:0gb?xu>k00;6?u29d`95`g<5hho6<=:;|q:`6<72;q65i=51dc890q~7ke;297~;>l:09=;529dc9514<50nn67p}6db83>6}:1mi1=ho4=8f0>d2<50oj6l:4}r;f=c2=9=8014k7:0gb?xu>l80;6?u29g695`g<5hin6<=:;|q:`g<72:q65il51dc89014h;:`68yv?b?3:1?v36dc8153=:1o91=9<4=8g4>4cf3ty2h=4?:3y>=c5=9lk01lml:016?xu>lh0;6>u29ec95`g<50ni6l:4=8d0>d253z?:`d<59?165k<5150897}:1o81=ho4=`ab>4523ty2h44?:2y>=a?=9lk014jn:`689<`52h>0q~7j5;297~;>l009=;529g39514<50o>67p}6d983>6}:1m21=ho4=8f:>d2<50l:6l:4}r;f0?6=;r72h54=179>=c6=9=8014k;:0gb?xu>km0;6?u29g295`g<5hi=6<=:;|q:`2<72:q65i951dc89014h?:`68yv?b;3:1?v36d68153=:1ll1=9<4=8g0>4cf3ty2on4?:3y>=``=9lk01lm;:016?xu>l?0;6>u29e495`g<50n<6l:4=8ge>d27>53z?:`3<59?165hk5150897}:1lo1=ho4=`a1>4523ty2h84?:2y>=a3=9lk014j9:`6890q~7j1;297~;>l<09=;529df9514<50o:67p}6d583>6}:1m>1=ho4=8f6>d2<50oo6l:4}r;f4?6=;r72h94=179>=`e=9=8014k?:0gb?xu>k>0;6?u29da95`g<5hhn6<=:;|q:`7<72:q65i<51dc89014kl:`68yv?cl3:1?v36d38153=:1l31=9<4=8fg>4cf3ty2o;4?:3y>=`?=9lk01ll7:016?xuf?90;6iu2a6395`g<5hho6<=9;27joh4>379>efe=9:<01lmn:015?8gd03;8:63nc78273=:ij>1=>84=`a1>45134kh<7?<6:?bf`<6;?16mo651248yvd1j3:1:v3mbc8271=:jm91=>84=c`g>45234h?o7?<6:?a2g<6nl16n:;51208yvd0?3:19v3m778`f>;e?00:??52bb09563<5k=<6289<70ll2;300>;ek>0:??52bbf9562<5k=i6339~wg1b290=w0l8d;aa?8d?83;8>63mc38277=:j>o1=kk4=ca6>45234ho<7?<5:pf5c=83k:b`89g77289970ll1;301>;e0=0:?952b1g95cc<5kn;6<=;;|qa57<72?q6n<>512589ge6289?70l74;301>;e9;0:jh52bb:9562<5k;86<==;|qa50<72?q6n<:5cc9>f41=9:801om>:011?8dd>3;8:63m1482b`=:jjl1=>:4}r`2e?6==r7i=44lb:?a5f<6;;16nn>512789g7f28ln70llf;306>{tj8o1<7;t=c3`>45034hh<7?<4:?a5`<6nl16nn6512089g4628997p}m2283>3}:j;81oo52b379564<5ki;6<==;<`17?7am27io84>329>ff`=9:<0q~l=c;292~;e:k0hn63m2d8277=:jkl1=>;4=c:7>45534hhj7?<5:?a6f<6nl1vo=?:18;8d5m3;8;63mbg8271=:j1>1=>=4=ca4>45434hhh7?<3:?ag<<59?16n>>51gg89g5628997p}m3283>3}:j:81oo52b279564<5khm6<==;<``0?74<27i?>4>fd9>ff`=9:=0q~l;4=cae>45434h8m7?ie:pf6c=83=p1o=l:014?8dem3;8863mc68271=:jjn1=>84=c1f>4`b34hhm7?<2:?a7c<6;;1vo:?:18;8dej3;8>63m218277=:jki1=>:4=c63>4`b34h397?<4:?a20<6;:16n<=512189g1d28987p}m4683>3}:j=<1oo52b5;9564<5khn6<==;<`73?7am27io84>339>fa6=9:90q~l;b;293~;e<<0:?:52be69564<5kn86<==;<`ag?74;27i8o4>fd9>0`5=9:801o6::011?xue349>ff1=9:?01omk:016?8d3l3;mi63mc`8271=z{k?86=49{<`61?74:27i=:4>369>ff7=9:=01om::017?8d2;3;mi63mc98273=z{k?<6=49{<`6=?74:27i>84>369>ff6=9:=01om;:015?8d2?3;mi63mc98272=z{k?i6=47{<`01?74?27ink4>369>f0b=9:801om8:015?8ddl3;8>63m5c82b`=:jj?1=>84=`74>4553tyi9k4?:7y>f37=9:801o:6:014?8dem3;8;63mc58277=:j4523tyi:>4?:6y>fgd=9:<01olk:011?8d283;8>63m648277=:j=i1=>;4=8d5>45134h=?7?ie:pf3?=83;9w0l99;3ea>;el;0:?9529g49563<5k2>6<=8;<`46?74;27i::4>329>e=4=`c7>45434kj>7?<3:?be5<6;:16m4k512189d?d289870o6a;307>;f1=0:?>5rsc0:>5<5s4h957?ja:?a07<6;=1vo:;:1818d3=3;nm63m6`8271=z{k=36=4={<`4=?7bi27i;l4>359~wg1a2909w0l70;3fe>;e080:?95rsc2e>5<5s4h:<7?ja:?a54<6;=1vo?9:1818d6?3;nm63m198271=z{k;i6=4={<`2g?7bi27i=i4>359~wg432909w0l=5;3fe>;e:?0:?95rsc0g>5<5s4h9i7?ja:?a6c<6;=1vo=;:1818d4=3;nm63m378271=z{k9i6=4={<`0g?7bi27i?i4>359~wg2?2909w0l;9;3fe>;e5<5s4h>=7?ja:?a17<6;=1vo;;:1818d2=3;nm63m578271=z{k?36=4={<`6=?7bi27i9l4>359~wg3d2909w0l:d;3fe>;e=l0:?95rsc43>5<5s4h==7?ja:?a27<6;=1vo8;:1818d1?3;nm63m698271=z{k359~wg162909w0l82;3fe>;e?:0:?95rsc6e>5<1s4h>h7?<7:?a15<6mh16n87512589g06289<70l:1;303>;e=<0:?:5rsc3e>5<3s4h9<7?ja:?a74<6;<16n>h512789g46289>7p}ndc83>7}:imi1=ho4=`fg>4533tyji<4?:3y>e`4=9lk01lk<:017?xuf??0;65u2bc795`g<5jho6<=;;359>gf2=9:>01nli:017?8ed93;8;63lb98272=z{h=>6=47{<`b`?7bi27h554>359>g<0=9:>01n7>:017?8e>n3;8863l9`8271=:k0i1=>94=b;0>4503tyj;94?:9y>fd3=9lk01n9<:017?8e093;8863l6b8271=:k>k1=>:4=b56>45334i<;7?<7:?`2`<6;>1vl9<:18;8d>l3;nm63l4d8271=:k=i1=>:4=b64>45334i>97?<4:?`15<6;=16o8<512589f2>289<7p}n7383>=}:j0?1=ho4=b0:>45334i9;7?<4:?`67<6;=16o>>512689f4e289?70m=d;303>;d:=0:?:5rsc2;>5<5s4k>57?ja:?baa<6;=1vo>6:1818g203;nm63neg8271=z{k:j6=4={359~wg6e2909w0o:6;3fe>;fn:0:?95rs`ae>5<5s4k?n7?ja:?b45<6;>1vlj>:1818g3l3;nm636fg8272=z{hn96=4={369~wdb42909w0o;f;3fe>;>nm0:?:5rs`f7>5<5s4k><7?ja:?:bf<6;>1vlj::1818g293;nm636fc8272=z{hn=6=4={369~wdb02909w0o:3;3fe>;>n00:?:5rs`f;>5<5s4k>87?ja:?:b=<6;>1vlj6:1818g2=3;nm636f68272=z{hn;6=4={369~wfg>2909w0m?1;3fe>;dj?0:?;5rsbcb>5<5s4i;97?ja:?`fg<6;?1vnom:1818e7?3;nm63lbe8273=z{jkh6=4={379~wfgc2909w0m?b;3fe>;dk=0:?;5rsb:7>5<5s4hm:7?ja:?`=4<6;?1vn6::1818dai3;nm63l978273=z{j2=6=4={<`eg?7bi27h554>379~wf>02909w0lie;3fe>;d1h0:?;5rsb:;>5<5s4i;<7?ja:?`=c<6;?1vn;i:1818dbj3;nm63l6b8273=z{j<;6=4={<`fb?7bi27h;<4>379~wf062909w0li1;3fe>;d?:0:?;5rsb41>5<5s4hm?7?ja:?`30<6;?1vn8<:1818da=3;nm63l7`8273=z{j9j6=4={<`f4?7bi27h8:4>379~wf5e2909w0lj4;3fe>;d5<5s4hn:7?ja:?`0`<6;?1vn=k:1818db03;nm63l518273=z{j9n6=4={<`fe?7bi27h984>379~wf722909w0lk5;3fe>;d:;0:?;5rsb35>5<5s4ho57?ja:?`62<6;?1vn?8:1818dcj3;nm63l288273=z{j;36=4={<`g`?7bi27h>o4>379~wf7>2909w0lkf;3fe>;d;90:?;5rs`5:>5;>nk0:?9529gc9562<50l26<=;;<;e359>e54=9:>01l>>:017?8dc:3;nm6s|a6c94?c|5h:;6<==;<;eb?74:272jh4>339>=cb=9:8014hl:011?8?aj3;8>636f`8277=:1o31=><4=8d;>455343m;7?<2:?b47<6;;16m=?512089gb428oj707i6;306>{ti>21<7=t=`75>45034ho=7?ja:?b1<<6;>1vl98:1808dd?3;nm63n578273=:i<31=>84}r`30?6==r7i<84>e`9>g5?=9:=01n>m:014?8ed93;8963lb98273=z{k:;6=4:{<`35?7bi27ijh4>369>g56=9:=01n7l:016?8e>;3;8:6s|aga94?3|5hlo6369>g21=9:?01n8j:015?xufn10;68u2ag;95`g<5ko36<=8;<`fe?74?27h9?4>349>g1?=9:<0q~oi4;291~;fn<0:il52bef9561<5knm6<=8;94>379~wd07290hw0o91;3fe>;e=00:?;52b739560<5k?:6<=9;<`61?74>27i9i4>379>f24=9:=01o=>:015?8d4n3;8:63m208273=:j891=>84=c5`>4513tyj?84?:3y>e47=9lk01l:=:014?xuf;?0;6?u2a0795`g<5h>86<=8;|qb6a<72:q6m>o5f99>e53=9lk01l:;:011?xuf:l0;6>u2a2`9b==:i9<1=ho4=`66>4553tyj>k4?:2y>e6e=n116m=951dc89d2128997p}n3183>6}:i:n1j552a1`95`g<5h><6<==;|qb74<72:q6m>k5f99>e5e=9lk01l:7:011?xuf;;0;6>u2a2d9b==:i9n1=ho4=`6:>4553tyj?>4?:2y>e16=n116m==51dc89d2f28997p}n3583>6}:i=;1><84=`76>42534k;87?ja:pf26=83:1=kk4=ca;>45434h<>7?<2:pe30=838p1o66:0gb?8g><3;8;6s|a7794?4|5k236028oj70o6c;303>{ti?l1<74cf34k2i7?<7:pe3c=838p1o7>:0gb?8gf83;8;6s|a7f94?4|5k3;6a28oj70on4;303>{ti?h1<74cf34kj:7?<7:pe3g=838p1o6k:0gb?8gf03;8;6s|a7;94?4|5k2h6e28oj70o67;303>{ti?=1<74cf34k257?<7:pf3e=83=p1o86:b`89g0b289970lk2;306>;>n?0:?>52b7a95cc<5k2>6<=<;<`g4?74>2wxn9=50;;x9g22289970lk4;307>;el:0:?852b979563<5k826<==;<`ag?74=27?i>4>329>f15=9oo01oj?:014?xuf0>0;6?u29`d95`g<5k226<==;|qb?28997p}n8c83>7}:1k>1=ho4=c:4>4553tyj4n4?:3y>=g3=9lk01o7=:011?xuf0m0;6?u29c495`g<5k3:6<==;|qb<`<72;q65o951dc89g?728997p}n8g83>7}:1k21=ho4=c:e>4553tyj5=4?:3y>=g?=9lk01o6j:011?xuf180;6?u29cc95`g<5k2o6<==;|qb=7<72;q65ol51dc89g>d28997p}n8983>7}:1k:1=ho4=c:a>4553tyj444?:3y>=g7=9lk01o6n:011?xufm=0;6?>t=`2`>45534k;h7?<2:?b46<6;;16mh<512189dbd289870o?4;306>;f8<0:??52a159564<5h:=6<==;339>e43=9:801l?>:011?8g7i3;8863n4`8276=:i=31=>=4=`6;>45434k?;7?<3:?b03<6;:16m9;512189d23289870o;3;301>;f<;0:?852a3a9563<5h8i6<=:;329>ea`=9:?01l>6:011?8d583;8?63ne48271=:j<:1=>94}rcf4?6=:oq6m=m512789d6c289>70o?3;301>;fm;0:?852aea9563<5k?:6<=:;329>e51=9:?01l>9:010?8g7j3;8863m678270=:i;31=><4=`0b>45534k9:7?<2:?b62<6;;16m?=512089d43289970o=0;306>;f:80:??52a0f9564<5h;n6<==;339>e41=9:801l?7:011?8g6;3;8>63n158277=:i9l1=><4=`33>45534k??7?<2:?b07<6;;16m?m512089d4e289970oj0;3ea>;flo0:?;52b4;9563<5k<:6<=:;<`61?74=27i9i4>349>e`3=9:=01lk9:015?8d493;8863m3g8271=:j;;1=>:4=c30>45334h;f8m0:?>52a119565<5ho96<=8;359>e52=9:>01l>::017?8g7?3;8?63n078273=:i9h1=>84=`g6>45134k:97?<4:?b54<6;=16m=o512189d2f289>70o;9;301>;f<10:?852a559563<5h>=6<=:;349>e15=9:>01l:=:017?8g5k3;8863n2c8271=:imo1=kk4=`fe>45334h>57?<4:?a24<6;=16n8?512689d6>289?70l:d;300>;e>?0:?952ad49563<5k9:6<=<;<`0b?74;27i><4>329~wdbf2909?v3n0b8271=:i9n1=>:4=`20>45334kn>7?<2:?b`f<6;;16m=:512789d62289>70o?7;300>;f8?0:?952a1`9565<5h;>6<=<;339>e1g=9:>01l:6:017?8g303;8863n468271=:i=<1=>:4=`66>45334k?87?<4:?b06<6;?16m9<512489d4d289=70o=b;302>;flh0:jh52ad59562<5k?26<=<;<`55?74;27i9<4>329>f03=9:901l>6:010?8d2l3;8?63m218271=:j?<1=>=4=`g6>4523tyi;;4?:00x9g1128ln70lm4;307>;ek;0:?;52b969561<5j:<6<=;;379>=5c=9:<01o=7:016?8e7j3;8963lc08271=:kk21=>:4=c`5>45234hi;7?<5:?af<<6;?16noo512489fga289?707>0;300>{tj>n1<7?>{<`4`?7am27in94>339>ff4=9:901om9:010?8e7?3;8963l048270=:k9;1=>=4=c62>45234h397?<6:?`4g<6;=16on?512189fd?289870lm6;300>;ej>0:?952bc;9563<5khj6<=:;84=cd`>45334hmm7?<4:?ab3<6;?164>l512789f67289>70m6c;300>;d1:0:?952b`g9563<5kkm6<=:;<`a5?74>27in?4>379>g=g=9:>01o=7:010?8>4l3;886s|b0694?77s4h:87?ie:?aef<6;;16nn?512189ge1289970lic;301>;enh0:?852bg49565<5k>:6<=;;329>g<5=9:901ooj:017?8dfn3;8863mb08270=:jk81=>;4=b:b>4543tyi=44?:00x9g7>28ln70ln4;307>;ek90:?;52b969560<5kl:6<=;;<`fb?74<27iio4>379>33>=9:?01oh::016?8e0?3;8863l6d8271=:jh<1=>;4=cc4>45234hj57?<6:?aed<6;?16o;;512689g5?289=7099a;301>{tj;81<7?>{<`16?7am27im94>339>ff6=9:901om9:016?8d?>3;8>63mf08270=:jll1=>;4=cga>45434hm97?<4:?`32<6;:16o;k512189gg1289?70ln7;300>;ei00:?852b`c9563<5j<>6<=<;<`75?74>2wxn?l50;328d5j3;mi63m9b8276=:jkl1=>84=cg5>45334hn87?<4:?aa5<6;?16:4;512789gcf289>70m:2;300>;d<00:?952b8g9563<5k3m6<=:;<`b5?74>27im?4>379>g16=9:>01o=7:011?80>?3;886s|b2094?77s4h8>7?ie:?a=f<6;;16noh512189ge3289870lj6;301>;em=0:?852bd29565<5koj6<=;;329>f01o7i:017?8df93;8963ma38270=:k=:1=>=4=c62>4553tyi?44?:00x9g5>28ln70l64;307>;ejl0:?;52b949565<5kni6<=;;<`g=?74<27ih84>379>1f6=9:?01oji:016?8e5l3;8863l258271=:j0<1=>;4=c;4>45234h257?<6:?a=d<6;?16o{tj=<1<7??{<`72?7am27i594>339>fgc=9:901ojm:016?8dc13;8963md48276=:jj?1=>94=cfe>45334i9h7?<3:?`61<6;:16n48512689g?0289?70l69;301>;e1h0:?852c0`9565<5k>:6<=<;|qa4f<7282h>01oj;:016?8dc;3;8?63mbb8273=:i>;1=><4=8fg>455343n<7?<2:?:a4<6;;165h<512089;>m<0:??529d49564<50o<6<==;<;f339>=a`=9:8019k<:016?8d7k3;mi63m748276=:j;=1=>=4}r`40?6=;;11<0:?;5277:9560<519i6<=9;<;3a?74=272j;4>369>f22=9oo01l9>:010?8d0:3;8863m948271=:j0n1=>:4=cc6>45334hjh7?<4:?af0<6;=16no8512489ggb289=70ln6;302>;e1l0:?;52b849560<5kh26<=8;<`ae?74?27in<4>369>fg4=9:=01oo6:014?8dfi3;8;63ma08272=:jh81=>94=c;:>45034h2m7?<7:?`ec<6;<16o5o512789f02289>70m;0;301>;d9k0:?8525b09560<5?3<6<=9;<55e?74>273?i4>379>=46=9:<01l76:017?8g>?3;8863na`8271=:ih21=>:4=`c5>45334kj87?<4:?be7<6;=16ml>512689d?b289?70o6c;300>;f1h0:?952a86956257z?ba7<6;?16mim512489gde289870oj5;306>;ejm0:?952b2595cc<5k<<6<==;|qb3g<72512789d3e289870o63;3ea>;>il0:?<52a86956455z?:bc<6;<16m8l512089;f1h0:??5rs`5e>5<2s43mi7?<5:?b1f<6;:1654k512089d?e28ln70o6c;306>{ti1:1<7;t=8dg>45234k>o7?<2:?:e5<6;;16m4j51gg89d?b28997p}n8083>0}:1oi1=>;4=`7`>453343j>7?<2:?b=c<6nl16ml>51208yvg?:3:19v36fc8270=:i;4=8c7>45534kj=7?ie:?be7<6;;1vl6<:1868?ai3;8963n5c8270=:1h<1=><4=`c0>4`b34kj87?<2:pe=2=83?p14h6:016?8g2j3;88636a98277=:ih?1=kk4=`c5>4553tyj484?:4y>=c>=9:?01l;m:014?8?fi3;8>63na682b`=:ih21=><4}rc;2?6==r72j:4>349>e0e=9:<014ol:011?8gf13;mi63na`8277=z{h=h6=4:{369>=?3;8>6s|a6f94?3|5h::6<=:;272n?4>339>e<>=9oo01l76:011?xufik0;68u2a129565<5h?n6<=<;<;g`?74;27jn:4>fd9>eg>=9:80q~one;291~;>no0:?>52a4g9564<50o;6<=<;339~wdga290>w07ie;307>;f=o0:?>529d39565<5hhm650;7x9<`c289870o:f;306>;>m;0:?>52ab395cc<5hi96<==;|qbf4<72;fk:0:jh52ab695647>55z?:bg<6;:16m8h512789;fk?0:??5rs``0>5<2s43mm7?<3:?b1`<6;<165h;512189de028ln70ol8;306>{tik>1<7;t=8d:>45434k>i7?<4:?:a3<6;:16mn751gg89def28997p}nb483>0}:1o21=>=4=`7f>450343n;7?<3:?bgg<6nl16mnm51208yvge>3:19v36f68276=:i84=8g;>45434khh7?ie:?bg`<6;;1vlol:1868g7:3;8?63n5g8272=:1mo1=>=4=``b>4`b34kin7?<2:pedb=83?p1l>>:010?8g2m3;8:636dg8276=:iki1=kk4=``g>4553ty>::4?:6y>13>=9lk0188<:011?831<3;8;63:5c8277=:=94=5d5>45534>m;7?<7:p13b=83>p188j:0gb?83183;8>63:598277=:<4}r6e4?6=99q69>m512789056289>70;=6;301>;2<<0:?85250a9563<5<;86<=:;<73e?74=27><<4>349>0`c=9:?019k6:016?83393;8>63;ec8270=:==:1=>:4=46:>45334?=57?ja:?615<59?1v9k::1827~;3ml0:?:524d;9561<5<>96<==;<752?7bi27>?44>329>17c=9:9018<<:010?834;3;8?63:188276=:=8:1=>=4=424>45434>mi7?<3:?7ag<6;=169;:51208903d289970:i7;306>;2<90:?>5255c9562<563:278277=:==?1=><4=43`>45534?:?7?<2:?64d<6;;169=?5120891ce289870:jf;306>;3mh0:??525529560<5<>86<=<;<77f?74:27>;<4>e`9>163=9:>018:4=42`>45334?;?7?<4:?7bd<6;=1699:5120890342;;=7p}:6b83>4?|5<926<==;<71a?74:27>>>4>339>165=9:8018?6:011?83683;8>63:068277=:<4=5ga>45034>no7?<2:?7a2<6;;1699?512789024289=70;9f;3fe>;2;h0:?95253d9562<5<8?6<=;;<700?74<27>=l4>359>147=9:>018>7:017?82an3;8863:468277=:==i1=><4=477>4553ty>:l4?:01x905>289=70;=e;302>;2::0:?;525219560<5<;26<=9;<724?74>27><:4>379>0cc=9:<019km:015?82bk3;8?63;e68276=:==:1=>;4=46:>45434?=n7?ja:?60`<6;;1699951258902d289870;:1;306>;2=;0:??5rs2`3>5<2s49i:7?ie:?02289970=nd;300>{t;hl1<78t=2`6>4`b3493o7?<4:?02289870=nd;301>{t;ho1<79t=2`7>4`b3493o7?<3:?0f289970=75;300>;4im0:?;5rs2fe>5<0s49oj7?ie:?0a4<6;;16?h=51208913c289870:96;307>;3=h0:?95244695647>57z?0a4<6;:16?h=5121896c528ln70:96;300>;3=h0:?8524469562<5=?o6<==;|q0a5<72;q6?ih5cc9>7`7=9lk0q~=n8;290~;4j=0j>63;4j?0j>637o=;<1`7?g5349h87o=;<1`1?g5349h:7o=;<1bf?7bi2wx?lm50;;x96b02h801>j7:`0896b>2h801>jn:`0896be2h801>jl:`0896bc2h801>jj:`0896gc28oj7p}<8583><}:;1o1=><4=2:6>4cf3493j7?<2:?0=4<6;;16?4=5120896?2289970=67;306>;4100:??5238`956452z?0f1e`9~w6d52909w0=m5;aa?85?k3;nm6s|3c194?4|5:h=6nl4=2:g>4cf3ty84>4?:3y>7`2=kk16?5o51dc8yv5d?3:1>v394}r1`fd9>7<7=9:=0q~=l9;296~;4l00:jh52381956152z?0`d<6nl16?4;51258yv5dj3:1>v394}r1`g?6=:r78hn4>fd9>758z?0e289970=7a;302>;4i00:??523``9564<5:ko6<==;<1;6?7am2wx?l=50;1x96g128ln70=6f;306>;4i80:?95rs2c1>5<3s49j87?ie:?0=c<6;=16?l?5120896g028987p}<8683>7}:;131=kk4=2`e>fd52z?0<=<6nl16?575cc9~w6?b2909w0=6e;3ea>;4im0:?>5rs2c3>5<2s493m7?<3:?0a4<6;=16?l75121896ge289870=n0;3ea>{t;jl1<7fd<5:2n67=`=9lk0q~=k1;296~;4l00hn63<9082ad=z{:n96=4={<1ge?ee3492?7?ja:p7a5=838p1>jm:b`896?228oj7p}7}:;mi1oo5238595`g52z?0`ae`9~w6b12909w0=ke;aa?85>j3;nm6s|44g94?5|5=?m6369~w6cf290ow0=jb;3fe>;3880:?;523gd9560<5:lo6<=9;<1ef?74>278j44>379>7c1=9:<01>h::015?85a;3;8:6384=2gg>451349n47?<6:p07g=833p1>6j:015?825j3;nm63<8g8271=:;0;1=>:4=2;0>453349297?<4:?0=2<6;=16?475126896?e289?7p};2983>7}:;1o1=>:4=50:>4cf3ty8i54?:ey>7`?=9lk019>>:016?85an3;8963;4=2d:>452349m;7?<5:?0b0<6;<16?k=5127896`6289>70=jf;301>;4mm0:?8523d:9563;?7>52z?746<6mh1685;3fe>;38:0j863;1`8b0>{t<891<7=t=530>4cf34>:97o;;<611?g33ty?=<4?:2y>047=9lk019?<:`6891432h>0q~:?f;297~;38o0:il524039e1=:<;91m95rs52g>5<4s4>;h7?ja:?74c?4n4:p05d=839p19>m:0gb?827l3k?70:=1;c7?xu3800;6>u241;95`g<5=:i6l:4=503>d2;;7>53z?742<6mh168=75a59>04`=i=1v9>::180827=3;nm63;068b0>;39l0j86s|41094?5|5=:96:h7o;;|q752<72:q68=<52048917>28>970:>8;3fe>{t<<91<7fd<5=??6001=9lk0q~::9;296~;3=10hn63;5`82ad=z{=?h6=4={<66f?ee34>=;7?ja:p03?=838p1987:b`8910b28oj7p}7}:<=<1oo523d:95`g52z?702e`9~w6`52909w0:;a;aa?85a;3;nm6s|3g694?4|5=>i6nl4=2d6>4cf3ty8j;4?:3y>01e=kk16?k951dc8yv5a03:1>v3;4e8`f>;4n00:il5rs2db>5<5s4>?i7mm;<1ef?7bi2wx?km50;0x912a2jh01>hk:0gb?xu4nl0;6?u24429gg=:;ol1=ho4}r634?6=:r7?9<4lb:?744<6mh1v>kl:18182303ii70=jd;3fe>{t;lo1<7fd<5:om6070=9lk0q~=j5;2954}:<091m?524869e7=:<0h1m?5248a9e7=:<0n1m?5248g9e7=:<0l1m?524`29e7=:e`9>7`>=9:>0q~:8i7>52z?75`<6mh16?k=51268yv24n3:1>v3;1g82ad=:;o?1=>:4}r674?6=:r7?>=4>e`9>7c1=9:>0q~:;1;296~;3:80:il523g;9562?>7>52z?767<6mh16?kl51268yv23;3:1>v3;2282ad=:;on1=>:4}r670?6=:r7?>94>e`9>7c`=9:>0q~:;5;296~;3:<0:il5241395628n7>52z?75d<6mh16?hj51268yv24k3:1>v3;1c82ad=:;ll1=>:4}r65;4mk0:?8524459560<5==96<=<;<1f=?74=278i;4>359>07d=9:>019<6:016?821=3;8>63<918271=:;081=>:4=2;7>4533492:7?<4:?0==<6;=16?4o5126896?d289?70:96;301>;3jk0:?9524cc9563<5=i96<=;;<66e?74>27?994>349>026=9:80198?:015?821m3;8>63;5e8271=:j<:1=>=4=c46>4533ty?9o4?:0dx913e28ln70:9f;307>;3?80:?8523d`9562<5=?<6<=;;<1f=?74:278i;4>349>07d=9:9019<6:010?821k3;8963;6e8277=:<;4=c73>4523492<7?<5:?0=7<6;<16?4:5127896?1289>70=68;301>;41h0:?85238a9563<5=hi6<=:;<6ae?74>27?o?4>349>00g=9:8019;;:010?82083;8863;738271=:94=544>45434>=n7?<4:?a20<6;?1v9;7:182b~;3=10:jh5247d9562<5==:6<=9;<1ff?74>27?9:4>329>7`?=9:901>k9:011?825j3;8>63;288277=:=4=54a>45434>=h7?<3:?72`<6;:16n;;5127896?7289970=62;306>;41=0:??523849564<5:336<==;<1:e?74:2785n4>339>030=9:8019lm:015?82ei3;8863;c38273=:<=4=577>45134><<7?<3:?737<6;<1688j512489g37289?7p};5483>4`|5=?>6329>7`d=9:9019;8:011?85b13;8863;4=50:>45334>=97?<4:?72f<6;;168;j5126896?7289870=62;307>;41=0:?>523849565<5:336<=<;<1:e?74;2785n4>329>0gd=9:9019ln:010?82d:3;8?63;5`8272=:<<>1=>94=553>45234><>7?<6:?727<6;=168;951208910e289970l:0;302>;e><0:?:5rs571>5<6lr7?9?4>fd9>7=c=9:90199>:011?85bj3;8>63<8g8276=:;0;1=>=4=2;0>454349297?<3:?0=2<6;:16?475121896?e289870:94;306>;3>j0:?>524c`9561<5=hj6<==;<6`6?74?27?;?4>339>057=9:901>hi:010?85al3;8?63=4=2d4>454349m97?<3:?0b6<6;:16?k?5121896ca289870=jd;307>;4m10:?>5rs50f>5<2s4>?:7?ie:?71c<6;<168;>51268917?289:70=j8;306>{t<:;1<7;t=564>4`b34>>j7?<6:?743<6;;16?k?51208910728987p};3383>3}:<=k1=kk4=540>45234>=m7?<7:?74=<6;;16?k=512089107289>7p};3283>3}:<=h1=kk4=540>45134>=m7?<5:?74d<6;;16?k;512089106289?7p};3583>0}:<=i1=kk4=57e>45034>;o7?<2:?0b2<6;;168;?51208yv24=3:19v3;4e82b`=:<:4=52f>455349m57?<2:?724<6;:1v9=9:185823m3;mi63;628271=:84=533>455349mn7?<2:?724<6;<1v9=8:185823n3;mi63;628276=:<4=531>455349mh7?<2:?724<6;>1v9=7:18582283;mi63;628277=:=4=537>455349mj7?<2:?724<6;?1v9=6:18682293;mi63;5g8277=:<8<1=><4=522>45534>=<7?<2:p07`=83?p19:7:0df?822n3;8?63;058277=:;ln1=><4=541>4553ty??=4?:7y>01?=9oo0198<:014?821i3;8863;1b8277=:;ll1=><4=541>4543ty?>n4?:2y>07e=9oo0198l:017?825>3;8>6s|46194?4|5==i6{t<>?1<74`b34>9:7?<5:p020=838p199j:0df?825>3;886s|46594?4|5==m6728ln70:=7;307>{t<>31<74`b34>9;7?<2:p02g=838p196=:0df?825?3;886s|48394?4|5=k:6{t<1>1<74`b3492>7?<6:p0=3=838p1979:0df?85><3;8:6s|49494?4|5=3<62wx85950;0x91??28ln70=68;302>{t<121<74`b3492m7?<6:p0=?=838p197n:0df?85>k3;8:6s|49194?4|5=386{t<1h1<74`b3492=7?<5:p0=e=838p197l:0df?85>;3;896s|49f94?4|5=3o6{t<1l1<74`b349257?<5:p0<6=838p19o?:0df?85>j3;896s|1gc94?5|58lj6379~w4`e2908w0?ib;3fe>;3ko0:??521ga956152z?ag1<6mh16nn851268yvd?;3:1?v3mc482ad=:jj<1=>94=c:5>4523tyi494?:3y>f=2=9lk01o69:015?xue0<0;6?u2b9795`g<5k2=6<=;;|q2<7<72;q6n?o51dc89g4>289=7p}>ae83>7}:k9k1=ho4=b2:>4533ty:on4?:3y>fc`=9lk01ohj:017?xu6m90;6?u2bg695`g<5kl86<=;;|q2a7<72;q6nh751dc89gc?289?7p}>8283>7}:jmo1=ho4=cfg>4533tyi:84?:3y>f33=9lk01o88:014?xue>?0;6?u2b7495`g<5k<<6<=9;|q2<1<721q6n=851dc89g62289<70lm5;301>;dj:0:??52c`d9560<5j:36<=8;349~w4>?2903w0l?2;3fe>;e880:?:52b`f9563<5j2n6<==;27iji4>369>fcd=9:=01oh8:016?xu60m0;65u2agg95`g<5hlo6<=8;<`b1?74=27h:44>339>g33=9:<01oh=:014?8da83;8;63meb8270=z{83n6=47{369>f94=cg2>4523ty:mh4?:9y>ec0=9lk01lh::014?8d>=3;8963l1g8277=:k8h1=>84=cf`>45034hom7?<7:?a`3<6;<1vlk::1818gb=3;nm63ne68273=z{ho=6=4={349~wd4?2909w0o=9;3fe>;f5<5s4k9m7?ja:?b0d<6;?1vl<::1818g5>3;nm63n488272=z{h8=6=4={379~wd452909w0o=3;3fe>;f<10:?:5rs`00>5<5s4k987?ja:?b0=<6;?1vl?i:1818g583;nm63n468272=z{h8;6=4={379~wd7d2909w0o>d;3fe>;f5<5s4k:i7?ja:?b03<6;?1vl?6:1818g6i3;nm63n448272=z{h;j6=4={379~wd712909w0o>7;3fe>;f<=0:?:5rs`34>5<5s4k:47?ja:?b01<6;?1vl?=:1818g6;3;nm63n148273=z{h;86=4={349~wd6b2909w0o?f;3fe>;f980:?;5rs`2e>5<5s4k:<7?ja:?b54<6;<1v369>e01=9:901l;7:016?xuekl0;69u2bbd95`g<5kn:6<==;379~wgea290?w0lk0;3fe>;el80:?>52a459563<5h?36<=8;|qb1d<72oq6m8l51dc89g>f289?70l77;300>;e010:?952b9;9562<5k2i6<=;;<`;g?74<27i4i4>359>f=c=9:>01o6i:017?8d>83;8863m908271=:j081=>:4=c51>45234h=;7?<5:pe0d=83lp1l;l:0gb?8d0:3;8:63m8`8276=:j1=1=>=4=c:;>45434h357?<3:?ac289870l7e;307>;e0o0:?>52b829565<5k3:6<=<;<`:6?74;27i::4>359~wd3c290?w0o:e;3fe>;f?80:?852b359563<5k=>6<=:;|qb1`<72=q6m8h51dc89d16289?70l=7;300>;e?<0:?95rs417>5<5s4?8o7?<7:?670<6mh1v8=::18183413;8;63:3782ad=z{<9<6=4={<70=?74<27>?54>e`9~w05?2909w0;<9;3fe>;2;j0:?>5rs41:>5<5s4?8o7?<6:?67d<6mh1v8=n:181834k3;8863:3c82ad=z{<826=4={<705?74?27>>l4>e`9~w04f2909w0;=e;303>;2:k0:il5rs40`>5<5s4?9i7?<4:?66a<6mh1v827>>k4>e`9~w04a2909w0;<1;300>;2;90:il5rs43f>5<5s4?9:7?<7:?65c<6mh1v8?i:181835;3;8;63:2182ad=z{<8:6=4={<717?74<27>>?4>e`9~w0452909w0;=3;3fe>;2:?0:?>5rs400>5<5s4?9:7?<6:?661<6mh1v8<;:181835>3;8863:2482ad=z{<;o6=4={<771?74?27>>:4>e`9~w0402909w0;<3;303>;2:10:il5rs411>5<5s4?8?7?<4:?677<6mh1v8=<:181834;3;nm63:448276=z{<9o6=4={<771?74>27>?94>e`9~w05b2909w0;;5;300>;2;m0:il5rs437>5<5s4?:o7?<7:?650<6mh1v8?::18183613;8;63:1782ad=z{<;<6=4={<72=?74<27>=54>e`9~w07?2909w0;>9;3fe>;29j0:?>5rs43:>5<5s4?:o7?<6:?65d<6mh1v8?n:181836k3;8863:1c82ad=z{<:i6=4={<727?74?27>e`9~w06d2909w0;>0;303>;28m0:il5rs42f>5<5s4?:<7?<4:?64c<6mh1v8>i:18183683;nm63:128276=z{<;;6=4={<727?74>27>=<4>e`9~w0762909w0;>3;300>;29;0:il5rs421>5<5s4?;m7?<7:?646<6mh1v8><:181837?3;8;63:0582ad=z{<:>6=4={<733?74<27><;4>e`9~w0612909w0;?7;3fe>;28h0:?>5rs424>5<5s4?;m7?<6:?64=<6mh1v8>7:181837i3;8863:0882ad=z{=l26=4={<735?74?27?jl4>e`9~w1`f2909w0:ie;303>;3nk0:il5rs5da>5<>s4>mi7?<5:?642<6;<169<>51278907>289>70;=3;301>;2:l0:?85252;9563<5<986<=:;<703?7bi2wx8km50;0x91`b289?70:id;3fe>{t4cf34?;=7?<3:p0cc=838p18>>:015?82an3;nm6s|4gd94?4|5<::6<=;;<734?7bi2wx8hl50;0x91cd28oj70:jf;307>{t45134>nh7?ja:p0`b=838p19kj:0gb?82bn3;886s|4d694?5|5=oj6<=:;<6ff?7bi27?ik4>349~w1c12909w0:j7;3fe>;3mh0:?>5rs5g4>5<5s4>n57?<6:?7a=<6mh1v9k7:18182b13;nm63;e`8271=z{9k4>e`9~w03a2909w0;90;3fe>;2><0:?;5rs442>5<5s4?=?7?<5:?627<6mh1v88=:181831;3;nm63:648270=z{<<86=4={<750?7bi27>:84>359~w0312909w0;:8;301>;2=>0:il5rs474>5<5s4?>47?ja:?61a<6;?1v8;6:181832j3;8963:5`82ad=z{9i4>349~w03e2909w0;:c;3fe>;2=m0:?95rs5d2>5<5s4>m?7?<5:?7b7<6mh1v9h=:18182a;3;nm63;f98273=z{=l86=4;{<6e9i4>329>133=9:90188>:0gb?xu3n=0;6?u24g49563<5=l>67p};f783>7}:4533ty>?k4?:3y>116=9:=018=i:0gb?xu2<90;6?u255295`g<5<>86<=:;|q604<72;q699?51dc89023289=7p}:4383>7}:==81=ho4=467>4503ty>8i4?:3y>11c=9lk018;;:010?xu27}:==31=ho4=46`>4503ty:o84?:3y>7=`=9:<01>7?:0gb?xu6k?0;6?u23839560<5:396c983>7}:;0?1=>84=2;5>4cf3ty:o44?:3y>7<1=9:<01>77:0gb?xu6kh0;6?u238;9560<5:3j67}:94=57g>4cf3ty?:=4?:3y>036=9lk0198=:015?xu3>80;6?u247395`g<5=<96<=:;|q727<72;q68;<51dc89100289<7p};6283>7}:4543ty?:94?:3y>032=9lk01988:015?xu3><0;6?u247795`g<5=<<6<=:;|q723<72;q68;851dc89100289?7p};6`83>7}:4513ty?:o4?:3y>03d=9lk0198j:014?xu3>j0;6?u247a95`g<5=7p}>ce83>7}:<;=1=ho4=505>4513ty88n4?:3y>716=j?16?9j51238yv53?3:1>v3<418b2>;4<10:?<5rs261>5<5s498j7l9;<177?7492wx?9850;0x965a2h<01>:6:012?xu48h0;6?uQ31c8966b289:7p}<0983>7}Y;9201>>k:012?xu48>0;6?uQ3158966d289:7p}<0783>7}Y;9<01>>m:012?xu49:0;6?uQ30189670289:7p}<1083>7}Y;8;01>?9:012?xu4990;6?uQ30289672289:7p}<0g83>7}Y;9l01>?;:012?xu49j0;6?uQ30a89647289:7p}<1`83>7}Y;8k01>?i:012?xu4900;6?uQ30;8967b289:7p}<1983>7}Y;8201>?k:012?xu4:<0;6?uQ3378964>289:7p}<2283>7}Y;;901><7:012?xu4:;0;6?uQ33089640289:7p}<2083>7}Y;;;01><9:012?xu4:l0;6?uQ33g89655289:7p}<2b83>7}Y;;i01>=>:012?xu4:k0;6?uQ33`89657289:7p}<2`83>7}Y;;k01>7}Ykj301nmn:012?xu4;:0;6?uQ32189653289:7p}<3483>7}Y;:?01>=9:012?xu4;>0;6?uQ3258965?289:7p}<3883>7}Y;:301>=n:012?xu4;k0;6?uQ32`8965d289:7p}>4d83>7}Y9=o01<:i:012?xu5910;6?uQ20:8977>289:7p}l2;296~Xd:27h?7?<1:p5fc=838p19m=:011?8c128oj7p}>cg83>7}:<4=d795`g52z?7g5<6;;16i94>e`9~w4b62909w0:mf;306>;b;3;nm6s|1e094?4|5=k=6<==;4cf3ty:h>4?:3y>0gc=9:801h?51dc8yv7c<3:1>v3;be8277=:mo0:il5rs0f6>5<5s4>io7?<2:?fa?7bi2wx=i850;0x91de289970kk:0gb?xu6l>0;6?u24cc9561<5li1=ho4}r3g339>ag<6mh1v63ja;3fe>{t9mk1<745534o26=9lk0q~?kc;296~;3ij0:??52e682ad=z{8no6=4={<6a8k:b`89f`428oj7p}>dg83>7}:;:4=bd6>4cf3ty9i:4?:3y]6`1<5;lj6h;4=3d:>4cf3ty9i94?:3y]6`2<5;l36h=4=3d4>4cf3ty9i?4?:3y]6`4<5;l=6h?4=3d6>4cf3ty9i=4?:3y]6`6<5;l?6ih4=3d0>4cf3ty9hh4?:3y]6ac<5;l96k>4=220>4cf3ty9ik4?:3y]6``<5::96hk4=222>4cf3ty9ii4?:3y]6`b<5::;6hm4=3de>4cf3ty9io4?:3y]6`d<5;ln6ho4=3dg>4cf3ty9i44?:3y]6`?<5;lh6h64=3da>4cf3ty9hi4?:3y]6ab<5;l:64cf3tyhjl4?:3y]gcg<5jli64cf3tyho:4?:3y]gf1<5ji364cf3ty88l4?:3y]71g<5:>i66=4={<1b0?ee349j97?ja:p50c=839p1<;j:0gb?872l38::63>788207=z{8<;6=4<{<354?7bi27:9k4=179>52g=9=80q~?92;297~;6>;0:il521739640<58=i6<:=;|q221<72:q6=;:51dc894042;;=70?8c;376>{t9?<1<7=t=045>4cf34;=97<>6:?23a<6<;1v<87:18087103;nm63>668153=:9>o1=9<4}r35e?6=;r7::l4>e`9>53?=:8<01<9i:061?xu6>j0;6>u217a95`g<58;6080:8?5rs077>5<4s4;>87?ja:?216<59?16=:<51508yv72>3:1?v3>5782ad=:9<84=050>4253ty:954?:2y>50>=9lk01<;8:335?870<3;?>6s|14c94?5|58?j627:;84>439~w43d2908w0?:c;3fe>;6=k09=;52164951453z?b4d<6mh16m>6520489d2d28>97p}69`83>6}:10k1=ho4=8;:>771343i<7?;2:p=de=839p14ol:0gb?8?fj38::636bc8207=z{0kj6=4<{<;be?7bi272m44=179>=gg=9=80q~7n8;297~;>i10:il529`59640<50h26<:=;|q:e3<72:q65l851dc89{t1h>1<7=t=8c7>4cf343j?7<>6:?:f2<6<;1v4o=:1808?f:3;nm636a08153=:1k<1=9<4}r;b4?6=;r72m=4>e`9>=<`=:8<014l::061?xu>1l0;6>u298g95`g<503o6??9;<;a0?73:2wx54m50;1x9;>j:0:8?5rs527>5<4s4>;87?ja:?746<59?1683:1?v3;1782ad=:<8?1><84=506>4253ty?=94?:2y>042=9lk019?<:335?825<3;?>6s|40094?5|5=;9627?>>4>439~w1772908w0:>0;3fe>;38o09=;524309514;i7>53z?74`<6mh168=j52048914628>97p};0b83>6}:<9i1=ho4=52a>77134>9<7?;2:p05g=839p19>n:0gb?827138::63;1g8207=z{=:36=4<{<6304c=9=80q~:?6;297~;38?0:il524179640<5=;o6<:=;|q23=<72;q6=:651dc8941028>97p}6b383>7}:1k81=ho4=8`2>4253ty?=n4?:3y>04e=9lk019?m:061?xu3ko0;6>u24bf9gg=:fd52z?03=e`9~w6102909w0=86;aa?850?3;nm6s|34d94?4|5:?n6nl4=27e>4cf3ty>o=4?:3y>1g`=kk169n>51dc8yv3b:3:1>v3:e08`f>;2m;0:il5rs4`0>5<5s4?i>7mm;<7a7?7bi2wx9ok50;0x90dc2jh018lj:0gb?xu11<0;6?u26869gg=:>0?1=ho4}r4a3?6=:r7=n;4lb:?5f2<6mh1v;67:18180??3ii70878;3fe>{t>091<7fd<5?38633>=9lk0q~97a;296~;0000hn6388`82ad=z{>?i6=4={<56e?ee34=>n7?ja:p330=838p1:8::b`8920128oj7p}73c83>7}:0:k1oo5282`95`gh7>52z?;1fe`9~w=4b2909w06=d;aa?8>5m3;nm6s|82;94?4|51936nl4=91:>4cf3ty2=5b=kk165=k51dc8yv?483:1>v362g8`f>;>;90:il5rs822>5<5s43;<7mm;<;35?7bi2wx5=m50;0x9<6e2jh014>l:0gb?xueo>:18185f83ii70=n1;3fe>{t;?21<7fd<5:<36210=9lk0q~9>9;296~;0910hn6381882ad=z{>oh6=4={<5ff?ee34=no7?ja:p7}:10;1oo5298095`g52z?`ec<6mh16oo>51268yve?i3:1>v3l8`82ad=:k1h1=>:4}ra51?6=:r7h:84>e`9>g30=9:>0q~m;0;296~;d<90:il52c53956252z?`5g<6mh16ov3lc082ad=:kj81=>:4}raae`9>gg?=9:>0q~mm3;296~;dj:0:il52cc6956252z?`=f<6mh16o4j51268yve>;3:1>v3l9282ad=:k0>1=>:4}ra;a?6=:r7h4h4>e`9>g=`=9:>0q~m87;296~;d?>0:il52c6:956252z?`2`<6mh16o;h51268yve113:1>v3l6882ad=:k?k1=>:4}ra66?6=:r7h9?4>e`9>g05=9:>0q~m;9;296~;d<00:il52c5c956252z?`01<6mh16o9;51268yve5l3:1>v3l2e82ad=:k;o1=>:4}ra10?6=:r7h>94>e`9>g73=9:>0q~m>f;296~;d9o0:il52c32956253z?bb2<6mh16n4;512489f7a28987p}>e283>6}:ioh1=ho4=c;g>45134i?87?<3:p5`2=839p1lhi:0gb?8df=3;8:63l688276=z{8o>6=4<{<`37?7bi27imi4>379>g=c=9:90q~?j6;297~;e8>0:il52bc79560<5jh86<=<;|q2a2<72;q6=kj51dc891ea289<7p}>8483>7}:i<=1=>94=ca:>4cf3ty:4;4?:3y>ffe=9lk01l;8:015?xu60>0;6?u2bbf95`g<5h?36<=;;|q2<<<72;q6no851dc89fd4289=7p}>8`83>7}:jk=1=ho4=b`0>4523ty:4o4?:3y>fg>=9lk01nl<:014?xu60j0;6?u2b`g95`g<5j2n6<=9;|q2<`<72;q6nlh51dc89f>b289>7p}>8g83>7}:jk:1=ho4=b:f>4503ty:5=4?:3y>fd0=9lk01n86:015?xu6180;6?u2b`595`g<5j<26<=:;|q2=7<72;q6nl651dc89f0>289<7p}>9283>7}:j0o1=ho4=b67>4513ty:594?:3y>f<`=9lk01n:;:016?xu61<0;6?u2b`295`g<5j>?6<=8;|q2=3<72;q6n4851dc89f7a289=7p}>9683>7}:j0=1=ho4=b3e>4523ty:554?:3y>f<>=9lk01n?i:014?xu6100;6?u2bbc95`g<5ki26<==;|q2=d<72;q6nnl51dc89ge>28987p}>9c83>7}:jk31=ho4=c`;>4553ty:5n4?:3y>fgg=9lk01ol7:010?xu61m0;6?u2bc395`g<5kh;6<==;|q2=c<72;q6no<51dc89gd728987p}>a183>7}:jh31=ho4=cc;>4553ty:m<4?:3y>fdg=9lk01oo7:010?xu6i;0;6?u2b`395`g<5kk;6<==;|q2e6<72;q6nl<51dc89gg728987p}>a583>7}:j031=ho4=c;;>4553ty:m84?:3y>ff289<7p}>a983>7}:k?=1=ho4=b46>4503ty:m44?:3y>g14=9lk01n:?:014?xu6ih0;6?u2c0f95`g<5j;i6<=8;|q2eg<72;q699=51268902328oj7p}>ab83>7}:==31=>94=46b>4cf3ty:mk4?:3y>11d=9:9018:l:0gb?xu6j90;6?u2c1:95`g<5j:<6<=8;|q2f4<72;q6nkj51dc89g`d289<7p}>b383>7}:jo81=ho4=cd2>4503ty:n>4?:3y>f`1=9lk01ok9:014?xu6j=0;6?u2bea95`g<5kni6<=8;|q2f0<72;q6o=851dc89f62289<7p}>b783>7}:joh1=ho4=cdb>4503ty:n:4?:3y>fc6=9lk01oki:014?xu6j10;6?u2bd795`g<5ko?6<=8;|q2fd<72;q6nio51dc89gb>289<7p}>bc83>7}:jm<1=ho4=cf6>4503ty:nn4?:3y>f`7=9lk01ok?:014?xu6jm0;6?u2bda95`g<5koi6<=8;|q2f`<72;q6nk951dc89g`1289<7p}>bg83>7}:k981=ho4=b22>4503ty>5k4?:3y>1l3:1>v3:9b8`f>;21m0:il5rs4``>5<5s4?in7mm;<7ag?7bi2wx9oo50;0x90d>2jh018ln:0gb?xu2j10;6?u25c59gg=:=k21=ho4}r7bf?6=:r7>ml4lb:?6eg<6mh1v8o6:18183f03ii70;n9;3fe>{t=h?1<7fd<561d5=9lk0q~;m1;296~;2j90hn63:b082ad=z{7}:>>;1oo5266095`g52z?5=5e`9~w3>a2909w087e;aa?80?n3;nm6s|69f94?4|5?2h6nl4=7:g>4cf3ty=4=4?:3y>22`=kk16:5>51dc8yv00m3:1>v397e8`f>;1?l0:il5rs75b>5<5s4<<57mm;<44e?7bi2wx::650;0x93102jh01;97:0gb?xu10?0;6?u26979gg=:>1<1=ho4}r4;0?6=:r7=4>4lb:?5<1<6mh1v::8:181813>3ii709;7;3fe>{t?=?1<7fd<5>>>6332=9lk0q~992;296~;0>80hn6386382ad=z{><;6=4={<56b?ee34==<7?ja:p305=838p1:;=:b`8923428oj7p}85083>7}:?<:1oo5274395`g52z?40fe`9~w22e2909w09;a;aa?813j3;nm6s|74;94?4|5>?36nl4=67:>4cf3ty<9:4?:3y>300=kk16;8951dc8yv>6i3:1>v37188`f>;?9h0:il5rs93;>5<5s42:;7mm;<:2950;0x9=512jh015=8:0gb?xu?;<0;6?u28269gg=:0:?1=ho4}r:07?6=:r73??4lb:?;76<6mh1v5<9:1818>5=3ii706=6;3fe>{t0;>1<7fd<518?6<76=9lk0q~6>e;296~;?9m0hn6371d82ad=z{18h6=4={<:1f?ee3429o7?ja:p<7g=838p15<6:b`89=4f28oj7p}7ee83>7}:0li1oo528df95`g52z?;ade`9~w<6f2909w07?9;aa?8?7i3;nm6s|91:94?4|50:<6nl4=82;>4cf3ty2<;4?:3y>=53=kk165=851dc8yv>a13:1>v37f98`f>;?n00:il5rs9d4>5<5s42m:7mm;<:e3?7bi2wx4k=50;0x9=`52jh015h<:0gb?xu?n80;6?u28g29gg=:0o;1=ho4}r:eb?6=:r73jh4lb:?;bc<6mh1v5hk:1818>ak3ii706id;3fe>{tj:21<7fd<5k9365cc9>f17=9lk0q~l9a;296~;e<:0hn63m6`82ad=z{k=j6=4={<`43?ee34h628oj7p}m1083>7}:j9o1oo52b0395`g52z?a50e`9~wg7c2909w0l>a;aa?8d6l3;nm6s|b3494?4|5k886nl4=c05>4cf3tyi>k4?:3y>f7e=kk16n?h51dc8yvd4>3:1>v3m328`f>;e;?0:il5rsc1g>5<5s4h8m7mm;<`0`?7bi2wxn9o50;0x9g202jh01o:n:0gb?xue>o0;6?u2b7a9gg=:j?l1=ho4}rcgb?6=:r7jhh4lb:?b`c<6mh1v8m=:18183d93ii70;l2;3fe>{t>0=1<7fd<5?3<633g=9lk0q~67}:imk1oo52aef95`g52z?`e`e`9~wf>e2909w0m79;aa?8e?j3;nm6s|c7494?4|5j4cf3tyh8<4?:3y>g6`=kk16o9?51dc8yve6k3:1>v3l1`8`f>;d9j0:il5rs`ge>5<5s4kni7mm;{tj>91<7fd<5k=86>5cc9>f67=9lk0q~l7}:kk81oo52cc695`g52z?`e`9~wf0f2909w0m98;aa?8e1i3;nm6s|c5794?4|5j>86nl4=b66>4cf3tyh>=4?:3y>g4c=kk16o?>51dc8yvd0k3:1>v3m7c8`f>;e?j0:il5rsc7f>5<5s4h>n7mm;<`6a?7bi2wxn;650;0x9g042jh01o87:0gb?xue=?0;6?u2b419gg=:j<<1=ho4}r`56?6=:r7i9k4lb:?a27<6mh1vo;=:1818d3l3ii70l:2;3fe>{tjfd<5k?j6e`1=9lk0q~omd;296~;fjj0hn63nbe82ad=z{hhi6=4={7}:ijh1oo52aba95`g52z?bg<e`9~wde?2909w0ol7;aa?8gd03;nm6s|ab494?4|5hi>6nl4=`a5>4cf3tyjo94?:3y>ef5=kk16mn:51dc8yvgd:3:1>v3nc08`f>;fk;0:il5rs`a3>5<5s4kij7mm;2jh01llj:0gb?xufj10;6?u2ac59gg=:ik21=ho4}r6`a?6=10q68nk51gg89323289970;6f;306>;21m0:??525``9564<5m>4>339>1g7=9:8018oi:011?800<3;8>639738277=:>1:1=><4=75f>45534<32899709;7;306>;0<<0:??527419564<5>?:6<==;<57`?74:27<8o4>339>30?=9:801:;8:011?8>6i3;8>637198277=:0;<1=><4=907>4553429<7?<2:?;5`<6;;164?m512089=4f2899706jd;306>;?mk0:??528g;9564<51l<6<==;<:e7?74:273j<4>339>63:b`8277=:=k21=><4=7;2>45534<3j7?<2:?5;?;>0:??528279564<51986<==;<;3e?74:272<54>339>=50=9:801o8n:011?8d0i3;8>63m808277=:j8;1=><4=c3;>45534h:h7?<2:?a63<6;;16n?h512089g51289970l;e339>g=d=9:801n89:011?8e393;8>63l1b8277=:ill1=><4=`d2>45534h?>7?<2:?a36<6;;16oo:512089f>a289970m9a;306>;d<<0:??52c329564<5k?n6<==;<`5339>f34=9:801o;=:011?8d2i3;8>63nf28277=:iln1=><4=`fe>45534i247?<2:?`0`<6;;16o?7512089fdc289970m83;306>;d1?0:??52c839564<5j>h6<==;:4>339>g74=9:801nlm:011?8ee>3;8>63l708277=:k?i1=><4=ba7>45534iij7?<2:?`=c<6;;16o4o512089f1f289970m85;306>;d=<0:??52c429564<5j9;6<==;339>gg?=9:801n7k:011?8e><3;8>63l798277=:k?l1=><4=b70>45534i?m7?<2:?`6`<6;;16o?;512089ddc289870omb;307>;fkl0:?>52aba9565<5hij6<=<;329>ef2=9:901lm=:010?8gd83;8?63nbd8276=:ik21=>=4=`;:>45234k2;7?<5:?bed<6;<16ml6512789dg1289>70on4;301>;fi;0:?852a`29563<5h3n6<=:;349>e<2=9:?0q~m68;296~;d1>0hn63l9982ad=z{j3=6=4={7}:k=n1oo52c5g95`g52z?`0ge`9~wf202909w0m;6;aa?8e3?3;nm6s|c3;94?4|5j836nl4=b0:>4cf3tyh>:4?:3y>g70=kk16o?951dc8yve5:3:1>v3l208`f>;d:;0:il5rs`d0>5<5s4km>7mm;{tk>91<7fd<5j=865cc9>g27=9lk0q~m9c;296~;d>k0hn63l6b82ad=z{ji?6=4={7}:k0o1oo52c8d95`g52z?`=<e`9~wf1f2909w0m89;aa?8e0i3;nm6s|c6794?4|5j=?6nl4=b56>4cf3tyh984?:3y>g02=kk16o8;51dc8yve283:1>v3l4g8`f>;d=90:il5rsb13>5<5s4i9j7mm;j3ii70m6d;3fe>{tk0>1<7fd<5j3?6g2>=9lk0q~m9f;296~;d>m0hn63l6g82ad=z{j?86=4={?7?ja:pg1g=838p1n:7:b`89f2f28oj7p}l2d83>7}:k;i1oo52c3g95`g52z?`6684>e`9~wd?>2909w0o68;aa?8g>13;nm6s|a8594?4|5h3=6nl4=`;4>4cf3tyjml4?:3y>ed?=kk16mlo51dc8yvgf03:1>v3na68`f>;fi10:il5rs`c5>5<5s4kj97mm;l3ii70o6e;3fe>{ti0i1<7fd<5h3h6e9>4>339~w4e62909w0;:5;3fe>;2=:0:?>5rs0a1>5<5s4?>=7?ja:?615<6;;1v83:1=vF=3b9~j72>93:1=vF=3b9~j72>:3:1=vF=3b9~j72>;3:1=vF=3b9~j72><3:1=vF=3b9~j72>=3:1=vF=3b9~j72>>3:1=vF=3b9~j72>?3:1=vF=3b9~j72>03:1=vF=3b9~j72>13:1=vF=3b9~j72>i3:1=vF=3b9~j72>j3:1=vF=3b9~j72>k3:1=vF=3b9~j72>l3:1=vF=3b9~j72>m3:1=vF=3b9~j72>n3:1=vF=3b9~j72f83:1=vF=3b9~j72f93:1=vF=3b9~j72f:3:1=vF=3b9~j72f;3:1=vF=3b9~j72f<3:1=vF=3b9~j72f=3:1=vF=3b9~j72f>3:1=vF=3b9~j72f?3:1=vF=3b9~j72f03:1=vF=3b9~j72f13:1=vF=3b9~j72fi3:1=vF=3b9~j72fj3:1=vF=3b9~j72fk3:1=vF=3b9~j72fl3:1=vF=3b9~j72fm3:1=vF=3b9~j72fn3:1=vF=3b9~j72e83:1=vF=3b9~j72e93:1=vF=3b9~j72e:3:1=vF=3b9~j72e;3:1=vF=3b9~j72e<3:1=vF=3b9~j72e=3:1=vF=3b9~j72e>3:1=vF=3b9~j72e?3:1=vF=3b9~j72e03:1=vF=3b9~j72e13:1=vF=3b9~j72ei3:1=vF=3b9~j72ej3:1=vF=3b9~j72ek3:1=vF=3b9~j72el3:1=vF=3b9~j72em3:1=vF=3b9~j72en3:1=vF=3b9~j72d83:1=vF=3b9~j72d93:1=vF=3b9~j72d:3:1=vF=3b9~j72d;3:1=vF=3b9~j72d<3:1=vF=3b9~j72d=3:1=vF=3b9~j72d>3:1=vF=3b9~j72d?3:1=vF=3b9~j72d03:1=vF=3b9~j72d13:1=vF=3b9~j72di3:1=vF=3b9~j72dj3:1=vF=3b9~j72dk3:1=vF=3b9~j72dl3:1=vF=3b9~j72dm3:1=vF=3b9~j72dn3:1=vF=3b9~j72c83:1=vF=3b9~j72c93:1=vF=3b9~j72c:3:1=vF=3b9~j72c;3:1=vF=3b9~j72c<3:1=vF=3b9~j72c=3:1=vF=3b9~j72c>3:1=vF=3b9~j72c?3:1=vF=3b9~j72c03:1=vF=3b9~j72c13:1=vF=3b9~j72ci3:1=vF=3b9~j72cj3:1=vF=3b9~j72ck3:1=vF=3b9~j72cl3:1=vF=3b9~j72cm3:1=vF=3b9~j72cn3:1=vF=3b9~j72b83:1=vF=3b9~j72b93:1=vF=3b9~j72b:3:1=vF=3b9~j72b;3:1=vF=3b9~j72b<3:1=vF=3b9~j72b=3:1=vF=3b9~j72b>3:1=vF=3b9~j72b?3:1=vF=3b9~j72b03:1=vF=3b9~j72b13:1=vF=3b9~j72bi3:1=vF=3b9~j72bj3:1=vF=3b9~j72bk3:1=vF=3b9~j72bl3:1=vF=3b9~j72bm3:1=vF=3b9~j72bn3:1=vF=3b9~j72a83:1=vF=3b9~j72a93:1=vF=3b9~j72a:3:1=vF=3b9~j72a;3:1=vF=3b9~j72a<3:1=vF=3b9~j72a=3:1=vF=3b9~j72a>3:1=vF=3b9~j72a?3:1=vF=3b9~j72a03:1=vF=3b9~j72a13:1=vF=3b9~j72ai3:1=vF=3b9~j72aj3:1=vF=3b9~j72ak3:1=vF=3b9~j72al3:1=vF=3b9~j72am3:1=vF=3b9~j72an3:1=vF=3b9~j73783:1=vF=3b9~j73793:1=vF=3b9~j737:3:1=vF=3b9~j737;3:1=vF=3b9~j737<3:1=vF=3b9~j737=3:1=vF=3b9~j737>3:1=vF=3b9~j737?3:1=vF=3b9~j73703:1=vF=3b9~j73713:1=vF=3b9~j737i3:1=vF=3b9~j737j3:1=vF=3b9~j737k3:1=vF=3b9~j737l3:1=vF=3b9~j737m3:1=vF=3b9~j737n3:1=vF=3b9~j73683:1=vF=3b9~j73693:1=vF=3b9~j736:3:1=vF=3b9~j736;3:1=vF=3b9~j736<3:1=vF=3b9~j736=3:1=vF=3b9~j736>3:1=vF=3b9~j736?3:1=vF=3b9~j73603:1=vF=3b9~j73613:1=vF=3b9~j736i3:1=vF=3b9~j736j3:1=vF=3b9~j736k3:1=vF=3b9~j736l3:1=vF=3b9~j736m3:1=vF=3b9~j736n3:1=vF=3b9~j73583:1=vF=3b9~j73593:1=vF=3b9~j735:3:1=vF=3b9~j735;3:1=vF=3b9~j735<3:1=vF=3b9~j735=3:1=vF=3b9~j735>3:1=vF=3b9~j735?3:1=vF=3b9~j73503:1=vF=3b9~j73513:1=vF=3b9~j735i3:1=vF=3b9~j735j3:1=vF=3b9~j735k3:1=vF=3b9~j735l3:1=vF=3b9~j735m3:1=vF=3b9~j735n3:1=vF=3b9~j73483:1=vF=3b9~j73493:1=vF=3b9~j734:3:1=vF=3b9~j734;3:1=vF=3b9~j734<3:1=vF=3b9~j734=3:1=vF=3b9~j734>3:1=vF=3b9~j734?3:1=vF=3b9~j73403:1=vF=3b9~j73413:1=vF=3b9~j734i3:1=vF=3b9~j734j3:1=vF=3b9~j734k3:1=vF=3b9~j734l3:1=vF=3b9~j734m3:1=vF=3b9~j734n3:1=vF=3b9~j73383:1=vF=3b9~j73393:1=vF=3b9~j733:3:1=vF=3b9~j733;3:1=vF=3b9~j733<3:1=vF=3b9~j733=3:1=vF=3b9~j733>3:1=vF=3b9~j733?3:1=vF=3b9~j73303:1=vF=3b9~j73313:1=vF=3b9~j733i3:1=vF=3b9~j733j3:1=vF=3b9~j733k3:1=vF=3b9~j733l3:1=vF=3b9~j733m3:1=vF=3b9~j733n3:1=vF=3b9~j73283:1=vF=3b9~j73293:1=vF=3b9~j732:3:1=vF=3b9~j732;3:1=vF=3b9~j732<3:1=vF=3b9~j732=3:1=vF=3b9~j732>3:1=vF=3b9~j732?3:1=vF=3b9~j73203:1=vF=3b9~j73213:1=vF=3b9~j732i3:1=vF=3b9~j732j3:1=vF=3b9~j732k3:1=vF=3b9~j732l3:1=vF=3b9~j732m3:1=vF=3b9~j732n3:1=vF=3b9~j73183:1=vF=3b9~j73193:1=vF=3b9~j731:3:1=vF=3b9~j731;3:1=vF=3b9~j731<3:1=vF=3b9~j731=3:1=vF=3b9~j731>3:1=vF=3b9~j731?3:1=vF=3b9~j73103:1=vF=3b9~j73113:1=vF=3b9~j731i3:1=vF=3b9~j731j3:1=vF=3b9~j731k3:1=vF=3b9~j731l3:1=vF=3b9~j731m3:1=vF=3b9~j731n3:1=vF=3b9~j73083:1=vF=3b9~j73093:1=vF=3b9~j730:3:1=vF=3b9~j730;3:1=vF=3b9~j730<3:1=vF=3b9~j730=3:1=vF=3b9~j730>3:1=vF=3b9~j730?3:1=vF=3b9~j73003:1=vF=3b9~j73013:1=vF=3b9~j730i3:1=vF=3b9~j730j3:1=vF=3b9~j730k3:1=vF=3b9~j730l3:1=vF=3b9~j730m3:1=vF=3b9~j730n3:1=vF=3b9~j73?83:1=vF=3b9~j73?93:1=vF=3b9~j73?:3:1=vF=3b9~j73?;3:1=vF=3b9~j73?<3:1=vF=3b9~j73?=3:1=vF=3b9~j73?>3:1=vF=3b9~j73??3:1=vF=3b9~j73?03:1=vF=3b9~j73?13:1=vF=3b9~j73?i3:1=vF=3b9~j73?j3:1=vF=3b9~j73?k3:1=vF=3b9~j73?l3:1=vF=3b9~j73?m3:1=vF=3b9~j73?n3:1=vF=3b9~j73>83:1=vF=3b9~j73>93:1=vF=3b9~j73>:3:1=vF=3b9~j73>;3:1=vF=3b9~j73><3:1=vF=3b9~j73>=3:1=vF=3b9~j73>>3:1=vF=3b9~j73>?3:1=vF=3b9~j73>03:1=vF=3b9~j73>13:1=vF=3b9~j73>i3:1=vF=3b9~j73>j3:1=vF=3b9~j73>k3:1=vF=3b9~j73>l3:1=vF=3b9~j73>m3:1=vF=3b9~j73>n3:1=vF=3b9~j73f83:1=vF=3b9~j73f93:1=vF=3b9~j73f:3:1=vF=3b9~j73f;3:1=vF=3b9~j73f<3:1=vF=3b9~j73f=3:1=vF=3b9~j73f>3:1=vF=3b9~j73f?3:1=vF=3b9~j73f03:1=vF=3b9~j73f13:1=vF=3b9~j73fi3:1=vF=3b9~j73fj3:1=vF=3b9~j73fk3:1=vF=3b9~j73fl3:1=vF=3b9~j73fm3:1=vF=3b9~j73fn3:1=vF=3b9~j73e83:1=vF=3b9~j73e93:1=vF=3b9~j73e:3:1=vF=3b9~j73e;3:1=vF=3b9~j73e<3:1=vF=3b9~j73e=3:1=vF=3b9~j73e>3:1=vF=3b9~j73e?3:1=vF=3b9~j73e03:1=vF=3b9~j73e13:1=vF=3b9~j73ei3:1=vF=3b9~j73ej3:1=vF=3b9~j73ek3:1=vF=3b9~j73el3:1=vF=3b9~j73em3:1=vF=3b9~j73en3:1=vF=3b9~j73d83:1=vF=3b9~j73d93:1=vF=3b9~j73d:3:1=vF=3b9~j73d;3:1=vF=3b9~j73d<3:1=vF=3b9~j73d=3:1=vF=3b9~j73d>3:1=vF=3b9~j73d?3:1=vF=3b9~j73d03:1=vF=3b9~j73d13:1=vF=3b9~j73di3:1=vF=3b9~j73dj3:1=vF=3b9~j73dk3:1=vF=3b9~j73dl3:1=vF=3b9~j73dm3:1=vF=3b9~j73dn3:1=vF=3b9~j73c83:1=vF=3b9~j73c93:1=vF=3b9~j73c:3:1=vF=3b9~j73c;3:1=vF=3b9~j73c<3:1=vF=3b9~j73c=3:1=vF=3b9~j73c>3:1=vF=3b9~j73c?3:1=vF=3b9~j73c03:1=vF=3b9~j73c13:1=vF=3b9~j73ci3:1=vF=3b9~j73cj3:1=vF=3b9~j73ck3:1=vF=3b9~j73cl3:1=vF=3b9~j73cm3:1=vF=3b9~j73cn3:1=vF=3b9~j73b83:1=vF=3b9~j73b93:1=vF=3b9~j73b:3:1=vF=3b9~j73b;3:1=vF=3b9~j73b<3:1=vF=3b9~j73b=3:1=vF=3b9~j73b>3:1=vF=3b9~j73b?3:1=vF=3b9~j73b03:1=vF=3b9~j73b13:1=vF=3b9~j73bi3:1=vF=3b9~j73bj3:1=vF=3b9~j73bk3:1=vF=3b9~j73bl3:1=vF=3b9~j73bm3:1=vF=3b9~j73bn3:1=vF=3b9~j73a83:1=vF=3b9~j73a93:1=vF=3b9~j73a:3:1=vF=3b9~j73a;3:1=vF=3b9~j73a<3:1=vF=3b9~j73a=3:1=vF=3b9~j73a>3:1=vF=3b9~j73a?3:1=vF=3b9~j73a03:1=vF=3b9~j73a13:1=vF=3b9~j73ai3:1=vF=3b9~j73aj3:1=vF=3b9~j73ak3:1=vF=3b9~j73al3:1=vF=3b9~j73am3:1=vF=3b9~j73an3:1=vF=3b9~j70783:1=vF=3b9~j70793:1=vF=3b9~j707:3:1=vF=3b9~j707;3:1=vF=3b9~j707<3:1=vF=3b9~j707=3:1=vF=3b9~j707>3:1=vF=3b9~j707?3:1=vF=3b9~j70703:1=vF=3b9~j70713:1=vF=3b9~j707i3:1=vF=3b9~j707j3:1=vF=3b9~j707k3:1=vF=3b9~j707l3:1=vF=3b9~j707m3:1=vF=3b9~j707n3:1=vF=3b9~j70683:1=vF=3b9~j70693:1=vF=3b9~j706:3:1=vF=3b9~j706;3:1=vF=3b9~j706<3:1=vF=3b9~j706=3:1=vF=3b9~j706>3:1=vF=3b9~j706?3:1=vF=3b9~j70603:1=vF=3b9~j70613:1=vF=3b9~j706i3:1=vF=3b9~j706j3:1=vF=3b9~j706k3:1=vF=3b9~j706l3:1=vF=3b9~j706m3:1=vF=3b9~j706n3:1=vF=3b9~j70583:1=vF=3b9~j70593:1=vF=3b9~j705:3:1=vF=3b9~j705;3:1=vF=3b9~j705<3:1=vF=3b9~j705=3:1=vF=3b9~j705>3:1=vF=3b9~j705?3:1=vF=3b9~j70503:1=vF=3b9~j70513:1=vF=3b9~j705i3:1=vF=3b9~j705j3:1=vF=3b9~j705k3:1=vF=3b9~j705l3:1=vF=3b9~j705m3:1=vF=3b9~j705n3:1=vF=3b9~j70483:1=vF=3b9~j70493:1=vF=3b9~j704:3:1=vF=3b9~j704;3:1=vF=3b9~j704<3:1=vF=3b9~j704=3:1=vF=3b9~j704>3:1=vF=3b9~j704?3:1=vF=3b9~j70403:1=vF=3b9~j70413:1=vF=3b9~j704i3:1=vF=3b9~j704j3:1=vF=3b9~j704k3:1=vF=3b9~j704l3:1=vF=3b9~j704m3:1=vF=3b9~j704n3:1=vF=3b9~j70383:1=vF=3b9~j70393:1=vF=3b9~j703:3:1=vF=3b9~j703;3:1=vF=3b9~j703<3:1=vF=3b9~j703=3:1=vF=3b9~j703>3:1=vF=3b9~j703?3:1=vF=3b9~j70303:1=vF=3b9~j70313:1=vF=3b9~j703i3:1=vF=3b9~j703j3:1=vF=3b9~j703k3:1=vF=3b9~j703l3:1=vF=3b9~j703m3:1=vF=3b9~j703n3:1=vF=3b9~j70283:1=vF=3b9~j70293:1=vF=3b9~j702:3:1=vF=3b9~j702;3:1=vF=3b9~j702<3:1=vF=3b9~j702=3:1=vF=3b9~j702>3:1=vF=3b9~j702?3:1=vF=3b9~j70203:1=vF=3b9~j70213:1=vF=3b9~j702i3:1=vF=3b9~j702j3:1=vF=3b9~j702k3:1=vF=3b9~j702l3:1=vF=3b9~j702m3:1=vF=3b9~j702n3:1=vF=3b9~j70183:1=vF=3b9~j70193:1=vF=3b9~j701:3:1=vF=3b9~j701;3:1=vF=3b9~j701<3:1=vF=3b9~j701=3:1=vF=3b9~j701>3:1=vF=3b9~j701?3:1=vF=3b9~j70103:1=vF=3b9~j70113:1=vF=3b9~j701i3:1=vF=3b9~j701j3:1=vF=3b9~j701k3:1=vF=3b9~j701l3:1=vF=3b9~j701m3:1=vF=3b9~j701n3:1=vF=3b9~j70083:1=vF=3b9~j70093:1=vF=3b9~j700:3:1=vF=3b9~j700;3:1=vF=3b9~j700<3:1=vF=3b9~j700=3:1=vF=3b9~j700>3:1=vF=3b9~j700?3:1=vF=3b9~j70003:1=vF=3b9~j70013:1=vF=3b9~j700i3:1=vF=3b9~j700j3:1=vF=3b9~j700k3:1=vF=3b9~j700l3:1=vF=3b9~j700m3:1=vF=3b9~j700n3:1=vF=3b9~j70?83:1=vF=3b9~j70?93:1=vF=3b9~j70?:3:1=vF=3b9~j70?;3:1=vF=3b9~j70?<3:1=vF=3b9~j70?=3:1=vF=3b9~j70?>3:1=vF=3b9~j70??3:1=vF=3b9~j70?03:1=vF=3b9~j70?13:1=vF=3b9~j70?i3:1=vF=3b9~j70?j3:1=vF=3b9~j70?k3:1=vF=3b9~j70?l3:1=vF=3b9~j70?m3:1=vF=3b9~j70?n3:1=vF=3b9~j70>83:1=vF=3b9~j70>93:1=vF=3b9~j70>:3:1=vF=3b9~j70>;3:1=vF=3b9~j70><3:1=vF=3b9~j70>=3:1=vF=3b9~j70>>3:1=vF=3b9~j70>?3:1=vF=3b9~j70>03:1=vF=3b9~j70>13:1=vF=3b9~j70>i3:1=vF=3b9~j70>j3:1=vF=3b9~j70>k3:1=vF=3b9~j70>l3:1=vF=3b9~j70>m3:1=vF=3b9~j70>n3:1=vF=3b9~j70f83:1=vF=3b9~j70f93:1=vF=3b9~j70f:3:1=vF=3b9~j70f;3:1=vF=3b9~j70f<3:1=vF=3b9~j70f=3:1=vF=3b9~j70f>3:1=vF=3b9~j70f?3:1=vF=3b9~j70f03:1=vF=3b9~j70f13:1=vF=3b9~j70fi3:1=vF=3b9~j70fj3:1=vF=3b9~j70fk3:1=vF=3b9~j70fl3:1=vF=3b9~j70fm3:1=vF=3b9~j70fn3:1=vF=3b9~j70e83:1=vF=3b9~j70e93:1=vF=3b9~j70e:3:1=vF=3b9~j70e;3:1=vF=3b9~j70e<3:1=vF=3b9~j70e=3:1=vF=3b9~j70e>3:1=vF=3b9~j70e?3:1=vF=3b9~j70e03:1=vF=3b9~j70e13:1=vF=3b9~j70ei3:1=vF=3b9~j70ej3:1=vF=3b9~j70ek3:1=vF=3b9~j70el3:1=vF=3b9~j70em3:1=vF=3b9~j70en3:1=vF=3b9~j70d83:1=vF=3b9~j70d93:1=vF=3b9~j70d:3:1=vF=3b9~j70d;3:1=vF=3b9~j70d<3:1=vF=3b9~j70d=3:1=vF=3b9~j70d>3:1=vF=3b9~j70d?3:1=vF=3b9~j70d03:1=vF=3b9~j70d13:1=vF=3b9~j70di3:1=vF=3b9~j70dj3:1=vF=3b9~j70dk3:1=vF=3b9~j70dl3:1=vF=3b9~j70dm3:1=vF=3b9~j70dn3:1=vF=3b9~j70c83:1=vF=3b9~j70c93:1=vF=3b9~j70c:3:1=vF=3b9~j70c;3:1=vF=3b9~j70c<3:1=vF=3b9~j70c=3:1=vF=3b9~j70c>3:1=vF=3b9~j70c?3:1=vF=3b9~j70c03:1=vF=3b9~j70c13:1=vF=3b9~j70ci3:1=vF=3b9~j70cj3:1=vF=3b9~j70ck3:1=vF=3b9~j70cl3:1=vF=3b9~j70cm3:1=vF=3b9~j70cn3:1=vF=3b9~j70b83:1=vF=3b9~j70b93:1=vF=3b9~j70b:3:1=vF=3b9~j70b;3:1=vF=3b9~j70b<3:1=vF=3b9~j70b=3:1=vF=3b9~j70b>3:1=vF=3b9~j70b?3:1=vF=3b9~j70b03:1=vF=3b9~j70b13:1=vF=3b9~j70bi3:1=vF=3b9~j70bj3:1=vF=3b9~j70bk3:1=vF=3b9~j70bl3:1=vF=3b9~j70bm3:1=vF=3b9~j70bn3:1=vF=3b9~j70a83:1=vF=3b9~j70a93:1=vF=3b9~j70a:3:1=vF=3b9~j70a;3:1=vF=3b9~j70a<3:1=vF=3b9~j70a=3:1=vF=3b9~j70a>3:1=vF=3b9~j70a?3:1=vF=3b9~j70a03:1=vF=3b9~j70a13:1=vF=3b9~j70ai3:1=vF=3b9~j70aj3:1=vF=3b9~j70ak3:1=vF=3b9~j70al3:1=vF=3b9~j70am3:1=vF=3b9~j70an3:1=vF=3b9~j71783:1=vF=3b9~j71793:1=vF=3b9~j717:3:1=vF=3b9~j717;3:1=vF=3b9~j717<3:1=vF=3b9~j717=3:1=vF=3b9~j717>3:1=vF=3b9~j717?3:1=vF=3b9~j71703:1=vF=3b9~j71713:1=vF=3b9~j717i3:1=vF=3b9~j717j3:1=vF=3b9~j717k3:1=vF=3b9~j717l3:1=vF=3b9~j717m3:1=vF=3b9~j717n3:1=vF=3b9~j71683:1=vF=3b9~j71693:1=vF=3b9~j716:3:1=vF=3b9~j716;3:1=vF=3b9~j716<3:1=vF=3b9~j716=3:1=vF=3b9~j716>3:1=vF=3b9~j716?3:1=vF=3b9~j71603:1=vF=3b9~j71613:1=vF=3b9~j716i3:1=vF=3b9~j716j3:1=vF=3b9~j716k3:1=vF=3b9~j716l3:1=vF=3b9~j716m3:1=vF=3b9~j716n3:1=vF=3b9~j71583:1=vF=3b9~j71593:1=vF=3b9~j715:3:1=vF=3b9~j715;3:1=vF=3b9~j715<3:1=vF=3b9~j715=3:1=vF=3b9~j715>3:1=vF=3b9~j715?3:1=vF=3b9~j71503:1=vF=3b9~j71513:1=vF=3b9~j715i3:1=vF=3b9~j715j3:1=vF=3b9~j715k3:1=vF=3b9~j715l3:1=vF=3b9~j715m3:1=vF=3b9~j715n3:1=vF=3b9~j71483:1=vF=3b9~j71493:1=vF=3b9~j714:3:1=vF=3b9~j714;3:1=vF=3b9~j714<3:1=vF=3b9~j714=3:1=vF=3b9~j714>3:1=vF=3b9~j714?3:1=vF=3b9~j71403:1=vF=3b9~j71413:1=vF=3b9~j714i3:1=vF=3b9~j714j3:1=vF=3b9~j714k3:1=vF=3b9~j714l3:1=vF=3b9~j714m3:1=vF=3b9~j714n3:1=vF=3b9~j71383:1=vF=3b9~j71393:1=vF=3b9~j713:3:1=vF=3b9~j713;3:1=vF=3b9~j713<3:1=vF=3b9~j713=3:1=vF=3b9~j713>3:1=vF=3b9~j713?3:1=vF=3b9~j71303:1=vF=3b9~j71313:1=vF=3b9~j713i3:1=vF=3b9~j713j3:1=vF=3b9~j713k3:1=vF=3b9~j713l3:1=vF=3b9~j713m3:1=vF=3b9~j713n3:1=vF=3b9~j71283:1=vF=3b9~j71293:1=vF=3b9~j712:3:1=vF=3b9~j712;3:1=vF=3b9~j712<3:1=vF=3b9~j712=3:1=vF=3b9~j712>3:1=vF=3b9~j712?3:1=vF=3b9~j71203:1=vF=3b9~j71213:1=vF=3b9~j712i3:1=vF=3b9~j712j3:1=vF=3b9~j712k3:1=vF=3b9~j712l3:1=vF=3b9~j712m3:1=vF=3b9~j712n3:1=vF=3b9~j71183:1=vF=3b9~j71193:1=vF=3b9~j711:3:1=vF=3b9~j711;3:1=vF=3b9~j711<3:1=vF=3b9~j711=3:1=vF=3b9~j711>3:1=vF=3b9~j711?3:1=vF=3b9~j71103:1=vF=3b9~j71113:1=vF=3b9~j711i3:1=vF=3b9~j711j3:1=vF=3b9~j711k3:1=vF=3b9~j711l3:1=vF=3b9~j711m3:1=vF=3b9~j711n3:1=vF=3b9~j71083:1=vF=3b9~j71093:1=vF=3b9~j710:3:1=vF=3b9~j710;3:1=vF=3b9~j710<3:1=vF=3b9~j710=3:1=vF=3b9~j710>3:1=vF=3b9~j710?3:1=vF=3b9~j71003:1=vF=3b9~j71013:1=vF=3b9~j710i3:1=vF=3b9~j710j3:1=vF=3b9~j710k3:1=vF=3b9~j710l3:1=vF=3b9~j710m3:1=vF=3b9~j710n3:1=vF=3b9~j71?83:1=vF=3b9~j71?93:1=vF=3b9~j71?:3:1=vF=3b9~j71?;3:1=vF=3b9~j71?<3:1=vF=3b9~j71?=3:1=vF=3b9~j71?>3:1=vF=3b9~j71??3:1=vF=3b9~j71?03:1=vF=3b9~j71?13:1=vF=3b9~j71?i3:1=vF=3b9~j71?j3:1=vF=3b9~j71?k3:1=vF=3b9~j71?l3:1=vF=3b9~j71?m3:1=vF=3b9~j71?n3:1=vF=3b9~j71>83:1=vF=3b9~j71>93:1=vF=3b9~j71>:3:1=vF=3b9~j71>;3:1=vF=3b9~j71><3:1=vF=3b9~j71>=3:1=vF=3b9~j71>>3:1=vF=3b9~j71>?3:1=vF=3b9~j71>03:1=vF=3b9~j71>13:1=vF=3b9~j71>i3:1=vF=3b9~j71>j3:1=vF=3b9~j71>k3:1=vF=3b9~j71>l3:1=vF=3b9~j71>m3:1=vF=3b9~j71>n3:1=vF=3b9~j71f83:1=vF=3b9~j71f93:1=vF=3b9~j71f:3:1=vF=3b9~j71f;3:1=vF=3b9~j71f<3:1=vF=3b9~j71f=3:1=vF=3b9~j71f>3:1=vF=3b9~j71f?3:1=vF=3b9~j71f03:1=vF=3b9~j71f13:1=vF=3b9~j71fi3:1=vF=3b9~j71fj3:1=vF=3b9~j71fk3:1=vF=3b9~j71fl3:1=vF=3b9~j71fm3:1=vF=3b9~j71fn3:1=vF=3b9~j71e83:1=vF=3b9~j71e93:1=vF=3b9~j71e:3:1=vF=3b9~j71e;3:1=vF=3b9~j71e<3:1=vF=3b9~j71e=3:1=vF=3b9~j71e>3:1=vF=3b9~j71e?3:1=vF=3b9~j71e03:1=vF=3b9~j71e13:1=vF=3b9~j71ei3:1=vF=3b9~j71ej3:1=vF=3b9~j71ek3:1=vF=3b9~j71el3:1=vF=3b9~j71em3:1=vF=3b9~j71en3:1=vF=3b9~j71d83:1=vF=3b9~j71d93:1=vF=3b9~j71d:3:1=vF=3b9~j71d;3:1=vF=3b9~j71d<3:1=vF=3b9~j71d=3:1=vF=3b9~j71d>3:1=vF=3b9~j71d?3:1=vF=3b9~j71d03:1=vF=3b9~j71d13:1=vF=3b9~j71di3:1=vF=3b9~j71dj3:1=vF=3b9~j71dk3:1=vF=3b9~j71dl3:1=vF=3b9~j71dm3:1=vF=3b9~j71dn3:1=vF=3b9~j71c83:1=vF=3b9~j71c93:1=vF=3b9~j71c:3:1=vF=3b9~j71c;3:1=vF=3b9~j71c<3:1=vF=3b9~j71c=3:1=vF=3b9~j71c>3:1=vF=3b9~j71c?3:1=vF=3b9~j71c03:1=vF=3b9~j71c13:1=vF=3b9~j71ci3:1=vF=3b9~j71cj3:1=vF=3b9~j71ck3:1=vF=3b9~j71cl3:1=vF=3b9~j71cm3:1=vF=3b9~j71cn3:1=vF=3b9~j71b83:1=vF=3b9~j71b93:1=vF=3b9~j71b:3:1=vF=3b9~j71b;3:1=vF=3b9~j71b<3:1=vF=3b9~j71b=3:1=vF=3b9~j71b>3:1=vF=3b9~j71b?3:1=vF=3b9~j71b03:1=vF=3b9~j71b13:1=vF=3b9~j71bi3:1=vF=3b9~j71bj3:1=vF=3b9~j71bk3:1=vF=3b9~j71bl3:1=vF=3b9~j71bm3:1=vF=3b9~j71bn3:1=vF=3b9~j71a83:1=vF=3b9~j71a93:1=vF=3b9~j71a:3:1=vF=3b9~j71a;3:1=vF=3b9~j71a<3:1=vF=3b9~j71a=3:1=vF=3b9~j71a>3:1=vF=3b9~j71a?3:1=vF=3b9~j71a03:1=vF=3b9~j71a13:1=vF=3b9~j71ai3:1=vF=3b9~j71aj3:1=vF=3b9~j71ak3:1=vF=3b9~j71al3:1=vF=3b9~j71am3:1=vF=3b9~j71an3:1=vF=3b9~j7>783:1=vF=3b9~j7>793:1=vF=3b9~j7>7:3:1=vF=3b9~j7>7;3:1=vF=3b9~j7>7<3:1=vF=3b9~j7>7=3:1=vF=3b9~j7>7>3:1=vF=3b9~j7>7?3:1=vF=3b9~j7>703:1=vF=3b9~j7>713:1=vF=3b9~j7>7i3:1=vF=3b9~j7>7j3:1=vF=3b9~j7>7k3:1=vF=3b9~j7>7l3:1=vF=3b9~j7>7m3:1=vF=3b9~j7>7n3:1=vF=3b9~j7>683:1=vF=3b9~j7>693:1=vF=3b9~j7>6:3:1=vF=3b9~j7>6;3:1=vF=3b9~j7>6<3:1=vF=3b9~j7>6=3:1=vF=3b9~j7>6>3:1=vF=3b9~j7>6?3:1=vF=3b9~j7>603:1=vF=3b9~j7>613:1=vF=3b9~j7>6i3:1=vF=3b9~j7>6j3:1=vF=3b9~j7>6k3:1=vF=3b9~j7>6l3:1=vF=3b9~j7>6m3:1=vF=3b9~j7>6n3:1=vF=3b9~j7>583:1=vF=3b9~j7>593:1=vF=3b9~j7>5:3:1=vF=3b9~j7>5;3:1=vF=3b9~j7>5<3:1=vF=3b9~j7>5=3:1=vF=3b9~j7>5>3:1=vF=3b9~j7>5?3:1=vF=3b9~j7>503:1=vF=3b9~j7>513:1=vF=3b9~j7>5i3:1=vF=3b9~j7>5j3:1=vF=3b9~j7>5k3:1=vF=3b9~j7>5l3:1=vF=3b9~j7>5m3:1=vF=3b9~j7>5n3:1=vF=3b9~j7>483:1=vF=3b9~j7>493:1=vF=3b9~j7>4:3:1=vF=3b9~j7>4;3:1=vF=3b9~j7>4<3:1=vF=3b9~j7>4=3:1=vF=3b9~j7>4>3:1=vF=3b9~j7>4?3:1=vF=3b9~j7>403:1=vF=3b9~j7>413:1=vF=3b9~j7>4i3:1=vF=3b9~j7>4j3:1=vF=3b9~j7>4k3:1=vF=3b9~j7>4l3:1=vF=3b9~j7>4m3:1=vF=3b9~j7>4n3:1=vF=3b9~j7>383:1=vF=3b9~j7>393:1=vF=3b9~j7>3:3:1=vF=3b9~j7>3;3:1=vF=3b9~j7>3<3:1=vF=3b9~j7>3=3:1=vF=3b9~j7>3>3:1=vF=3b9~j7>3?3:1=vF=3b9~j7>303:1=vF=3b9~j7>313:1=vF=3b9~j7>3i3:1=vF=3b9~j7>3j3:1=vF=3b9~j7>3k3:1=vF=3b9~j7>3l3:1=vF=3b9~j7>3m3:1=vF=3b9~j7>3n3:1=vF=3b9~j7>283:1=vF=3b9~j7>293:1=vF=3b9~j7>2:3:1=vF=3b9~j7>2;3:1=vF=3b9~j7>2<3:1=vF=3b9~j7>2=3:1=vF=3b9~j7>2>3:1=vF=3b9~j7>2?3:1=vF=3b9~j7>203:1=vF=3b9~j7>213:1=vF=3b9~j7>2i3:1=vF=3b9~j7>2j3:1=vF=3b9~j7>2k3:1=vF=3b9~j7>2l3:1=vF=3b9~j7>2m3:1=vF=3b9~j7>2n3:1=vF=3b9~j7>183:1=vF=3b9~j7>193:1=vF=3b9~j7>1:3:1=vF=3b9~j7>1;3:1=vF=3b9~j7>1<3:1=vF=3b9~j7>1=3:1=vF=3b9~j7>1>3:1=vF=3b9~j7>1?3:1=vF=3b9~j7>103:1=vF=3b9~j7>113:1=vF=3b9~j7>1i3:1=vF=3b9~j7>1j3:1=vF=3b9~j7>1k3:1=vF=3b9~j7>1l3:1=vF=3b9~j7>1m3:1=vF=3b9~j7>1n3:1=vF=3b9~j7>083:1=vF=3b9~j7>093:1=vF=3b9~j7>0:3:1=vF=3b9~j7>0;3:1=vF=3b9~j7>0<3:1=vF=3b9~j7>0=3:1=vF=3b9~j7>0>3:1=vF=3b9~j7>0?3:1=vF=3b9~j7>003:1=vF=3b9~j7>013:1=vF=3b9~j7>0i3:1=vF=3b9~j7>0j3:1=vF=3b9~j7>0k3:1=vF=3b9~j7>0l3:1=vF=3b9~j7>0m3:1=vF=3b9~j7>0n3:1=vF=3b9~j7>?83:1=vF=3b9~j7>?93:1=vF=3b9~j7>?:3:1=vF=3b9~j7>?;3:1=vF=3b9~j7>?<3:1=vF=3b9~j7>?=3:1=vF=3b9~j7>?>3:1=vF=3b9~j7>??3:1=vF=3b9~j7>?03:1=vF=3b9~j7>?13:1=vF=3b9~j7>?i3:1=vF=3b9~j7>?j3:1=vF=3b9~j7>?k3:1=vF=3b9~j7>?l3:1=vF=3b9~j7>?m3:1=vF=3b9~j7>?n3:1=vF=3b9~j7>>83:1=vF=3b9~j7>>93:1=vF=3b9~j7>>:3:1=vF=3b9~j7>>;3:1=vF=3b9~j7>><3:1=vF=3b9~j7>>=3:1=vF=3b9~j7>>>3:1=vF=3b9~j7>>?3:1=vF=3b9~j7>>03:1=vF=3b9~j7>>13:1=vF=3b9~j7>>i3:1=vF=3b9~j7>>j3:1=vF=3b9~j7>>k3:1=vF=3b9~j7>>l3:1=vF=3b9~j7>>m3:1=vF=3b9~j7>>n3:1=vF=3b9~j7>f83:1=vF=3b9~j7>f93:1=vF=3b9~j7>f:3:1=vF=3b9~j7>f;3:1=vF=3b9~j7>f<3:1=vF=3b9~j7>f=3:1=vF=3b9~j7>f>3:1=vF=3b9~j7>f?3:1=vF=3b9~j7>f03:1=vF=3b9~j7>f13:1=vF=3b9~j7>fi3:1=vF=3b9~j7>fj3:1=vF=3b9~j7>fk3:1=vF=3b9~j7>fl3:1=vF=3b9~j7>fm3:1=vF=3b9~j7>fn3:1=vF=3b9~j7>e83:1=vF=3b9~j7>e93:1=vF=3b9~j7>e:3:1=vF=3b9~j7>e;3:1=vF=3b9~j7>e<3:1=vF=3b9~j7>e=3:1=vF=3b9~j7>e>3:1=vF=3b9~j7>e?3:1=vF=3b9~j7>e03:1=vF=3b9~j7>e13:1=vF=3b9~j7>ei3:1=vF=3b9~j7>ej3:1=vF=3b9~j7>ek3:1=vF=3b9~j7>el3:1=vF=3b9~j7>em3:1=vF=3b9~j7>en3:1=vF=3b9~j7>d83:1=vF=3b9~j7>d93:1=vF=3b9~j7>d:3:1=vF=3b9~j7>d;3:1=vF=3b9~j7>d<3:1=vF=3b9~j7>d=3:1=vF=3b9~j7>d>3:1=vF=3b9~j7>d?3:1=vF=3b9~j7>d03:1=vF=3b9~j7>d13:1=vF=3b9~j7>di3:1=vF=3b9~j7>dj3:1=vF=3b9~j7>dk3:1=vF=3b9~j7>dl3:1=vF=3b9~j7>dm3:1=vF=3b9~j7>dn3:1=vF=3b9~j7>c83:1=vF=3b9~j7>c93:1=vF=3b9~j7>c:3:1=vF=3b9~j7>c;3:1=vF=3b9~j7>c<3:1=vF=3b9~j7>c=3:1=vF=3b9~j7>c>3:1=vF=3b9~j7>c?3:1=vF=3b9~j7>c03:1=vF=3b9~j7>c13:1=vF=3b9~j7>ci3:1=vF=3b9~j7>cj3:1=vF=3b9~j7>ck3:1=vF=3b9~j7>cl3:1=vF=3b9~j7>cm3:1=vF=3b9~j7>cn3:1=vF=3b9~j7>b83:1=vF=3b9~j7>b93:1=vF=3b9~j7>b:3:1=vF=3b9~j7>b;3:1=vF=3b9~j7>b<3:1=vF=3b9~j7>b=3:1=vF=3b9~j7>b>3:1=vF=3b9~j7>b?3:1=vF=3b9~j7>b03:1=vF=3b9~j7>b13:1=vF=3b9~j7>bi3:1=vF=3b9~j7>bj3:1=vF=3b9~j7>bk3:1=vF=3b9~j7>bl3:1=vF=3b9~j7>bm3:1=vF=3b9~j7>bn3:1=vF=3b9~j7>a83:1=vF=3b9~j7>a93:1=vF=3b9~j7>a:3:1=vF=3b9~j7>a;3:1=vF=3b9~j7>a<3:1=vF=3b9~j7>a=3:1=vF=3b9~j7>a>3:1=vF=3b9~j7>a?3:1=vF=3b9~j7>a03:1=vF=3b9~j7>a13:1=vF=3b9~j7>ai3:1=vF=3b9~j7>aj3:1=vF=3b9~j7>ak3:1=vF=3b9~j7>al3:1=vF=3b9~j7>am3:1=vF=3b9~j7>an3:1=vF=3b9~j7?783:1=vF=3b9~j7?793:1=vF=3b9~j7?7:3:1=vF=3b9~j7?7;3:1=vF=3b9~j7?7<3:1=vF=3b9~j7?7=3:1=vF=3b9~j7?7>3:1=vF=3b9~j7?7?3:1=vF=3b9~j7?703:1=vF=3b9~j7?713:1=vF=3b9~j7?7i3:1=vF=3b9~j7?7j3:1=vF=3b9~j7?7k3:1=vF=3b9~j7?7l3:1=vF=3b9~j7?7m3:1=vF=3b9~j7?7n3:1=vF=3b9~j7?683:1=vF=3b9~j7?693:1=vF=3b9~j7?6:3:1=vF=3b9~j7?6;3:1=vF=3b9~j7?6<3:1=vF=3b9~j7?6=3:1=vF=3b9~j7?6>3:1=vF=3b9~j7?6?3:1=vF=3b9~j7?603:1=vF=3b9~j7?613:1=vF=3b9~j7?6i3:1=vF=3b9~j7?6j3:1=vF=3b9~j7?6k3:1=vF=3b9~j7?6l3:1=vF=3b9~j7?6m3:1=vF=3b9~j7?6n3:1=vF=3b9~j7?583:1=vF=3b9~j7?593:1=vF=3b9~j7?5:3:1=vF=3b9~j7?5;3:1=vF=3b9~j7?5<3:1=vF=3b9~j7?5=3:1=vF=3b9~j7?5>3:1=vF=3b9~j7?5?3:1=vF=3b9~j7?503:1=vF=3b9~j7?513:1=vF=3b9~j7?5i3:1=vF=3b9~j7?5j3:1=vF=3b9~j7?5k3:1=vF=3b9~j7?5l3:1=vF=3b9~j7?5m3:1=vF=3b9~j7?5n3:1=vF=3b9~j7?483:1=vF=3b9~j7?493:1=vF=3b9~j7?4:3:1=vF=3b9~j7?4;3:1=vF=3b9~j7?4<3:1=vF=3b9~j7?4=3:1=vF=3b9~j7?4>3:1=vF=3b9~j7?4?3:1=vF=3b9~j7?403:1=vF=3b9~j7?413:1=vF=3b9~j7?4i3:1=vF=3b9~j7?4j3:1=vF=3b9~j7?4k3:1=vF=3b9~j7?4l3:1=vF=3b9~j7?4m3:1=vF=3b9~j7?4n3:1=vF=3b9~j7?383:1=vF=3b9~j7?393:1=vF=3b9~j7?3:3:1=vF=3b9~j7?3;3:1=vF=3b9~j7?3<3:1=vF=3b9~j7?3=3:1=vF=3b9~j7?3>3:1=vF=3b9~j7?3?3:1=vF=3b9~j7?303:1=vF=3b9~j7?313:1=vF=3b9~j7?3i3:1=vF=3b9~j7?3j3:1=vF=3b9~j7?3k3:1=vF=3b9~j7?3l3:1=vF=3b9~j7?3m3:1=vF=3b9~j7?3n3:1=vF=3b9~j7?283:1=vF=3b9~j7?293:1=vF=3b9~j7?2:3:1=vF=3b9~j7?2;3:1=vF=3b9~j7?2<3:1=vF=3b9~j7?2=3:1=vF=3b9~j7?2>3:1=vF=3b9~j7?2?3:1=vF=3b9~j7?203:1=vF=3b9~j7?213:1=vF=3b9~j7?2i3:1=vF=3b9~j7?2j3:1=vF=3b9~j7?2k3:1=vF=3b9~j7?2l3:1=vF=3b9~j7?2m3:1=vF=3b9~j7?2n3:1=vF=3b9~j7?183:1=vF=3b9~j7?193:1=vF=3b9~j7?1:3:1=vF=3b9~j7?1;3:1=vF=3b9~j7?1<3:1=vF=3b9~j7?1=3:1=vF=3b9~j7?1>3:1=vF=3b9~j7?1?3:1=vF=3b9~j7?103:1=vF=3b9~j7?113:1=vF=3b9~j7?1i3:1=vF=3b9~j7?1j3:1=vF=3b9~j7?1k3:1=vF=3b9~j7?1l3:1=vF=3b9~j7?1m3:1=vF=3b9~j7?1n3:1=vF=3b9~j7?083:1=vF=3b9~j7?093:1=vF=3b9~j7?0:3:1=vF=3b9~j7?0;3:1=vF=3b9~j7?0<3:1=vF=3b9~j7?0=3:1=vF=3b9~j7?0>3:1=vF=3b9~j7?0?3:1=vF=3b9~j7?003:1=vF=3b9~j7?013:1=vF=3b9~j7?0i3:1=vF=3b9~j7?0j3:1=vF=3b9~j7?0k3:1=vF=3b9~j7?0l3:1=vF=3b9~j7?0m3:1=vF=3b9~j7?0n3:1=vF=3b9~j7??83:1=vF=3b9~j7??93:1=vF=3b9~j7??:3:1=vF=3b9~j7??;3:1=vF=3b9~j7??<3:1=vF=3b9~j7??=3:1=vF=3b9~j7??>3:1=vF=3b9~j7???3:1=vF=3b9~j7??03:1=vF=3b9~j7??13:1=vF=3b9~j7??i3:1=vF=3b9~j7??j3:1=vF=3b9~j7??k3:1=vF=3b9~j7??l3:1=vF=3b9~j7??m3:1=vF=3b9~j7??n3:1=vF=3b9~j7?>83:1=vF=3b9~j7?>93:1=vF=3b9~j7?>:3:1=vF=3b9~j7?>;3:1=vF=3b9~j7?><3:1=vF=3b9~j7?>=3:1=vF=3b9~j7?>>3:1=vF=3b9~j7?>?3:1=vF=3b9~j7?>03:1=vF=3b9~j7?>13:1=vF=3b9~j7?>i3:1=vF=3b9~j7?>j3:1=vF=3b9~j7?>k3:1=vF=3b9~j7?>l3:1=vF=3b9~j7?>m3:1=vF=3b9~j7?>n3:1=vF=3b9~j7?f83:1=vF=3b9~j7?f93:1=vF=3b9~j7?f:3:1=vF=3b9~j7?f;3:1=vF=3b9~j7?f<3:1=vF=3b9~j7?f=3:1=vF=3b9~j7?f>3:1=vF=3b9~j7?f?3:1=vF=3b9~j7?f03:1=vF=3b9~j7?f13:1=vF=3b9~j7?fi3:1=vF=3b9~j7?fj3:1=vF=3b9~j7?fk3:1=vF=3b9~j7?fl3:1=vF=3b9~j7?fm3:1=vF=3b9~j7?fn3:1=vF=3b9~j7?e83:1=vF=3b9~j7?e93:1=vF=3b9~j7?e:3:1=vF=3b9~j7?e;3:1=vF=3b9~j7?e<3:1=vF=3b9~j7?e=3:1=vF=3b9~j7?e>3:1=vF=3b9~j7?e?3:1=vF=3b9~j7?e03:1=vF=3b9~j7?e13:1=vF=3b9~j7?ei3:1=vF=3b9~j7?ej3:1=vF=3b9~j7?ek3:1=vF=3b9~j7?el3:1=vF=3b9~j7?em3:1=vF=3b9~j7?en3:1=vF=3b9~j7?d83:1=vF=3b9~j7?d93:1=vF=3b9~j7?d:3:1=vF=3b9~j7?d;3:1=vF=3b9~j7?d<3:1=vF=3b9~j7?d=3:1=vF=3b9~j7?d>3:1=vF=3b9~j7?d?3:1=vF=3b9~j7?d03:1=vF=3b9~j7?d13:1=vF=3b9~j7?di3:1=vF=3b9~j7?dj3:1=vF=3b9~j7?dk3:1=vF=3b9~j7?dl3:1=vF=3b9~j7?dm3:1=vF=3b9~j7?dn3:1=vF=3b9~j7?c83:1=vF=3b9~j7?c93:1=vF=3b9~j7?c:3:1=vF=3b9~j7?c;3:1=vF=3b9~j7?c<3:1=vF=3b9~j7?c=3:1=vF=3b9~j7?c>3:1=vF=3b9~j7?c?3:1=vF=3b9~j7?c03:1=vF=3b9~j7?c13:1=vF=3b9~j7?ci3:1=vF=3b9~j7?cj3:1=vF=3b9~j7?ck3:1=vF=3b9~j7?cl3:1=vF=3b9~j7?cm3:1=vF=3b9~j7?cn3:1=vF=3b9~j7?b83:1=vF=3b9~j7?b93:1=vF=3b9~j7?b:3:1=vF=3b9~j7?b;3:1=vF=3b9~j7?b<3:1=vF=3b9~j7?b=3:1=vF=3b9~j7?b>3:1=vF=3b9~j7?b?3:1=vF=3b9~j7?b03:1=vF=3b9~j7?b13:1=vF=3b9~j7?bi3:1=vF=3b9~j7?bj3:1=vF=3b9~j7?bk3:1=vF=3b9~j7?bl3:1=vF=3b9~j7?bm3:1=vF=3b9~j7?bn3:1=vF=3b9~j7?a83:1=vF=3b9~j7?a93:1=vF=3b9~j7?a:3:1=vF=3b9~j7?a;3:1=vF=3b9~j7?a<3:1=vF=3b9~j7?a=3:1=vF=3b9~j7?a>3:1=vF=3b9~j7?a?3:1=vF=3b9~j7?a03:1=vF=3b9~j7?a13:1=vF=3b9~j7?ai3:1=vF=3b9~j7?aj3:1=vF=3b9~j7?ak3:1=vF=3b9~j7?al3:1=vF=3b9~j7?am3:1=vF=3b9~j7?an3:1=vF=3b9~j7g783:1=vF=3b9~j7g793:1=vF=3b9~j7g7:3:1=vF=3b9~j7g7;3:1=vF=3b9~j7g7<3:1=vF=3b9~j7g7=3:1=vF=3b9~j7g7>3:1=vF=3b9~j7g7?3:1=vF=3b9~j7g703:1=vF=3b9~j7g713:1=vF=3b9~j7g7i3:1=vF=3b9~j7g7j3:1=vF=3b9~j7g7k3:1=vF=3b9~j7g7l3:1=vF=3b9~j7g7m3:1=vF=3b9~j7g7n3:1=vF=3b9~j7g683:1=vF=3b9~j7g693:1=vF=3b9~j7g6:3:1=vF=3b9~j7g6;3:1=vF=3b9~j7g6<3:1=vF=3b9~j7g6=3:1=vF=3b9~j7g6>3:1=vF=3b9~j7g6?3:1=vF=3b9~j7g603:1=vF=3b9~j7g613:1=vF=3b9~j7g6i3:1=vF=3b9~j7g6j3:1=vF=3b9~j7g6k3:1=vF=3b9~j7g6l3:1=vF=3b9~j7g6m3:1=vF=3b9~j7g6n3:1=vF=3b9~j7g583:1=vF=3b9~j7g593:1=vF=3b9~j7g5:3:1=vF=3b9~j7g5;3:1=vF=3b9~j7g5<3:1=vF=3b9~j7g5=3:1=vF=3b9~j7g5>3:1=vF=3b9~j7g5?3:1=vF=3b9~j7g503:1=vF=3b9~j7g513:1=vF=3b9~j7g5i3:1=vF=3b9~j7g5j3:1=vF=3b9~j7g5k3:1=vF=3b9~j7g5l3:1=vF=3b9~j7g5m3:1=vF=3b9~j7g5n3:1=vF=3b9~j7g483:1=vF=3b9~j7g493:1=vF=3b9~j7g4:3:1=vF=3b9~j7g4;3:1=vF=3b9~j7g4<3:1=vF=3b9~j7g4=3:1=vF=3b9~j7g4>3:1=vF=3b9~j7g4?3:1=vF=3b9~j7g403:1=vF=3b9~j7g413:1=vF=3b9~j7g4i3:1=vF=3b9~j7g4j3:1=vF=3b9~j7g4k3:1=vF=3b9~j7g4l3:1=vF=3b9~j7g4m3:1=vF=3b9~j7g4n3:1=vF=3b9~j7g383:1=vF=3b9~j7g393:1=vF=3b9~j7g3:3:1=vF=3b9~j7g3;3:1=vF=3b9~j7g3<3:1=vF=3b9~j7g3=3:1=vF=3b9~j7g3>3:1=vF=3b9~j7g3?3:1=vF=3b9~j7g303:1=vF=3b9~j7g313:1=vF=3b9~j7g3i3:1=vF=3b9~j7g3j3:1=vF=3b9~j7g3k3:1=vF=3b9~j7g3l3:1=vF=3b9~j7g3m3:1=vF=3b9~j7g3n3:1=vF=3b9~j7g283:1=vF=3b9~j7g293:1=vF=3b9~j7g2:3:1=vF=3b9~j7g2;3:1=vF=3b9~j7g2<3:1=vF=3b9~j7g2=3:1=vF=3b9~j7g2>3:1=vF=3b9~j7g2?3:1=vF=3b9~j7g203:1=vF=3b9~j7g213:1=vF=3b9~j7g2i3:1=vF=3b9~j7g2j3:1=vF=3b9~j7g2k3:1=vF=3b9~j7g2l3:1=vF=3b9~j7g2m3:1=vF=3b9~j7g2n3:1=vF=3b9~j7g183:1=vF=3b9~j7g193:1=vF=3b9~j7g1:3:1=vF=3b9~j7g1;3:1=vF=3b9~j7g1<3:1=vF=3b9~j7g1=3:1=vF=3b9~j7g1>3:1=vF=3b9~j7g1?3:1=vF=3b9~j7g103:1=vF=3b9~j7g113:1=vF=3b9~j7g1i3:1=vF=3b9~j7g1j3:1=vF=3b9~j7g1k3:1=vF=3b9~j7g1l3:1=vF=3b9~j7g1m3:1=vF=3b9~j7g1n3:1=vF=3b9~j7g083:1=vF=3b9~j7g093:1=vF=3b9~j7g0:3:1=vF=3b9~j7g0;3:1=vF=3b9~j7g0<3:1=vF=3b9~j7g0=3:1=vF=3b9~j7g0>3:1=vF=3b9~j7g0?3:1=vF=3b9~j7g003:1=vF=3b9~j7g013:1=vF=3b9~j7g0i3:1=vF=3b9~j7g0j3:1=vF=3b9~j7g0k3:1=vF=3b9~j7g0l3:1=vF=3b9~j7g0m3:1=vF=3b9~j7g0n3:1=vF=3b9~j7g?83:1=vF=3b9~j7g?93:1=vF=3b9~j7g?:3:1=vF=3b9~j7g?;3:1=vF=3b9~j7g?<3:1=vF=3b9~j7g?=3:1=vF=3b9~j7g?>3:1=vF=3b9~j7g??3:1=vF=3b9~j7g?03:1=vF=3b9~j7g?13:1=vF=3b9~j7g?i3:1=vF=3b9~j7g?j3:1=vF=3b9~j7g?k3:1=vF=3b9~j7g?l3:1=vF=3b9~j7g?m3:1=vF=3b9~j7g?n3:1=vF=3b9~j7g>83:1=vF=3b9~j7g>93:1=vF=3b9~j7g>:3:1=vF=3b9~j7g>;3:1=vF=3b9~j7g><3:1=vF=3b9~j7g>=3:1=vF=3b9~j7g>>3:1=vF=3b9~j7g>?3:1=vF=3b9~j7g>03:1=vF=3b9~j7g>13:1=vF=3b9~j7g>i3:1=vF=3b9~j7g>j3:1=vF=3b9~j7g>k3:1=vF=3b9~j7g>l3:1=vF=3b9~j7g>m3:1=vF=3b9~j7g>n3:1=vF=3b9~j7gf83:1=vF=3b9~j7gf93:1=vF=3b9~j7gf:3:1=vF=3b9~j7gf;3:1=vF=3b9~j7gf<3:1=vF=3b9~j7gf=3:1=vF=3b9~j7gf>3:1=vF=3b9~j7gf?3:1=vF=3b9~j7gf03:1=vF=3b9~j7gf13:1=vF=3b9~j7gfi3:1=vF=3b9~j7gfj3:1=vF=3b9~j7gfk3:1=vF=3b9~j7gfl3:1=vF=3b9~j7gfm3:1=vF=3b9~j7gfn3:1=vF=3b9~j7ge83:1=vF=3b9~j7ge93:1=vF=3b9~j7ge:3:1=vF=3b9~j7ge;3:1=vF=3b9~j7ge<3:1=vF=3b9~j7ge=3:1=vF=3b9~j7ge>3:1=vF=3b9~j7ge?3:1=vF=3b9~j7ge03:1=vF=3b9~j7ge13:1=vF=3b9~j7gei3:1=vF=3b9~j7gej3:1=vF=3b9~j7gek3:1=vF=3b9~j7gel3:1=vF=3b9~j7gem3:1=vF=3b9~j7gen3:1=vF=3b9~j7gd83:1=vF=3b9~j7gd93:1=vF=3b9~j7gd:3:1=vF=3b9~j7gd;3:1=vF=3b9~j7gd<3:1=vF=3b9~j7gd=3:1=vF=3b9~j7gd>3:1=vF=3b9~j7gd?3:1=vF=3b9~j7gd03:1=vF=3b9~j7gd13:1=vF=3b9~j7gdi3:1=vF=3b9~j7gdj3:1=vF=3b9~j7gdk3:1=vF=3b9~j7gdl3:1=vF=3b9~j7gdm3:1=vF=3b9~j7gdn3:1=vF=3b9~j7gc83:1=vF=3b9~j7gc93:1=vF=3b9~j7gc:3:1=vF=3b9~j7gc;3:1=vF=3b9~j7gc<3:1=vF=3b9~j7gc=3:1=vF=3b9~j7gc>3:1=vF=3b9~j7gc?3:1=vF=3b9~j7gc03:1=vF=3b9~j7gc13:1=vF=3b9~j7gci3:1=vF=3b9~j7gcj3:1=vF=3b9~j7gck3:1=vF=3b9~j7gcl3:1=vF=3b9~j7gcm3:1=vF=3b9~j7gcn3:1=vF=3b9~j7gb83:1=vF=3b9~j7gb93:1=vF=3b9~j7gb:3:1=vF=3b9~j7gb;3:1=vF=3b9~j7gb<3:1=vF=3b9~j7gb=3:1=vF=3b9~j7gb>3:1=vF=3b9~j7gb?3:1=vF=3b9~j7gb03:1=vF=3b9~j7gb13:1=vF=3b9~j7gbi3:1=vF=3b9~j7gbj3:1=vF=3b9~j7gbk3:1=vF=3b9~j7gbl3:1=vF=3b9~j7gbm3:1=vF=3b9~j7gbn3:1=vF=3b9~j7ga83:1=vF=3b9~j7ga93:1=vF=3b9~j7ga:3:1=vF=3b9~j7ga;3:1=vF=3b9~j7ga<3:1=vF=3b9~j7ga=3:1=vF=3b9~j7ga>3:1=vF=3b9~j7ga?3:1=vF=3b9~j7ga03:1=vF=3b9~j7ga13:1=vF=3b9~j7gai3:1=vF=3b9~j7gaj3:1=vF=3b9~j7gak3:1=vF=3b9~j7gal3:1=vF=3b9~j7gam3:1=vF=3b9~j7gan3:1=vF=3b9~j7d783:1=vF=3b9~j7d793:1=vF=3b9~j7d7:3:1=vF=3b9~j7d7;3:1=vF=3b9~j7d7<3:1=vF=3b9~j7d7=3:1=vF=3b9~j7d7>3:1=vF=3b9~j7d7?3:1=vF=3b9~j7d703:1=vF=3b9~j7d713:1=vF=3b9~j7d7i3:1=vF=3b9~j7d7j3:1=vF=3b9~j7d7k3:1=vF=3b9~j7d7l3:1=vF=3b9~j7d7m3:1=vF=3b9~j7d7n3:1=vF=3b9~j7d683:1=vF=3b9~j7d693:1=vF=3b9~j7d6:3:1=vF=3b9~j7d6;3:1=vF=3b9~j7d6<3:1=vF=3b9~j7d6=3:1=vF=3b9~j7d6>3:1=vF=3b9~j7d6?3:1=vF=3b9~j7d603:1=vF=3b9~j7d613:1=vF=3b9~j7d6i3:1=vF=3b9~j7d6j3:1=vF=3b9~j7d6k3:1=vF=3b9~j7d6l3:1=vF=3b9~j7d6m3:1=vF=3b9~j7d6n3:1=vF=3b9~j7d583:1=vF=3b9~j7d593:1=vF=3b9~j7d5:3:1=vF=3b9~j7d5;3:1=vF=3b9~j7d5<3:1=vF=3b9~j7d5=3:1=vF=3b9~j7d5>3:1=vF=3b9~j7d5?3:1=vF=3b9~j7d503:1=vF=3b9~j7d513:1=vF=3b9~j7d5i3:1=vF=3b9~j7d5j3:1=vF=3b9~j7d5k3:1=vF=3b9~j7d5l3:1=vF=3b9~j7d5m3:1=vF=3b9~j7d5n3:1=vF=3b9~j7d483:1=vF=3b9~j7d493:1=vF=3b9~j7d4:3:1=vF=3b9~j7d4;3:1=vF=3b9~j7d4<3:1=vF=3b9~j7d4=3:1=vF=3b9~yx{GHJq8=h=5d3;a744duIJIw=sO@Qy~DE \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngd (revision 224) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$ec`5=6:2.Yi{g|inl9$4(5=0*/=6>;;123446<89:;<=>?01234566991;<=>?0123G56FMHK:<6>?0123456DKJKNIH??;12345678;KJ4=>?2028456789:;?=>?B@CB55=789:;<=>9672@GF6682:;<=>?01:3456789;;7=>?01234DG78?K;<<>401234567K9:;ILON1193456789:MMKOIA2C24>6789:;<=HIA@D0ED7739:;<=>?0GDEBFE388:0<=>?0123BC@ANOLN46>?012344><89:;<=>=8:2345678120<=>?812355=789:J48:NA@CBEDG682:;<=HIFD2344@ANO>0<=>>4:23477739:;OLONE1234DGFI8:0<==?A@@B4567IHKJ==5?04634D>FIHKJMLO;;12;446<89H;;=<>=;10;?54789:;<55?210E@7B682:8<=OMA@2345GFIH80<96405275177<2:?8=<409:84=6?81:;46>781:3<52<8123==5?A@C3<=>2IHK?456>0:2@45GBIH:;<=ONA@33?5@7N9LMJ5H7F9DEB4=6991:<=>?0123456789::<6??0123457688::<<641123BC@A991:==>?0122456789:3710234==698>:<<:=;06;?42689>;<95>456;?436<8>:8;5>6/4534?<9?0BBB[[:ECWEZOIG\^7=84?>0685<2?00286=4781:;<5>?09230>4F89;;7?ONB@CBE7GFIHKJM95=B9:24>5789HJML>?01CBED><;::M98>?11907654;OLI?O=M3GD2?1773=:;<=>?0123456788:08=:;DDFGG@EDK=IH86:?546805CF<2>?8=644567056702>?89:;4433?103<=>?8=<283:4b<<3CE\XZ5cil\flrtWhizSnf=<283:4b<<3CE\XZ5cil\flrtWhizSnf<<283:4b<<3CE\XZ5cil\flrtWhizSnf;<283:4b<<3CE\XZ5cil\flrtWhizSnf:<283:7=3M=1?IHK>0:771133==LN99;;5533?022><>>:8::646EA46<=<;;<=??54@B45DF<2?>8=??;47611678=:;<9>?09961033<=::<6;:54761027<9>;<=:457615>0682<9>LHNB9416DCFI1;;7;8967452301>?<=<5598@CEBC@682?<=;6:1?2@33>LMI958FGD24>1ANOLMJKHIFGDEBC7<0;13<<>48123456789:;<=>?119;456781:3<5>709224>>709=M;KH?815E3C><092;4=>?4::2B@7731=3;5977G5;3=1??=1345>7;9:;45>788>047AZTQWW>VRF\\Y7;7>12:;60>?>1O20547I54765>G53H:97L?=;@01?D553H2:<6O69@C:EDGF1HKJML??;@C3N0@1E55=FIHK9MOLNA@C1EDG?3HKJM>>LC99BEDG4;JL37LONA2DE4467991JMLONA@CBEDGFIH2:<6ONA@CBE@GFIH2JML64A@CBG55402KJMLM<01:8EDGFKJI;46ONA@A@G6>GE1MLMJK64ACCBE=GF:2KHi6OKD^EOKGGKWYCE?6OKR028F6EDJKIH5DF0H20NLON8@CB55=ENKHJJLO<7213156?3KLMILOMA29AQC26M?8:A345>70980O7I;KJM???;BA@GFEAN=I?O9M:F39@A46:;BNH=53EKCM\THDXFDD78GIMAJ<1H@FHW8:AOOC^609i0OAEIX^FJRLBBm2IGGKVPMTNWMUJ^=2IGGD@>1:AOOLHXL@\BHHQMY^0`?FJLAGU[I_G@D008GIMH]]U[DL]J_RJJBQeEKZ01HC@CFTUGG2>EHFJ_Y;6M]E@VF@7=D[>1H_RGMUG18GQJ23J^GKB94CUNNKAC03J^GC^M>7:AWHJUD:>1HXA]JL158GQJTME;<7NZCSDN15>B53M:97I?7;E:G5:a=CI]KTECAZT=5=`>BF\HUBBB[[<9BF\HUBBB[[_00\MJDRN890HLZN_HLLQQY6;VCDNXH>3:FBPDYNFF__S<:PIN@VB456JNT@]JJJSSW8UBCO[I139GEQGXAGE^XR2:FBPDYNFF__S8QFOCWE57=CI]KTECAZT^4\MJDRN880HLZN_HLLQQY0W@EIYK?=;ECWEZOIG\^T4RG@BTD26>BF\HUBBB[[_8]JKGSA>2NHAR]_b:F@IZ`plVcex<>4DEF6@AB2LMN>456?d:FGVZGKANDFICZk;EGPO@QXIM@^_Y<4DG31?A@TWOXN]XKACX]NKAC53MC:>6JCL^DQATSBFJSTABJJ9:FLJQYDI[On7I\L_RW@G@UTN\F>7I]\EO:8@VUXEFNN=85KUU[\G\EKMVID^_KLTHMM5>C682O;I=K?0123A5678980I9:4E@0B55=BIHK?<=>;0127456?3LKJMLONA59FE@D682OHONMLCBC345678920IHK?0D23<>CA0HOMHK64EYRBJACC981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC682L;J=H?F133456789;;7K>I0G2EB2608>:<;<>4F1D@BF@DNHLNJHHJ8:D1B54589;;7K9KBG5GFC1CJ>=>Nl5IABVLV\YMN<1MMA]J2:DG7>@CM:1MH_:4FEPF6>@B991MI=>?0123656789:97KH>0:DE4466NO::JK>>FG33?C@0NOLMJKHIFGDEB467<2LMJ:??;GDE3C@ANO:MI059EBCC682LMJHHI55DE11@A==20JKHI112355=ANOL345>NA@C;<=6682LMJKJ789F;<=B?01;;7KHIFDCBE@GFILKJM<>4FGDEBC@089:;<=>78:DEBC@AN020JKHIFGDF55=ANOLMJKKIFGDEBC@682LMJKHIFG0BEDGFIH;;7KHIFGDEB07688;:9<>4FGDEBC@A=99:;119EBC@ANOLMIKHIFGD24>@ANOLMJKHIFG5005773OLMJKHIFGDEB2@AN8:0JKHIFGDEBC@ANOLN?6HIS89EV@C]MMLX56H]EDXF@IJ?3OXDAR[LF09D7>AILo1LBIQ:_n]JJSY>Wf;;7J@K_4]l[LHQW0Ud=<5F2:K36>O6:2C9?6G=C39J77=N<;1B995FBTD6?LDRNNk0EO[IG^kmwq773@H^JRJJL@[\RDJRMj1BNXHPLNU\TRT53@D>?6GA ;"26567&9:;<=>?01"sW%<'^HFBH-4/13234+6789:;<=>/pR"9$GCAG[O+6-,llj]nelh%(3*YE^GAG418MK&=(8<=:: ?01234567(yY+6-XNLHF#>%71>?=%<=>?01234%vT(3*IIKA]E!8#&fjlWdkbb/.5 SKPMKA2;2CE,7.=5123*56789:;<=.S!8#RDJNL)0+>8>?0/23456789:+|^.5 CGEKWC'2)(h`fQbahl!$?&UAZCEK8;4IO"9$=6789:%<=>?01234%vT(3*]MAGK ;";45678':;<=>?012#tV&=(KOMC_K/:! `hnYji`d),7.]IRKMC67=>?:qQ9RDJNL3;9<=>5pR8AACIUM3iggRcnio8QMVOIO:;0EC4>6744>uU=^HFBH7?96759tV?4IO8115672yY1ZLBFD;06456=xZ0IIKA]E;aooZkfag0YE^GAG218MK7DAMUG48MJEHEYk0EB][AOFBVA02FDOFKK7:NLCLEFD:1GCZ:4LTV20>JR\;>0@XZ<4:NVP1286BZT7:8HSEJGZ9886CFSN;8ILUHWFH^J95BORK:?HITAVCIYK=4MTZ6?HS_KP?0AXVI7b9Neoiu^lxxeb`=2:O`kphsW{oxiyQheo,`kkrX:9:FDwQly=2=66=JkfexR|jsdv\c`h)kfdS?>?MIx\g|:6878=7@m`uov\v`ub|Vmnb#m`nu]145KOrVir0<>1_sv17>Kdg|dSk|eu]dak(dgg~T>=>BH{]`}9766;<0Anaznu]qavcsWnoe"naat^034HN}Wjs7=<0Pru00?Heh}g~T~h}jt^efj+ehf}U9<=CGz^az8449:?1Fob{at^pfw`rXold%ob`{_323IM|Xkp6:>3Q}t318Ifirf}Uyi~k{_fgm*fii|V8;<@Fu_b{?5685>2Ghcx`{_sgpaqY`mg$hcczP212NLYdq5;82R|{229Ngjsi|VxnhzPgdl-gjhsW;:;AEtPcx>20;413DidyczPrdqfpZabf'idbyQ=01OK~Ze~48>5Sz=2:O`kphsW{oxiyQheo,`kkrX:9:FDwQly=3=60=JkfexR|jsdv\c`h)kfdS?>?MIx\g|:66Vx>?5BcnwmpZtb{l~Tkh`!cnlw[767EApTot2=>378Ifirf}Uyi~k{_fgm*fii|V8;<@Fu_b{?6;Yu|;80Anaznu]qavcsWnoe"naat^034HN}Wjs7?3<:;LalqkrXzlynxRijn/aljqY589GCvRmv<2<\vq453DidyczPrdqfpZabf'idbyQ=01OK~Ze~4=4996Clotlw[wctm}Ulic loov\656J@sUhu1:1_sv16>Kdg|dSk|eu]dak(dgg~T>=>BH{]`}939:<1Fob{at^pfw`rXold%ob`{_323IM|Xkp6>2R|{239Ngjsi|VxnhzPgdl-gjhsW;:;AEtPcx>5:737Uyx?<4MbmvjqYumzoSjka.bmmpZ478DBqSnw37?06?Heh}g~T~h}jt^efj+ehf}U9<=CGz^az828Xz}897@m`uov\v`ub|Vmnb#m`nu]145KOrVir050=5:O`kphsW{oxiyQheo,`kkrX:9:FDwQly=:=[wr5:2Ghcx`{_sgpaqY`mg$hcczP212NLYdq535>85BcnwmpZtb{l~Tkh`!cnlw[767EApTot26>^pw66=JkfexR|jsdv\c`h)kfdS?>?MIx\hpr;87827@m`uov\v`ub|Vmnb#m`nu]145KOrVf~x1>1_HLU[5443DidyczPrdqfpZabf'idbyQ=01OK~Z~hz5:5>95BcnwmpZtb{l~Tkh`!cnlw[767EApTtb|311<10>Kdg|dSk|eu]dak(dgg~T>=>BH{]{kw:6978?7@m`uov\v`ub|Vmnb#m`nu]145KOrVrd~1?=>368Ifirf}Uyi~k{_fgm*fii|V8;<@Fu_ymq8459:=1Fob{at^pfw`rXold%ob`{_323IM|Xpfx7=90=4:O`kphsW{oxiyQheo,`kkrX:9:FDwQwos>21;403DidyczPrdqfpZabf'idbyQ=01OK~Z~hz5;>2R|{229Ngjsi|VxnhzPgdl-gjhsW;:;AEtPxnp?5;443DidyczPrdqfpZabf'idbyQ=01OK~Z~hz585>>5BcnwmpZtb{l~Tkh`!cnlw[767EApTtb|33?00?Heh}g~T~h}jt^efj+ehf}U9<=CGz^zlv929::1Fob{at^pfw`rXold%ob`{_323IM|Xpfx793<<;LalqkrXzlynxRijn/aljqY589GCvRv`r=4=66=JkfexR|jsdv\c`h)kfdS?>?MIx\|jt;?7887@m`uov\v`ub|Vmnb#m`nu]145KOrVrd~161229Ngjsi|VxnhzPgdl-gjhsW;:;AEtPxnp?=;eH7;2D:<>5A1368J447<2D:><:4N0007>H6<=1E=8;;;O3621=I9<=?7C?:929M5326068J404<2D::9:4N0460>H6>>>0B<874:L22<27368J414<2D:;8:4N0550>H6?>>0B<974:L23<595A1917?K7?<=1E=5;;;O3;21=I91=?7C?7959M5<733G;2?95A1877?K7>?=1E=47=;O00?K47<2D9<<:4N3200>H58<>0B?>84:L14<2H5:=1E>??;;O0171=I:;??7C<=759M67?33G88=95A2217?K44<=1E>>;;;O0026=I:=90B?8<;O0;7>H48:1E??=4N260?K51;2D84?5A429M055H30:1E84=4N420?K36;2D>>>5A5218J0243G?>?6@:629M125H00;1E4>5A8118J=443G2??6@7629M<=??0123456'xZ*1,LH[ES"9$'ekcVgjec,=d:MVP%<'9?<=;#>?0123456'xZ*1,LH[ES"9$'ekcVgjec,=d:MVP%<'<9:;<#>?0123456'xZ*1,LH[ES"9$'ekcVgjec,>b:MVP?7789:1|^4NFUGQ>fjlWdkbbV69=>0\1968T47>;2Z:>95_1327?U759=1[=?=;;Q3101=W9;95_1217?U74<=1[=>9;;Q30<1=W9:387]?;4:R2052:86^>4368T424<2Z:89=4P070?U71;2Z:;?5_229S645<<;Q107>V4<:1[?8=4P2:0?U5>;2Z?<>5_4018T1543Y>??6^;529S035V29:1[9:=4P4:0?U3>:2Z=?6^9129S27490\:6=;Q:0?U>7;2Z3>>5_8218T=353Y387]7:3:R:36=W1190\47<;QCG0>VFZ]937]K]INF#&fjlWdkbb/.>6744*56789:;<=.S!JJCM&28':;<=>?012#!77?=1DDIG:42&6==WM[CDH7mck^w\twid2]YToaePm`km+15_RDc8TWCCMOCEII;4PRAOO3=W[MEEI95_SDL;?UUNFJNNO?h4PVP\1ZiXzlynxRijn/aljqY589GCvV?:]^grqdjX9VeTecx<0:RTVZ3XgVxnhzPgdl-gjhsW;:;AEtT14_\atsfdV;TcRgav013?UQUW=>BH{Y21XYby|kgS>;P18U4363[o0^H^CABG\TDTBF];;7_K]ERMOR@YWI[KF_>5]OL18VVR>3[oxyaz30?c8V`urd}6;225;g2?c8V`urd}6:?3o4Rdqvhq:6<7k0^h}zlu>21;?>`9Qavsk|5;5=45]erwop949i2Xnxb{<3<2f>Tb{|f0?0>189Qavsk|59556\jstnw818>3[oxyaz35?;8V`urd}6=245]erwop91912Xnxb{<9<:?Wct}e~753?4S69PGDHH]]90_NE7;RAH[LDRN:1XIA74SDN\SWdfz:1XIY64SDVQAVCS;2YMJ3Z^JXX]32?;8WQGS]Z68245\T@VVW92912Y_MY[\<4<:?VRF\\Y7:3l4SUCWQV:029427^ZNTTQ?3;><[]YJH_]?8:QWWDBU[820_Y]NDSQ1<>US[HNY_>64SUQB@WU3<2YRBN?=;R[PP@KX[PDHD_AAOTQ2?Q><\LXFECOC6:VJAJ@A02^BAH]_EB58PKKXFL^87Y\F8:VQMVRF\L>0X_[J9:VP[FJLO[Z27Y]POGDPAQd<\ZU_E@K\PDA5?QUX\GGi7Y]Pcmi\idoi9:1__Rmck^objbYdW82_EY^KKc:WPAWYQAZCI@H=4VBA27>PBZPUCEJFPFSGRQ@HDQ01]EHYPTXRF57=PW[UYM@QLOOVQKIJBZ8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA:1SC_;4XNP@]3=_[]FBN^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy=4YDQ7?_CUG<>0SRQWLOO\[Z6XWVcexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/rrj[fii|{fTox|PsucwaZYi88>:0=0>3d9\[Z^KFDUTS<>?_^]mfZkbeVidby!aic`oaZvfkbUhd9!llj]bgtYuW8Uyy~`t2g8[ZY_DGGTSR??1^]\jgYjmdUhccz nh`ah`YwijaToe= cmi\efwXzV;T~~zou1f?ZYXPEDFSRQ>03]\[kdXelgTob`{/okaficXxhi`Snf=/bnh[devW{U:S}{pnv0a>YXWQFEARQP111\[ZheWdofSnaat.ljfgjbWykhgRmg1.aooZgdyVxT=R||tqmw7d=XWVRGB@QP_027[ZYijVgnaRm`nu-qehYdgg~yca!lotlw[vjpW;Uyy~`t2c8[ZY_DGGTSR??5^]\jgYjmdUhccz r`o\gjhszff$ob{at^qosZ7Xzz~{cy=j;^]\\IHJWVU:<;QP_o`\i`kXkfd#cgmbmg\tdelWjb?#nbd_`ar[wY5W{y|bz"mck^c`uZtX:Vxxx}a{5c9\[Z^KFDUTS<>7_^]mfZkbeVidby!}al]`kkruge%FmijPrdn\tjuXka;TeczT8\]DJAY5;VeTmijP51]LQQYdq5<5=<;m;^]\\IHJWVU:<4QP_o`\i`kXkfd#ob_bmmpwik'DkohR|jl^rlwZeo:VcexV6R_FLG[75XgVkohR8>_NWW[f;>7;:8;5P_^ZOJHYXW8:TSR`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVenSob_ccq50d>Q`_`fg[24XG\^Tot29>036f>YXWQFEARQP103\[ZheWdofSnaat.pbiZehf}xd`"Cnde]qaiYwgzUhd9QfnuY;YZAILV88SbQnde];7ZIR\Vir0;0>14`8[ZY_DGGTSR?>2^]\jgYjmdUhccz r`o\gjhszff$Aljk_sgo[uitWjb>Sd`{[9_\CKBX::UdSljk_86\KPRXkp6=24`9\[Z^KFDUTS$Anaznu]mmgdkmVidyczjr^zlv94998>j7RQPXMLN[ZY69?UTSclPmdo\gjhs'gcinakPp`ah[fn4'DidyczPnh`ah`Ydg|diQwos>1:473i2UTSUBAM^]\541XWVdiS`kb_bmmp*hnjkfnS}olk^ak6*Kdg|dScgmbmg\gjsi|lxTtb|32?320d=XWVRGB@QP_03;[ZYijVgnaRm`nu-mmgdkmVzjofQlh0-Ngjsi|Vdbnobj_bmvjqcuWqey0?0>15d8[ZY_DGGTSR?>9^]\mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'zzbSn{}_rvbp`YA[DUMJi?"Io30a>YXWQFEARQP10]\[kdXelgTob`{/okaficXxhi`Snf:/bnh[devWokg`R||tqmw05=XWVRGB@QP_003[ZYnf}oyjltPsqk,gjhszffjhb|Psqk\avekie$Sc>?83]jjs74=2UTSUBAM^]\577XWVdiS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__=>=<4:]\[]JIEVUT=?k4_^][HKKXWV;98RQPnc]nahYdgg~$bdlmld]sefmXka8$A`{w_sgpqiYjmd;:<<=j;^]\\IHJWVU:>8QP_o`\i`kXkfd#cgmbmg\tdelWjb8#@czx^pfwpjXelg:==?>3d9\[Z^KFDUTS<<8_^]mfZkbeVidby!aic`oaZvfkbUhd8!Bmtz\v`urdVgnaWVUbbyk}f`x\wuo(khhnoh|n_lgnkwof'DidyczPpup\i`kXpfx7=3?>3d9\[Z^KFDUTS<3b9\[Z^KFDUTS<=>_^]mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rTN??QP_o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVH==>m4_^][HKKXWV;88RQPnc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsWK=:?n5P_^ZOJHYXW89>SRQab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XJ1;8o6QP_YNMIZYX9:UTSclPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZD39:i0SRQWLOO\[Z740VUTboQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[G36<=1TSRVCNL]\[45>WVUbbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a SBIq[4Y`d|oThzQP_o`\i`kXkfd#cgmbmg\tdelWjb8#nbd_`ar[cgkdVxxx}a{3d9\[Z^KFDUTS<:?_^]mfZkbeVidby!aic`oaZvfkbUhd?2;>066?ZYXPEDFSRQ>8^]\jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2722:7689h7RQPXMLN[ZY61VUTboQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[D059<30SRQWLOO\[Z7XWVcexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/FLG[12XgVMEHR:;_n]paicd|V;9j4_^][HKKXWV8=SRQfnugqbd|X{yc$olljcdpb[hcjg{cj#eknddp\gptX{}kiRH\M^DE`4Yu{}zdx8j4_^][HKKXWV8Q`_lw{[44XG\^8=>84_^][HKKXWV83SRQab^ofiZehf}%eeolce^rbgnYd`<%Tb=?=3^kmr4513VUTTA@B_^]1=ZYXfkUfi`Qloov,jldedlU{mnePci6,[k66::Ubb{?;9:]\[]JIEVUT>RQPnc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><56]jjs4X[^:8:6QP_YNMIZYX;9UTSclPmdo\gjhs'gcinakPp`ah[fn4'Vd;=?=Piot273=XWVRGB@QP_23\[ZheWdofSnaat.ljfgjbWykhgRmg2.]m4444W`d}=>84_^][HKKXWV99SRQab^ofiZehf}%eeolce^rbgnYd`8%Tb=?=3^kmr4243VUTTA@B_^]07ZYXag~n~kou_rrj+fii|{egmia}_rrj[`uddh|d#^MD_gcohZtt|ye:o5P_^ZOJHYXW:>TSR`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP416>k1TSRVCNL]\[60XWVdiS`kb_bmmp*hnjkfnS}olk^ak1*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2640f3VUTTA@B_^]03ZYXfkUfi`Qloov,jldedlU{mnePci7,IhsWgcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT035f>YXWQFEARQP39]\[kdXelgTob`{/okaficXxhi`Snf;/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9<64]jjs4X[^:=n6QP_YNMIZYX<9UTSclPmdo\gjhs'gcinakPp`ah[fn3'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ759?k0SRQWLOO\[Z26WVUenRcjm^aljq)iakhgiR~ncj]`l1)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW540e3VUTTA@B_^]76ZYXfkUfi`Qloov,jldedlU{mnePci1,IhsWgcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT0:22g=XWVRGB@QP_51\[ZheWdofSnaat.ljfgjbWykhgRmg3.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>704a?ZYXPEDFSRQ;4^]\jgYjmdUhccz nh`ah`YwijaToe= Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X<<>6`9\[Z^KFDUTS9;P_^la[hcjWjeex"`fbcnf[ugdcVic?"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:=;l4_^][HKKXWV>=SRQab^ofiZehf}%eeolce^rbgnYd`;%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS91;=n6QP_YNMIZYX<>UTSclPmdo\gjhs'gcinakPp`ah[fn5'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ709?h0SRQWLOO\[Z2?WVUenRcjm^aljq)iakhgiR~ncj]`l7)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5771i2UTSUBAM^]\0P_^la[hcjWjeex"`fbcnf[ugdcVic="Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:4<8m;^]\\IHJWVU>=RQPnc]nahYdgg~$bdlmld]sefmXka;$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\8=::o5P_^ZOJHYXW<8TSR`m_lgn[fii|&dbnobj_qc`oZeo9&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP472>2UTSUBAM^]\11YXW`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"Cbuy]DJAY3;VeTKCJP42]l[HS_W;>:Sb?>359\[Z^KFDUTS8;P_^la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW7=03j2UTSUBAM^]\13YXWghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?>Q}surlp1dYXWQFEARQP59]\[kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;?Uyy~`t5`8[ZY_DGGTSR;6_^]mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa27W{y|bz;9:]\[]JIEVUT9RQPnc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><80]jjs4X[^:?n6QP_YNMIZYX>9UTSclPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk26]qwqvh|=k0SRQWLOO\[Z06WVUenRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi=Prrvskq2e3VUTTA@B_^]56ZYXfkUfi`Qloov,vdkXkfd~bb r`o\gjhszVi~~R}{aug\BVKXNOn9=R||tqmw0g=XWVRGB@QP_71\[ZheWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al8:TSR`m_lgn[fii|&dbnobj_qc`oZeo=&icbRlftr]bgtYea}:T=R||tqmw00=XWVRGB@QP_63\[ZheWdofSnaat.ljfgjbWykhgRmg4.akjZdn|zUjo|Qmiu1\5Ztt|ye885P_^ZOJHYXW>8TSR`m_lgn[fii|&dbnobj_qc`oZeo<&icbRlftr]bgtYea};T=R||tqmw00=XWVRGB@QP_61\[ZheWdofSnaat.ljfgjbWykhgRmg4.akjZdn|zUjo|Qmiu0\5Ztt|ye885P_^ZOJHYXW>>TSR`m_lgn[fii|&dbnobj_qc`oZeo<&icbRlftr]bgtYea}:T=R||tqmw00=XWVRGB@QP_67\[ZheWdofSnaat.ljfgjbWykhgRmg3.akjZdn|zUjo|Qmiu1\5Ztt|ye885P_^ZOJHYXW>2TSR`m_lgn[fii|&dbnobj_qc`oZeo;&icbRlftr]bgtYea}:T=R||tqmw00=XWVRGB@QP_6;\[ZheWdofSnaat.ljfgjbWykhgRmg2.akjZdn|zUjo|Qmiu1\5Ztt|ye885P_^ZOJHYXW>UTSd`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,IhsW~eyhRazt00200=XWVRGB@QP_92\[ZheWdofSnaat.ljfgjbWykhgRmg2.akjZdn|zUjo|Qmiu3\5Ztt|ye885P_^ZOJHYXW1;TSR`m_lgn[fii|&dbnobj_qc`oZeo:&icbRlftr]bgtYea}8T=R||tqmw00=XWVRGB@QP_90\[ZheWdofSnaat.ljfgjbWykhgRmg2.akjZdn|zUjo|Qmiu2\5Ztt|ye885P_^ZOJHYXW19TSR`m_lgn[fii|&dbnobj_qc`oZeo9&icbRlftr]bgtYea}9T=R||tqmw00=XWVRGB@QP_96\[ZheWdofSnaat.ljfgjbWykhgRmg1.akjZdn|zUjo|Qmiu3\5Ztt|ye885P_^ZOJHYXW1?TSR`m_lgn[fii|&dbnobj_qc`oZeo9&icbRlftr]bgtYea}8T=R||tqmw00=XWVRGB@QP_94\[ZheWdofSnaat.ljfgjbWykhgRmg1.akjZdn|zUjo|Qmiu2\5Ztt|ye8l5P_^ZOJHYXW1=TSR`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId3/JjZUP8;l0SRQWLOO\[Z>?WVUenRcjm^aljq)j}qUomyo _o2305:368;?<6QP_YNMIZYX00UTSclPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw[4Yu{}zdx<;;;^]\\IHJWVU3SRQfnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*uwaVidby|c_bwq[vrf|lUTb=??8=2=516Rgat^3\vvrwg};?<6QP_YNMIZYX1;UTSclPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw[5Yu{}zdx<:?;^]\\IHJWVU2?RQPnc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkrX9Vxxx}a{1528[ZY_DGGTSR7;_^]mfZkbeVidby!}al]`kkruge%yiaQor]`l6Ynf}U;S}{pnv205=XWVRGB@QP_87\[ZheWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;7RQPXMLN[ZY>?VUTboQbel]`kkr(zhgTob`{rnn,v`jXxfyToe;Piov\5Ztt|ye=9>4_^][HKKXWV33SRQab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh4]jjqY7W{y|bz>3e9\[Z^KFDUTS47P_^la[hcjWjeex"`fbcnf[ugdcVic9"mck^c`uZtX9Vxxx}a{2g9\[Z^KFDUTS4QP_h0`[fijx&Ghcx`{_ckw[firf}oyS{oc1058eabu49437ljkr=33:==flmx7=<07;`fgv975611jhi|312<;?dbcz5;?255ndep?508?3hno~1?9>99b`at;9>4j7ljkr=3;>58?3hno~1?7>69b`at;97=0mij}<3<4?dbcz595;6okds>7:2=flmx79394aefq83803hno~1917:cg`w:?6>1jhi|39?`8eabuW9UDNXHl;`fgvZ77WFH^Jn5ndep\54YHJ\Lh7ljkr^31[JDRNj1jhi|P12]LFP@d3hno~R?;_N@VBf=flmxT=8Q@BTD`?dbczV;=SBLZFb9b`atX9>UDNXHl;`fgvZ7?WFH^Jo5ndep\5ZIE]Oh0mij}_3]LFP@e3hno~R=POCWEf>gcl{U?SBLZFc9b`atX=VEIYKl4aefq[3YHJ\Li7ljkr^5\KGSAj2kohQ7_N@VBg=flmxT5RAMUG32?fnXkfdSn{}_rvbp`753jbe9Rcjm^qsmZlhag~:j6mgn4]nahYtx`Uacd`{_UKNAVVBKV_HJ<=4cil\flrtWhizSnf><1<27>eofVhbx~Qncp]`l4:66890oe`Pbhvp[devWjb:0?0>5:akjZdn|zUjo|Qlh0>0>586;2icbRlftr]bgtYd`8682<94cil\flrtWhizSnf>_1]JFP@6?2icbRlftr]bgtYd`8U:SDLZF058gmhXj`~xSlm~_bj2[7YNJ\L:;6mgn^`jpvYfkxUhd>018gmhXj`~xSlm~_bj18786=2icbRlftr]bgtYd`;686=0>3:akjZdn|zUjo|Qlh3>0:417:akjZdn|zUjo|Qlh3]2[LDRN8=0oe`Pbhvp[devWjb9S?QFBTD23>eofVhbx~Qncp]`l7Y4W@H^J<=4cil\flrtWhizSnf<<1<27>eofVhbx~Qncp]`l6:66890oe`Pbhvp[devWjb80?0>5:akjZdn|zUjo|Qlh2>0>586;2icbRlftr]bgtYd`:682<94cil\flrtWhizSnf<_1]JFP@6?2icbRlftr]bgtYd`:U:SDLZF058gmhXj`~xSlm~_bj0[7YNJ\L:;6mgn^`jpvYfkxUhd>Q<_H@VB45>018gmhXj`~xSlm~_bj78786=2icbRlftr]bgtYd`=686=0>3:akjZdn|zUjo|Qlh5>0:417:akjZdn|zUjo|Qlh5]2[LDRN8=0oe`Pbhvp[devWjb?S?QFBTD23>eofVhbx~Qncp]`l1Y4W@H^J<=4cil\flrtWhizSnf:<1<27>eofVhbx~Qncp]`l0:66890oe`Pbhvp[devWjb>0?0>5:akjZdn|zUjo|Qlh4>0>586;2icbRlftr]bgtYd`<682<94cil\flrtWhizSnf:_1]JFP@6?2icbRlftr]bgtYd`_H@VBg=ddbUjo|Qlh333?fjlWhizSnf=_H@VBg=ddbUjo|Qlh233?fjlWhizSnf<_H@VBg=ddbUjo|Qlh533?fjlWhizSnf;_H@VBg=ddbUjo|Qlh433?fjlWhizSnf:_H@VB==ddbUfmd`m;bnh[hgnfVcd=95llj]neka(LJGT_]Qfnrv2<>ekcVgjbj!KCL]PTZoi{}Ubby?<;bnh[hgio&EOH_4:aooZkffn%hS<8BH{]jjs7e3jf`S`oag.a\53KOrVcezekcVT|alf:aooZsXx{ehSO[IGd9geqgXk|xTyo{eg9geqgX`lkoiQ}al18`ak682bnmik}_bwq[vrf|ln0dhokes]nahiuq8>0dhokes]ptlYnf}oyjlmj289j6fYdgdz$KCJP19]l[cjffjeT_NBT1\]fupgkW>Ud>i5f2b]`khv(OGNT=5Q`_gnbjfiX[JFP=PQjqtco[2Yh484:=k5f2b]`khv(Eje~byQmiu]`kphsm{U}ma<>;h0`[fijx&Ghcx`{_ckw[firf}oyS{oc100b?l4dWjef|"Clotlw[gosWje~byk}_ymq85869VCEZR>=4:k1gZehey%Fob{at^`jpZeh}g~n~Rv`r=3=54753`8hSnabp.Pfwpjs494:>6g=c^aliu)Umzgx1?1e:k1gZehey%XOAaj169j6fYdgdz$_NB`e^eoq`Ytm}o0e?mPcnos+VBFgl;>7do5kVida}!Pn120=476?2c9oRm`mq-amqYdg|di2?>058m7eXkfg{#og{_bmvjqcu484:;6g=c^aliu)ea}Uhcx`{es>1:402c9oRm`mq-amqYdg|diQ=1e9j6fYdgdz$ndzPcnwmp`tX:Vxxx}a{199j6fYdgdz$ndzPcnwmp`tXkz~:j6g=c^aliu)ea}Uhcx`{es]`wq7XAG\T<<84i3a\gjkw'ofjbnaPSBN?4;713`8hSnabp.doekehWZIG0<0>5:k1gZehey%m`l`lo^Q@HZ66=2c9oRm`mq-ehdhdgVYH@R?>2:k1gZehey%bbnQlotlw<>o5kVcey=m;hlwaw`frVy{e"mnbdafvdYjmdeyel!BcnwmpZvszVgnaRv`r=2=54YNF_U;?85fnugqbd|X{yc$olljcdpb[hcjg{cj#@m`uov\tqtXelgTtb|31?3275=nf}oyjltPsqk,gddbklxjS`kboskb+HtfeVnjxlQge`ffv435n2cexh|ia{]ptl)dikohioPmdolvlg(E{kfSio{a^jfeacu>=>0eczjrgcy[vvn'jkiink}a^ofijtni&Z\^R=Q`_igb``tXk|xTyo{eZ3^[`wrieU9Sb?=8:kmp`taisUx|d!lacg`awgXelgd~do pup\i`k;87837d`{esdb~Zuwa&ijnhmjr`]nahiuah%{xQbel>2:7>l5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,GVtX9=:0eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/FLG[15XgVMEHR:<_n]NQ]Y5<8Ud8:5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,CKBX<:UdSog{_bmvjqcuS;WTi|{nl^02[j2e3`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"IAD^60[jYea}Uhcx`{esY1YZcv}hfT>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%FC^G}_064?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&GfyuQHNE]77ZiXOGNT8>Q`_LW[[726Wf;:8h5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,IhsWkcSnaznugq_7[XOGNT8>Q`_lw{[44XG\^:=9k4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+HkrpVhbxRm`uovfv^4ZWNDOS9=Po^ov|Z75WF__><:j;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*Kj}qUieyQlotlwaw]5UVMEHR:<_n]nq}Y6:VE^X>?;f:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)Je|rTnxhies]lqq]0UVnjxlQfnZ5^[hsW;8TCXZ>15g8mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'Dg~tRlzfggq[jssS>WThlzn_hlX3XYj}qU9>RAZT371?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&GfyuQmugdfvZir|R=VSio{a^km_2[Xe|rT>?Q@UU0\WR63m2cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!Bmtz\fp`am{UdyyU8]^fbpdYnfR=VS`{w_30\KPR4=;1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a Mlw{[gsanlxTcxzT7\]geqgXagQoi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%FaxvPbtdeawYh}}Q;e:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)Je|rTnxhies]lqq]0UVnjxlQfnZ5^[hsW;8TCXZ8539jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(EdsSo{ifdp\kpr\?TUomyoPioY4YZkrpV89SB[[7^QT41cWTaxvP23]LQQ>X[^:8=6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-NwmtboVIX~R?=d:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)TKBUmmab<4:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)TKBUmmabPrrvskq4c3`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"]LK^pjw`533`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"]LK^pjw`Yu{}zdx?m4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+VELz5:5>n5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,WFMu4849n6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-PGNtX8:>0eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/RAHvZ6XoenS~k{2c9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi([JAyS<=;;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*UDC{U:Sjbze^qfp7`1W`d}?=5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,[k670?Ubb{?=f:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)Xf9:2=Rgav228mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'Vd;<4?Piot276=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$ndzPcnwmp`t;87987d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`jpZeh}g~n~1?1329jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j`~Tob{atdp?6;2f3`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"lft^alqkrbzR8VSJ@K_51\kZkrpV;9SB[[<1<7e>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%ieyQlotlwaw]5UVMEHR:<_n]nq}Y6:VE^X1?14`9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j`~Tob{atdpX6XY@FMU??RaPmtz\57YH]]692><4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+gosWje~byk}_111?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&hbxRm`uovfvZ74:2cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!miu]`kphsm{U9?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{Ubb1>1309jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQfn=3=74=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]jj949;81bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYnf595?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{Ubb1:1309jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQfn=7=74=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]jj909;81bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYnf5=5?=5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{UbbR><0:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)e}oln~Rga_013?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_hl\6668<6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-aqc`bzVceS8=?;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySd`P6228mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'kmjh|Pio]477=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqq:76:80eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/cweb`tXg|~7=3==;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySb{{<3<06>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%iykhjr^mvp959;;1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYh}}6?2><4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+gsanlxTcxz35?11?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_nww8384:2cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!mugdfvZir|5=58o5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{UdyyU8]^fbpdYnfR=VS`{w_30\KPR;87>i7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuWfW:SPd`vb[lh\?TUfyuQ=2^MVP979WThlzn_hlX3XYj}qU9>RAZT=1=0g=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqq]0UVnjxlQfnZ5^[hsW;8TCXZ34?6a?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_nww_2[Xlh~jSd`T7\]nq}Y5:VE^X1;14c9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQ`uuY4YZbf|hUbbV9R_lw{[74XG\^7:3:m;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySb{{[6_\`drfW`dP;PQbuy]16ZIR\5=5?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{UdyyQ?309jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQ`uu]274=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqqY5;81bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYh}}U8?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{UdyyQ;309jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQ`uu]674=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqqY1;81bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYh}}Ul4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+vvnWjyS~zntd]EWHYANm88o6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-ptlYd}{Uxxlzj_rrj[ctbm8997d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.qsmZtbimsTmxv;0:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'NDOS9:Po^EM@Z23WfUD^R9<_n62?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$KCJP45]l[BHCW=>TcRA]_61\k42>3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ30?6b?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$KCJP45]l[BHCW=>TcR}jldaw[41XG\^7<3?;b:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'NDOS9:Po^EM@Z23WfUxiaklt^34[JSS494:=9o4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)@FMU?8RaPGOF\01YhWzoginzP16]LQQ:76;>i7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,CKBX<=UdSJ@K_56\kZubdliS<9POTV?4;46UDYY2>0?37e>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#J@K_56\kZAILV>?SbQ|emg`pZ70WF__0j7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,CKBX<=UdSJ@K_56\kZubdliS<9POTV?5;7312cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/FLG[12XgVMEHR:;_n]paicd|V;oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#J@K_56\kZAILV>?SbQ|emg`pZ70WF__0?0>489jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&MEHR:;_n]DJAY3?SbQHNE]70ZiX{lfnoyQ>7^MVP9599=30eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-DJAY37:1gUDYY2;>06:?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$KCJP45]l[BHCW=>TcR}jldaw[41XG\^793:n;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(OGNT89Q`_FLG[12XgVyn`hm{_05\KPR;=7;?56gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+BHCW=>TcRIAD^67[jYtmeohxR?8_NWW8383i2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/FLG[12XgVMEHR:;_n]paicd|V;;:42>3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ39?6b?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$KCJP45]l[BHCW=>TcR}jldaw[41XG\^753?:3:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'DkohRm`uov\tde\98WTKCJP45]l[dbcW88TCXZPcx>3:02]^EM@Z23WfUjhiQ>2^MVPZe~48:59:5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*KflmUhcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__Snw311<\vq343`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb M`fg[firf}U{mnU>1\]DJAY3]^EM@Z23WfUjhiQ>2^MVPZe~4;4>:6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HgclVidyczPp`aX54[XOGNT89Q`_`fg[44XG\^Tot2=>^pw16=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"Cnde]`kphsWykhWoi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#@okd^alqkrXxhiP=?SbQnde]26ZIR\Vir090Pru70?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$Aljk_bmvjqYwijQ:=PQHNE]70ZiXimnT=?Q@UU]`}939=?1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Ob`aYdg|dS}ol[03^[BHCW=>TcRokd^31[JSSWjs793Q}t418mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%FmijPcnwmpZvfkR;:QRIAD^67[jYflmU:>RAZT^az8382>2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/Lcg`Zeh}g~T|lmT10_\CKBX<=UdSljk_00\KPRXkp6=2R|{529jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&GjhiQlotlw[ugdS8;VSJ@K_56\kZgclV;9SB[[_b{?3;313`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb M`fg[firf}U{mnU>1\]DJAY3;:00]^EM@Z23WfUjhiQ>2^MVPZe~414T~y;<;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(EhnoSnaznu]sef]69TULBIQ;4^m\eabX9;UDYYQly=;=13=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"Cnde]`kphsWykhWRAZT^zlv969=<1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Ob`aYdg|dS}ol[03^[BHCW=>TcRokd^31[JSSWqey0<>1549jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&GjhiQlotlw[ugdS8;VSJ@K_56\kZgclV;9SB[[_ymq8479=11bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Ob`aYdg|dS}ol[03^[BHCW=>TcRokd^31[JSSWqey0oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#@okd^alqkrXxhiP=468mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%FmijPcnwmpZvfkR;:QRIAD^67[jYflmU:>RAZT^zlv959==1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Ob`aYdg|dS}ol[03^[BHCW=>TcRokd^31[JSSWqey090:4:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'DkohRm`uov\tde\98WTKCJP45]l[dbcW88TCXZPxnp?1;333`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb M`fg[firf}U{mnU>1\]DJAY386gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HgclVidyczPp`aX54[XOGNT89Q`_`fg[44XG\^Ttb|39?1a?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$A`{w_bog[kc|Vymyk>3g9jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&GfyuQlme]ma}rX{}ki:4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol<02=71=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"m`uov\tde;9848?6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mn2>>218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`8784;2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/bmvjqYwij682>=4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol<5<07>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef:26:90eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-`kphsWykh0;0<3:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'je~byQab>4:655`8mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__0=0;c:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'je~byQabY25XY@FMU?8RaPaef\57YH]]6:<3:l;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(kfexR~ncZ32YZAILV>?SbQnde]26ZIR\5;:29l4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol[03^[BHCW=>TcRokd^31[JSS484?n6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnU>1\]DJAY37:1doi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef]69TULBIQ;4^m\eabX9;UDYY29>5`8mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__0:0;b:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'je~byQabY25XY@FMU?8RaPaef\57YH]]6329l4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol[03^[BHCW=>TcRokd^31[JSS4048>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnQ?339jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&idyczPp`a\5651208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`[7553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb cnwmpZvfkV98>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnQ;339jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&idyczPp`a\1640eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-ptlYdgg~y`Rmzr^qweqcXNZGTJKj>469jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&y{eRm`nupo[fsuWz~jxhQISL]EBa7*Ag>37d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,wuoXkfd~aQlus]ppdrbWOYFSKHk1,Km512 Ga1568mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%x|dQloovqhZerzVymykPFRO\BCb4<>1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.qsmZehf}xgSn{}_rvbp`YA[DUMJi="Io6;?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$}gPcnlwviYd}{Uxxlzj_GQN[C@c;$Ce=9:4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)tx`Uhccz}l^avvZusi}oTJ^CPFGf702=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"}i^aljqtkWjyS~zntd]EWHYANm>&Ec:7;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj({ycTob`{rm]`qwYt|h~nSK]B_GDg0(Oi9=30eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-ptlYdgg~y`Rmzr^qweqcXNZGTJKj;-Hl251g106;?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$}gPcnlwviYd}{Uxxlzj_GQN[C@c<$Ce>964iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)tx`Uhccz}l^avvZusi}oTJ^CPFGf7)Lh4<11bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.qsmZehf}xgSn{}_rvbp`YA[DUMJi:"Io67<>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#~~f_bmmpwjXk|xTyo{e^DPIZ@Al='Bb8:7;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj({ycTob`{rm]`qwYt|h~nSK]B_GDg0(Oi>=20eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-ptlYdgg~y`Rmzr^qweqcXNZGTJKj;-Hl40==nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"}i^aljqtkWjyS~zntd]EWHYANm>&Ec6;8:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'zzbSnaatsn\gptX{}kiRH\M^DE`1+Nf0>?7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,wuoXkfd~aQlus]ppdrbWOYFSKHk5558mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%x|dQloovqhZerzVymykPFRO\BCb2%@d?46gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+vvnWjeexbPctp\wqgsmVLXARHId4/Jj42?3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb sqk\gjhszeUhyQ|t`vf[CUJWOLo9 Ga25:8mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%x|dQloovqhZerzVymykPFRO\BCb2%@d8855fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*uwaVidby|c_bwq[vrf|lUM_@QIFe7.Mk2302cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/rrj[fii|{fTox|PsucwaZ@TEVLMh8#Fn465?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$}gPcnlwviYd}{Uxxlzj_^l355>;87;?:6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+vvnWjeexbPctp\wqgsmVUe<<>7<0<203=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"}i^aljqtkWjyS~zntd]\j57395:5=984iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)tx`Uhccz}l^avvZusi}oTSc>>40>2:45f3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[cthezzbSd`{<1<0e>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#za}d^dqkhuwaVcex1?13`9jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQirnoptlYnf}692>o4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)pg{nTjabsqk\mkr;;79j7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,sjtcWoxda~~f_hlw8184i2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Z`ugdy{eRgat=7=7d=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]evjktx`Ubby29>2c8mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPfsmnwuoXag~7;3=6;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(fxoSk|`mrrj[lhsW9927d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,sjtcWoxda~~f_hlw[45>3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[cthezzbSd`{_31:?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf${b|k_gplivvnW`dS>=6;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(fxoSk|`mrrj[lhsW=927d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,sjtcWoxda~~f_hlw[05>3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[cthezzbSd`{_71:?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf${b|k_gplivvnW`dS:==;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(fxoSd`{<1<07>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#za}d^kmp9776:90eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-tkwbXag~7=<0<3:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'~eyhRgat=31:65218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov?5084:2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Zoi|5;5??5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbby2=>208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov?7;553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[lhs4=48>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+riulVcex1;1339jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQfnu>5:640208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov\54553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[lhsW888>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+riulVcexR?<339jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQfnu]2064;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(fxoSd`{_212?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf${b|k_hlw[1563`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[lhsW<9:7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,sjtcW`dS;=>;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(fxoSd`{_612?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf${b|k_hlw[=563`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[lhsW0;37d`{esdb~Zuwa&njxlQbuy>3:4?0;8mkrbzokqS~~f/ecweZkrp5;:226;7>3`dihnz^qsm*bf|hUfyu2>3?3:?lhsm{ljvR}i.fbpdYj}q6:83?6;hlwaw`frVy{e"jnt`]nq}:6=7;37d`{esdb~Zuwa&njxlQbuy>2:4?oi|lxmmwQ|ph-geqgXe|r7?3?>8:kmp`taisUx|d!kauc\ip~;<7;27d`{esdb~Zuwa&njxlQbuy>7:47?3`dihnz^qsm*bf|hUfyu2:>0:8mkrbzokqS~~f/ecweZkrp5<5=55fnugqbd|X{yc$hlzn_lw{828602cexh|ia{]ptl)ci}kTaxv38?3;?lhsm{ljvR}i.fbpdYj}q622>;4iovfvcg}Wzzb#`{cthroa}iuW{kfSeknddp,IhsWmkmRz`sqk2560<=9;hlwaw`frVy{e"czluksh`~hzVxjaRfjaegq+HkrpVnjxlQ{orrj5674>2cexh|ia{]ptl)j}e~b|akwos]qehYomhnn~"Cbuy]geqgX|fy{e<:>379jjqcunhpT}g mtnwmujbpfxT~lcPhdcgaw)Je|rThlzn_umptl729:<0eczjrgcy[vvn'dgxd~ceymq[wgjWaojhh| Mlw{[agsiV~d}g>6016?lhsm{ljvR}i.ovhqowdlrd~R|nm^jfeacu'Dg~tRjnt`]wkvvn:89>7d`{esdb~Zuwa&g~`ygldzlvZtfeVbnmik}/Lov|Zbf|hUc~~f4016?lhsm{ljvR}i.ovhqowdlrd~R|nm^jfeacu'Dg~tRjnt`]wkvvn=89>7d`{esdb~Zuwa&g~`ygldzlvZtfeVbnmik}/Lov|Zbf|hUc~~f6016?lhsm{ljvR}i.ovhqowdlrd~R|nm^jfeacu'Dg~tRjnt`]wkvvn?8;<7d`{esdb~Zuwa&xn}Rfjaegq5g=nf}oyjltPsqk,vvrX`lkoijntd3e?lhsm{ljvR}i.qfhZnbimoyhlzj_scn50=nf}oyjltPsqk,wuoXn{on:6bm_ccqf>jeWkkySBLZF59okfm>3eehgRGMUG:8hjelW`d}j6b`cj]jjs7XAG\TheWdofSnaat.fbpdYj}q6:<3?7;o`\i`kXkfd#io{a^ov|9766820boQbel]`kkr(lh~jS`{w<00=5==ijVgnaRm`nu-geqgXe|r7=>0>8:la[hcjWjeex"jnt`]nq}:6<7;37clPmdo\gjhs'mkmRczx=36:417:la[hcjWjeex"jnt`]nq}:568=0boQbel]`kkr(lh~jS`{w<2<23>heWdofSnaat.fbpdYj}q6?2<94nc]nahYdgg~$hlzn_lw{8086?2diS`kb_bmmp*bf|hUfyu29>058jgYjmdUhccz d`vb[hs4>4:;6`m_lgn[fii|&njxlQbuy>;:414:la[hcjWjeex"fm_m`aew7d3ghTahcPcnlw+liX{lf$CHlnr^kmr4b85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT03360=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\8;:>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT03160=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\8;8>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT03760=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\8;>>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT01260=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\899>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT01060=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\89?>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT01660=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\8>9>95ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT0711>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9<;996`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[14011>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9<9996`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[14611>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9<=986`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[1906?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR6088>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>8306?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR60:8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>8506?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR60<8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>8706?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR60>8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>8907?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR59;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<>1378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ46:;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<>3378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ46<;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<>5378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ46>;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<>7378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ460;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<;249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP726:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X?:=249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP724:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X?:;249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP722:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X?:9249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP720:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X?:7259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP715=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__>:?=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6245=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__>:==5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6225=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__>:;=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6205=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__>:9=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW62>5;2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__??:4nc]nahYdgg~$axvPd`vb+HkrpVNJXLQ@UU1360=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:::>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT22160=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\::8>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT22760=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\::>>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT22560=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\::<>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT22;61=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:;986`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[3307?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR4;;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=<1378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ54:;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=<3378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ54<;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=<5378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ54>;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=<7378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ540;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=;259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP635<2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__?;<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV024423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^8:?<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV026423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^8:9<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV020423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^8:;<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV022423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^8:5<;;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV037285ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT2:060=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:2?>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT2:660=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:2=>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT2:46<=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:23ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^?<8Q\W106?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR38>827clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ;06]PS5443ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^>>95ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT4310>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS=:8?7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ:4348jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ33WO8=7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ:4^E10>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS=>8=7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ:7^D12>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS=>UL>>5ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT607?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR09;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY9=259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP255<2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__;9<;;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV417295ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT6517>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS1;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY7>259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP<45<2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__5><;;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV:072>95ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT8410>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS1>;m7clPmdo\gjhs'dsSio{a.]m45454=4:=k5ab^ofiZehf}%fyuQkauc,[k67:16?2<10d8jgYjmdUhccz mtz\`drf'Vd;heWdofSnaat.ov|Zbf|h%Tb=><40d8jgYjmdUhccz mtz\`drf'Vd;<>:34?32f>heWdofSnaat.ov|Zbf|h%Tb=>;00d8jgYjmdUhccz mtz\`drf'Vd;<9>34?314>heWdofSnaat.ov|Zbf|h%Tb=>;0=6=547a3ghTahcPcnlw+hsWmkm"Qa016381859k1enRcjm^aljq)j}qUomyo _o23037a3ghTahcPcnlw+hsWmkm"Qa01658186<91enRcjm^aljq)iakhgiR~ncj]`l4)JkfexR`fbcnf[firf}oySua}<1<25ZOI^V:8m6`m_lgn[fii|&dbnobj_qc`oZeo9&Ghcx`{_okaficXkfexh|Pxnp?5;76;h1enRcjm^aljq)iakhgiR~ncj]`l4)JkfexR`fbcnf[firf}oySua}<3<250eSB[[107`?kdXelgTob`{/okaficXxhi`Snf>/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X914a8jgYjmdUhccz nh`ah`YwijaToe? Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X<<>5b9mfZkbeVidby!aic`oaZvfkbUhd?:c:la[hcjWjeex"`fbcnf[ugdcVic="Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:8<;l;o`\i`kXkfd#cgmbmg\tdelWjb:#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];>=8m4nc]nahYdgg~$bdlmld]sefmXka;$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\8<:9n5ab^ofiZehf}%eeolce^rbgnYd`8%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS9>;>o6`m_lgn[fii|&dbnobj_qc`oZeo9&GfyuQaic`oaZeh}g~n~V9PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP766=j1enRcjm^aljq)iakhgiR~ncj]`l4)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW6472k2diS`kb_bmmp*hnjkfnS}olk^ak5*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV1643d3ghTahcPcnlw+koejeoT|lmd_bj2+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU0050eSB[[247a?kdXelgTob`{/okaficXxhi`Snf>/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhd8?i7clPmdo\gjhs'gcinakPp`ah[fn6'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ16=k1enRcjm^aljq)iakhgiR~ncj]`l4)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW<43e3ghTahcPcnlw+koejeoT|lmd_bj2+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU;26a=ijVgnaRm`nu-mmgdkmVzjofQlh0-Nip~Xzly~`Rcjm0336`=ijVgnaRm`nu-mmgdkmVzjofQlh0-Nip~Xzly~`Rcjm0335732:73>0305?kdXelgTob`{/okaficXxhi`Snf>/^l3554;:7;9:6`m_lgn[fii|&dbnobj_qc`oZeo9&Ue<<<<_hlu62=ijVgnaRm`nu-mmgdkmVzjofQlh0-\j575;Vcez<<9;o`\i`kXkfd#cgmbmg\tdelWjb:#R`?135\mkp5?2diS`kb_bmmp*hnjkfnS}olk^ak5*Yi888?Piot275=ijVgnaRm`nu-mmgdkmVzjofQlh0-`lkYea}yTmnPbhv3858482diS`kb_bmmp*hnjkfnS}olk^ak5*eofVhbx~Qncp]amq6;978m7clPmdo\gjhs'gcinakPp`ah[fn6'jbeSog{s^c`uZdn|9U;?;5ab^ofiZehf}%eeolce^rbgnYd`8%hdcQmiuq\efwXj`~;S=Q}surlp7`2diS`kb_bmmp*hnjkfnS}olk^ak5*eofVhbx~Qncp]amq6X9Vxxx}a{319mfZkbeVidby!aic`oaZvfkbUhd<1<04>heWdofSnaat.ljfgjbWykhgRmg1.akjZdn|zUjo|Qmiu3?5;4a3ghTahcPcnlw+koejeoT|lmd_bj2+fniWkcRolq^`jp4Y7;?1enRcjm^aljq)iakhgiR~ncj]`l4)d`gUiey}Pabs\flr6W9Uyy~`t3d8jgYjmdUhccz nh`ah`YwijaToe? cil\flrtWhizSog{1^302>heWdofSnaat.ljfgjbWykhgRmg1.akjZdn|zUjo|Qmiu3\5Ztt|ye?=5ab^ofiZehf}%eeolce^rbgnYd`8%hdcQmiuq\efwXj`~90=0<0:la[hcjWjeex"`fbcnf[ugdcVic="mgn^`jpvYfkxUiey<31?0e?kdXelgTob`{/okaficXxhi`Snf>/bjm[gos{Vkh}Rlft3]373=ijVgnaRm`nu-mmgdkmVzjofQlh0-`lkYea}yTmnPbhv1[5Yu{}zdx?h4nc]nahYdgg~$bdlmld]sefmXka;$oe`Pbhvp[devWkc>R?<6:la[hcjWjeex"`fbcnf[ugdcVic="mgn^`jpvYfkxUieyQ?379mfZkbeVidby!aic`oaZvfkbUhd55ab^ofiZehf}%eeolce^rbgnYd`8%h`fQncp]q848502diS`kb_bmmp*hnjkfnS}olk^ak5*ekcVkh}R|32?04?kdXelgTob`{/okaficXxhi`Snf>/bnh[devW{U;>h5ab^ofiZehf}%eeolce^rbgnYd`8%h`fQncp]q[5Yu{}zdx?94nc]nahYdgg~$bdlmld]sefmXka;$oaePabs\vZ75m2diS`kb_bmmp*hnjkfnS}olk^ak5*ekcVkh}R|P1^pppuis:>1enRcjm^aljq)iakhgiR~ncj]`l4)ddbUjo|Q}_30f?kdXelgTob`{/okaficXxhi`Snf>/bnh[devW{U9S}{pnv1<>heWdofSnaat.ljfgjbWykhgRmg1.aooZgdyVxbhheWdofSnaat.ljfgjbWykhgRmg1.fbpdYd}{Uxxlzj_GQN[C@c::k0boQbel]`kkr(f`hi`hQabi\gm7(lh~jSn{}_rvbp`YA[DUMJi1599mfZkbeVidby!aic`oaZvfkbUhd46`m_lgn[fii|&dbnobj_qc`oZeo9&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>25;3?3ghTahcPcnlw+koejeoT|lmd_bj2+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?=>4:8jgYjmdUhccz nh`ah`YwijaToe? nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4895955ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?518202diS`kb_bmmp*hnjkfnS}olk^ak5*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95?7;?kdXelgTob`{/okaficXxhi`Snf>/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;=2864nc]nahYdgg~$bdlmld]sefmXka;$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8419=11enRcjm^aljq)iakhgiR~ncj]`l4)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ319<6<>heWdofSnaat.ljfgjbWykhgRmg1.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:53;8;o`\i`kXkfd#cgmbmg\tdelWjb:#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP979=11enRcjm^aljq)iakhgiR~ncj]`l4)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ321<6<>heWdofSnaat.ljfgjbWykhgRmg1.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]69=3;7;o`\i`kXkfd#cgmbmg\tdelWjb:#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9456<20boQbel]`kkr(f`hi`hQabi\gm7(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<31=12=ijVgnaRm`nu-mmgdkmVzjofQlh0-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7>3;8;o`\i`kXkfd#cgmbmg\tdelWjb:#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP959=>1enRcjm^aljq)iakhgiR~ncj]`l4)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ34?74?kdXelgTob`{/okaficXxhi`Snf>/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5?59:5ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?2;303ghTahcPcnlw+koejeoT|lmd_bj2+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X191569mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.ljfgjbWje~byk}_00`?kdXelgTob`{/okaficXxhi`Snf>/okaficXkfexh|P2358jgYjmdUhccz nh`ah`YwijaToe? re{\i`kXi|r9i6`m_lgn[fii|&dbnobj_qc`oZeo9&xouRcjm^cv|Ztt|ye?<5ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`1>1339mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco8419;;1enRcjm^aljq)iakhgiR~ncj]`l4)umzgS`kb_hlwawhfd5;32><4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxema2>9?12?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n?5;553ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`Qfnugqjdj;:948>6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkg0??1339mfZkbeVidby!aic`oaZvfkbUhd3=>;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblb33?12?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n?0;563ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`Qfnugqjdj;=79:7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhf7:3=>;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblb37?12?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n?<;563ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`Qfnugqjdj;179;7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfT<>>4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQ>309mfZkbeVidby!aic`oaZvfkbUhd;91enRcjm^aljq)iakhgiR~ncj]`l4)umzgS`kb_hlwawhfdV88=6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgS?><1:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc_3305>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[74492diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkW;98<6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgS>=?;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblbP4228jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam]675=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZ0482diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkW>9;7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfT4>>4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQ6349mfZkbeVidby!aic`oaZvfkbUhd248jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam]bq}:6979=7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxv313<02>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[ds4895?;5ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`Rozx=37:602diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkWhs0<91379mfZkbeVidby!aic`oaZvfkbUhd9?16?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~;979=7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxv321<02>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[ds4;;5?;5ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`Rozx=01:60?85ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`Rozx^3570=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrpV;heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW;:896`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_3301>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW;8896`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_3100>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW:9?7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxvP4268jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam]bq}Y2;=1enRcjm^aljq)iakhgiR~ncj]`l4)umzgS`kb_hlwawhfdVk~tR8<4:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc_`w{[2533ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjXi|rT4>:4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQnuy]:62=ijVgnaRm`nu-mmgdkmVzjofQlh0-qwqYei{Ubb{<7;o`\i`kXkfd#cgmbmg\tdelWjb:#}{_ccq[lhq9;=0boQbel]`kkr(f`hi`hQabi\gm7({}kyxRm`uov1a>heWdofSnaat.ljfgjbWykhgRmg1.qwewrXkfexR||tqmw05=ijVgnaRm`nu-mmgdkmVzjofQlh3-Ngjsi|Vdbnobj_bmvjqcuWqey0=0>1^KMRZ64i2diS`kb_bmmp*hnjkfnS}olk^ak6*Kdg|dScgmbmg\gjsi|lxTtb|31?327d=ijVgnaRm`nu-mmgdkmVzjofQlh3-Ngjsi|Vdbnobj_bmvjqcuWqey0?0>14a8jgYjmdUhccz nh`ah`YwijaToe< Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X<>>5c9mfZkbeVidby!aic`oaZvfkbUhd?!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=<;l;o`\i`kXkfd#cgmbmg\tdelWjb9#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];:=8m4nc]nahYdgg~$bdlmld]sefmXka8$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\88:9n5ab^ofiZehf}%eeolce^rbgnYd`;%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS9:;>o6`m_lgn[fii|&dbnobj_qc`oZeo:&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP406=j1enRcjm^aljq)iakhgiR~ncj]`l7)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5272k2diS`kb_bmmp*hnjkfnS}olk^ak6*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2<43d3ghTahcPcnlw+koejeoT|lmd_bj1+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3:50eSB[[2036g>heWdofSnaat.ljfgjbWykhgRmg2.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ=207`?kdXelgTob`{/okaficXxhi`Snf=/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhd?!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__>8;m;o`\i`kXkfd#cgmbmg\tdelWjb9#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]9:9o5ab^ofiZehf}%eeolce^rbgnYd`;%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS<8?i7clPmdo\gjhs'gcinakPp`ah[fn5'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ36=k1enRcjm^aljq)iakhgiR~ncj]`l7)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW243e3ghTahcPcnlw+koejeoT|lmd_bj1+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU521g=ijVgnaRm`nu-mmgdkmVzjofQlh3-Nip~Xf`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[807a?kdXelgTob`{/okaficXxhi`Snf=/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X92e9mfZkbeVidby!aic`oaZvfkbUhd?!Bmtz\v`urdVgna>378jgYjmdUhccz nh`ah`YwijaToe< Rdqvhq:56;80boQbel]`kkr(f`hi`hQabi\gm4(Wg::2diS`kb_bmmp*hnjkfnS}olk^ak6*Yi8888Sd`y269mfZkbeVidby!aic`oaZvfkbUhd?!Pn1317Zoi~88=7clPmdo\gjhs'gcinakPp`ah[fn5'Vd;=?9Piot13>heWdofSnaat.ljfgjbWykhgRmg2.]m4440W`d}=?84nc]nahYdgg~$bdlmld]sefmXka8$Sc>>30]jjs403ghTahcPcnlw+koejeoT|lmd_bj1+Zh79:;Tecx>319mfZkbeVidby!aic`oaZvfkbUhd?!lho]amquXij{Tndz?<1<04>heWdofSnaat.ljfgjbWykhgRmg2.akjZdn|zUjo|Qmiu2?5;4a3ghTahcPcnlw+koejeoT|lmd_bj1+fniWkcRolq^`jp5Y7;?1enRcjm^aljq)iakhgiR~ncj]`l7)d`gUiey}Pabs\flr7W9Uyy~`t3d8jgYjmdUhccz nh`ah`YwijaToe< cil\flrtWhizSog{0^302>heWdofSnaat.ljfgjbWykhgRmg2.akjZdn|zUjo|Qmiu2\5Ztt|ye?=5ab^ofiZehf}%eeolce^rbgnYd`;%hdcQmiuq\efwXj`~:0=0<0:la[hcjWjeex"`fbcnf[ugdcVic>"mgn^`jpvYfkxUiey?31?0e?kdXelgTob`{/okaficXxhi`Snf=/bjm[gos{Vkh}Rlft0]373=ijVgnaRm`nu-mmgdkmVzjofQlh3-`lkYea}yTmnPbhv2[5Yu{}zdx?h4nc]nahYdgg~$bdlmld]sefmXka8$oe`Pbhvp[devWkc=R?<6:la[hcjWjeex"`fbcnf[ugdcVic>"mgn^`jpvYfkxUiey?P1^pppuis;91enRcjm^aljq)iakhgiR~ncj]`l7)d`gUiey}Pabs\flr54948<6`m_lgn[fii|&dbnobj_qc`oZeo:&icbRlftr]bgtYea}87=32diS`kb_bmmp*hnjkfnS}olk^ak6*eofVhbx~Qncp]amq5X9Vxxx}a{299mfZkbeVidby!aic`oaZvfkbUhd?!llj]bgtYaief9j6`m_lgn[fii|&dbnobj_qc`oZeo:&iggRolq^dbhiYu{}zdx?64nc]nahYdgg~$bdlmld]sefmXka8$oaePabs\v969:11enRcjm^aljq)iakhgiR~ncj]`l7)ddbUjo|Q}<0<1<>heWdofSnaat.ljfgjbWykhgRmg2.aooZgdyVx7>3<8;o`\i`kXkfd#cgmbmg\tdelWjb9#nbd_`ar[wY7:l1enRcjm^aljq)iakhgiR~ncj]`l7)ddbUjo|Q}_1]qwqvh|;=0boQbel]`kkr(f`hi`hQabi\gm4(keaTmnPr^31a>heWdofSnaat.ljfgjbWykhgRmg2.aooZgdyVxT=R||tqmw62=ijVgnaRm`nu-mmgdkmVzjofQlh3-`hnYfkxUyS?"mck^c`uZtn{l8m7clPmdo\gjhs'gcinakPp`ah[fn5'jf`Slm~_skpaZtt|ye?>5ab^ofiZehf}%eeolce^rbgnYd`;%omyoPctp\wqgsmVLXARHId01b?kdXelgTob`{/okaficXxhi`Snf=/ecweZerzVymykPFRO\BCb6W{y|bz<3:la[hcjWjeex"`fbcnf[ugdcVic>"jnt`]`qwYt|h~nSK]B_GDg66g1?7;?kdXelgTob`{/okaficXxhi`Snf=/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;92864nc]nahYdgg~$bdlmld]sefmXka8$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8459=11enRcjm^aljq)iakhgiR~ncj]`l7)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ315<6<>heWdofSnaat.ljfgjbWykhgRmg2.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:93;7;o`\i`kXkfd#cgmbmg\tdelWjb9#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9716<20boQbel]`kkr(f`hi`hQabi\gm4(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<05=1==ijVgnaRm`nu-mmgdkmVzjofQlh3-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=50:8:la[hcjWjeex"`fbcnf[ugdcVic>"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:617?<7clPmdo\gjhs'gcinakPp`ah[fn5'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=3=1==ijVgnaRm`nu-mmgdkmVzjofQlh3-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7>=0:8:la[hcjWjeex"`fbcnf[ugdcVic>"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:597?37clPmdo\gjhs'gcinakPp`ah[fn5'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=01:0>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP939=>1enRcjm^aljq)iakhgiR~ncj]`l7)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ36?74?kdXelgTob`{/okaficXxhi`Snf=/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5=59:5ab^ofiZehf}%eeolce^rbgnYd`;%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?<;303ghTahcPcnlw+koejeoT|lmd_bj1+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1712b9mfZkbeVidby!aic`oaZvfkbUhd?!aic`oaZeh}g~n~R>=c:la[hcjWjeex"`fbcnf[ugdcVic>"`fbcnf[firf}oyS<?94nc]nahYdgg~$bdlmld]sefmXka8$~iwPmdo\ep~5m2diS`kb_bmmp*hnjkfnS}olk^ak6*tcqVgnaRozx^pppuis;81enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfd5:5??5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`1??>208jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam>25;553ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`Qfnugqjdj;9;48>6`m_lgn[fii|&dbnobj_qc`oZeo:&xnxbPmdo\mkrbzgkg0<=1339mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl=37:64"|jstn\i`kXag~n~coc<05=77=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbh97?6:80boQbel]`kkr(f`hi`hQabi\gm4(zly~`Rcjm^kmp`tiie6:53=>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblb31?11?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n?6584:2diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgk4;;5??5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`1<=>208jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam>17;563ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`Qfnugqjdj;:79:7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhf7?3=>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblb34?12?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n?1;563ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`Qfnugqjdj;>79:7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhf7;3=>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblb38?12?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n?=;573ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjX8::0boQbel]`kkr(f`hi`hQabi\gm4(zly~`Rcjm^kmp`tiieU:?<5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`R??309mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^3274=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZ75;81enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdV;8?<5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`R?;309mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^3674=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZ71;81enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdV;"|jstn\i`kXag~n~coc_3005>heWdofSnaat.ljfgjbWykhgRmg2.pfwpjXelgTeczjroco[75482diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkW:9;7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfT8>>4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQ:319mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^404>heWdofSnaat.ljfgjbWykhgRmg2.pfwpjXelgTeczjroco[2573ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjX0::0boQbel]`kkr(f`hi`hQabi\gm4(zly~`Rcjm^kmp`tiieU2?85ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`Rozx=2=73=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZgrp5;;2>84nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy>25;513ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|r7=?0<6:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{8459;?1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t1?;>248jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam]bq}:6=79=7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxv317<02>heWdofSnaat.ljfgjbWykhgRmg2.pfwpjXelgTeczjroco[ds48=5?;5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`Rozx=3;:60=0<6:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{8779;?1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t1<=>248jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam]bq}:5;79>7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxv32?16?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~;;79>7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxv34?16?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~;=79>7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxv36?16?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~;?79>7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxv38?16?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~;179?7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxvP0268jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam]bq}Y6;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~tR??349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|Z76;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~tR?=349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|Z74;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~tR?;349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|Z72;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~tR?9349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|Z70;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~tR?7349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|Z7>;=1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~tR<<5:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{[764=2diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkWhsS??<5:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{[744=2diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkWhsS?=<4:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{[6533ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT8>:4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy]671=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZgrpV<886`m_lgn[fii|&dbnobj_qc`oZeo:&xnxbPmdo\mkrbzgkgSl{w_617?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~X0:>0boQbel]`kkr(f`hi`hQabi\gm4(zly~`Rcjm^kmp`tiieUjyuQ6269mfZkbeVidby!aic`oaZvfkbUhd?!|t`pw[firf}8n7clPmdo\gjhs'gcinakPp`ah[fn5'z~j~yQlotlw[wusxf~?<6`m_lgn[fii|&dbnobj_qc`oZeo;&Ghcx`{_okaficXkfexh|Pxnp?4;76W@D]S==n;o`\i`kXkfd#cgmbmg\tdelWjb8#@m`uov\jldedlUhcx`{es]{kw:668;8m6`m_lgn[fii|&dbnobj_qc`oZeo;&Ghcx`{_okaficXkfexh|Pxnp?6;76=j1enRcjm^aljq)iakhgiR~ncj]`l6)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5572j2diS`kb_bmmp*hnjkfnS}olk^ak7*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV250eSB[[1336g>heWdofSnaat.ljfgjbWykhgRmg3.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>307`?kdXelgTob`{/okaficXxhi`Snf5b9mfZkbeVidby!aic`oaZvfkbUhd>!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=;?:c:la[hcjWjeex"`fbcnf[ugdcVic?"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:;<;l;o`\i`kXkfd#cgmbmg\tdelWjb8#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];3=8m4nc]nahYdgg~$bdlmld]sefmXka9$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\83:9n5ab^ofiZehf}%eeolce^rbgnYd`:%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS:9;>o6`m_lgn[fii|&dbnobj_qc`oZeo;&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP756=j1enRcjm^aljq)iakhgiR~ncj]`l6)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW6172j2diS`kb_bmmp*hnjkfnS}olk^ak7*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV110dheWdofSnaat.ljfgjbWykhgRmg3.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ;14`8jgYjmdUhccz nh`ah`YwijaToe= Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X8?:b:la[hcjWjeex"`fbcnf[ugdcVic?"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^==8l4nc]nahYdgg~$bdlmld]sefmXka9$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\>;>n6`m_lgn[fii|&dbnobj_qc`oZeo;&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP<75l2diS`kb_bmmp*hnjkfnS}olk^ak7*Kj}qUyi~{c_lgn5465m2diS`kb_bmmp*hnjkfnS}olk^ak7*Kj}qUyi~{c_lgn5466:<1enRcjm^aljq)iakhgiR~ncj]`l6)Umzgx1>1249mfZkbeVidby!aic`oaZvfkbUhd>!]erwop979:<1enRcjm^aljq)iakhgiR~ncj]`l6)Umzgx1<1239mfZkbeVidby!aic`oaZvfkbUhd>!Pn133670>30]jjs7482diS`kb_bmmp*hnjkfnS}olk^ak7*eofVhbx~Qncp]amq6;879;7clPmdo\gjhs'gcinakPp`ah[fn4'jbeSog{s^c`uZdn|96:2?h4nc]nahYdgg~$bdlmld]sefmXka9$oe`Pbhvp[devWkc<6:la[hcjWjeex"`fbcnf[ugdcVic?"mgn^`jpvYfkxUiey>P0^pppuis:o1enRcjm^aljq)iakhgiR~ncj]`l6)d`gUiey}Pabs\flr7W89=7clPmdo\gjhs'gcinakPp`ah[fn4'jbeSog{s^c`uZdn|9U:S}{pnv04>heWdofSnaat.ljfgjbWykhgRmg3.akjZdn|zUjo|Qmiu3?4;573ghTahcPcnlw+koejeoT|lmd_bj0+fniWkcRolq^`jp4:66;l0boQbel]`kkr(f`hi`hQabi\gm5(kadTndz|_`ar[gos9V:8:6`m_lgn[fii|&dbnobj_qc`oZeo;&icbRlftr]bgtYea};T2:7`2diS`kb_bmmp*hnjkfnS}olk^ak7*eofVhbx~Qncp]amq4X8Vxxx}a{2g9mfZkbeVidby!aic`oaZvfkbUhd>!lho]amquXij{Tndz=_015?kdXelgTob`{/okaficXxhi`Snf2>>3d8jgYjmdUhccz nh`ah`YwijaToe= cil\flrtWhizSog{3^202>heWdofSnaat.ljfgjbWykhgRmg3.akjZdn|zUjo|Qmiu1\4Ztt|ye>k5ab^ofiZehf}%eeolce^rbgnYd`:%hdcQmiuq\efwXj`~8S<=9;o`\i`kXkfd#cgmbmg\tdelWjb8#nfa_ckwwZgdyVhbx>Q>_sqwtjr502diS`kb_bmmp*hnjkfnS}olk^ak7*ekcVkh}Rhnlm0e?kdXelgTob`{/okaficXxhi`Snf1:71=e:la[hcjWjeex"`fbcnf[ugdcVic?"mck^c`uZtX8Vxxx}a{269mfZkbeVidby!aic`oaZvfkbUhd>!llj]bgtYuW88n7clPmdo\gjhs'gcinakPp`ah[fn4'jf`Slm~_s]2[wusxf~9;6`m_lgn[fii|&dbnobj_qc`oZeo;&iggRolq^p\67cheWdofSnaat.ljfgjbWykhgRmg3.fbpdYd}{Uxxlzj_GQN[C@c9:k0boQbel]`kkr(f`hi`hQabi\gm5(lh~jSn{}_rvbp`YA[DUMJi?Prrvskq543ghTahcPcnlw+koejeoT|lmd_bj0+agsiVi~~R}{aug\BVKXNOn9?l5ab^ofiZehf}%eeolce^rbgnYd`:%omyoPctp\wqgsmVLXARHId3]qwqvh|;n0boQbel]`kkr(f`hi`hQabi\gm5(f`hi`hQlotlwaw:76;n0boQbel]`kkr(f`hi`hQabi\gm5(f`hi`hQlotlwaw:66;n0boQbel]`kkr(f`hi`hQabi\gm5(f`hi`hQlotlwaw:56<=0boQbel]`kkr(f`hi`hQabi\gm5(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<1<6<>heWdofSnaat.ljfgjbWykhgRmg3.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:<3;7;o`\i`kXkfd#cgmbmg\tdelWjb8#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9766<20boQbel]`kkr(f`hi`hQabi\gm5(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<00=1==ijVgnaRm`nu-mmgdkmVzjofQlh2-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=>0:8:la[hcjWjeex"`fbcnf[ugdcVic?"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:6<7?37clPmdo\gjhs'gcinakPp`ah[fn4'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=36:0>!aic`oaZeh}g~n~V4>46`m_lgn[fii|&dbnobj_qc`oZeo;&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>2<;3?3ghTahcPcnlw+koejeoT|lmd_bj0+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?6>458jgYjmdUhccz nh`ah`YwijaToe= nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS484>46`m_lgn[fii|&dbnobj_qc`oZeo;&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>14;3?3ghTahcPcnlw+koejeoT|lmd_bj0+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1<>>4:8jgYjmdUhccz nh`ah`YwijaToe= nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4;85955ab^ofiZehf}%eeolce^rbgnYd`:%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?6682?2diS`kb_bmmp*hnjkfnS}olk^ak7*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9458jgYjmdUhccz nh`ah`YwijaToe= nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4:4>;6`m_lgn[fii|&dbnobj_qc`oZeo;&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>7:01SB[[<6<63>heWdofSnaat.ljfgjbWykhgRmg3.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]632894nc]nahYdgg~$bdlmld]sefmXka9$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8<85k2diS`kb_bmmp*hnjkfnS}olk^ak7*hnjkfnSnaznugq[54d3ghTahcPcnlw+koejeoT|lmd_bj0+koejeoTob{atdp\57eheWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco8469;;1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfd5;:2><4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxema2>2?11?kdXelgTob`{/okaficXxhi`Snf5??5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`1?:>208jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam>22;553ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`Qfnugqjdj;9>48>6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkg0<61339mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl=3::67heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco8749;;1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfd5882>?4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxema2=>238jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam>0:672>?4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxema29>238jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam>4:67>4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQ?319mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^305>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[46492diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkW8;8=6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgS<<<1:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_0105>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[42492diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkW8?8=6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgS<8<1:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_0505>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[4>492diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkW838<6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgS?=>;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblbP2112?kdXelgTob`{/okaficXxhi`Snf>=?;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblbP3228jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]775=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZ3482diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkW?9;7clPmdo\gjhs'gcinakPp`ah[fn4'{oxyaQbel]jjqcufhfT;>>4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQ7319mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^;01>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[ds4948:6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgSl{w<02=73=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZgrp5;:2>84nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy>26;513ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjXi|r7=>0<6:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{8429;?1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdVk~t1?:>248jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}:6>79=7clPmdo\gjhs'gcinakPp`ah[fn4'{oxyaQbel]jjqcufhfTmxv316<02>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[ds4825?;5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`Rozx=3::6384nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy>14;513ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjXi|r7><0<6:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{8749;?1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdVk~t1<<>278jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}:56:?0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieUjyu2<>278jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}:36:?0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieUjyu2:>278jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}:16:?0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieUjyu28>278jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}:?6:?0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieUjyu26>268jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}Y7;=1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdVk~tR?<5:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{[464=2diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhsS4=2diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhsS<7<4:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{[7523ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjXi|rT>==:;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblbPatz\64523ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjXi|rT>?=:;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblbPatz\66533ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjXi|rT?>:4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy]771=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZgrpV?886`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgSl{w_717?kdXelgTob`{/okaficXxhi`Snf0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieUjyuQ7359mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^cv|Z?5?2diS`kb_bmmp*hnjkfnS}olk^ak7*usi{~Tob{at3g8jgYjmdUhccz nh`ah`YwijaToe= sucqpZeh}g~T~~zou63?kdXelgTob`{/okaficXxhi`Snf;/LalqkrXf`hi`hQlotlwawYg{6;2o6`m_lgn[fii|&dbnobj_qc`oZeo<&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP426=j1enRcjm^aljq)iakhgiR~ncj]`l1)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5072k2diS`kb_bmmp*hnjkfnS}olk^ak0*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2243d3ghTahcPcnlw+koejeoT|lmd_bj7+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3450eSB[[1836g>heWdofSnaat.ljfgjbWykhgRmg4.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ=007`?kdXelgTob`{/okaficXxhi`Snf;/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X914a8jgYjmdUhccz nh`ah`YwijaToe: Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X?<>5b9mfZkbeVidby!aic`oaZvfkbUhd9!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__>>?:c:la[hcjWjeex"`fbcnf[ugdcVic8"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^98<;m;o`\i`kXkfd#cgmbmg\tdelWjb?#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]8>9o5ab^ofiZehf}%eeolce^rbgnYd`=%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS;8?i7clPmdo\gjhs'gcinakPp`ah[fn3'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ26=k1enRcjm^aljq)iakhgiR~ncj]`l1)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW143e3ghTahcPcnlw+koejeoT|lmd_bj7+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU421g=ijVgnaRm`nu-mmgdkmVzjofQlh5-Nip~Xf`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[707a?kdXelgTob`{/okaficXxhi`Snf;/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhd9!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__5<;5ab^ofiZehf}%eeolce^rbgnYd`=%Tb=??2=0=570_hlu62=ijVgnaRm`nu-mmgdkmVzjofQlh5-\j5749Vcez<=?;o`\i`kXkfd#cgmbmg\tdelWjb?#nfa_ckwwZgdyVhbx=2?>228jgYjmdUhccz nh`ah`YwijaToe: cil\flrtWhizSog{0=3=6c=ijVgnaRm`nu-mmgdkmVzjofQlh5-`lkYea}yTmnPbhv3[5513ghTahcPcnlw+koejeoT|lmd_bj7+fniWkcRolq^`jp5Y7W{y|bz=f:la[hcjWjeex"`fbcnf[ugdcVic8"mgn^`jpvYfkxUiey>P1248jgYjmdUhccz nh`ah`YwijaToe: cil\flrtWhizSog{0^3\vvrwg}9;7clPmdo\gjhs'gcinakPp`ah[fn3'jbeSog{s^c`uZdn|86;2>>4nc]nahYdgg~$bdlmld]sefmXka>$oe`Pbhvp[devWkc=1?12g9mfZkbeVidby!aic`oaZvfkbUhd9!lho]amquXij{Tndz>_115?kdXelgTob`{/okaficXxhi`Snf;/bjm[gos{Vkh}Rlft0]3[wusxf~9j6`m_lgn[fii|&dbnobj_qc`oZeo<&icbRlftr]bgtYea};T=>84nc]nahYdgg~$bdlmld]sefmXka>$oe`Pbhvp[devWkc=R?Prrvskq573ghTahcPcnlw+koejeoT|lmd_bj7+fniWkcRolq^`jp7:76::0boQbel]`kkr(f`hi`hQabi\gm2(kadTndz|_`ar[gos:5;5>k5ab^ofiZehf}%eeolce^rbgnYd`=%hdcQmiuq\efwXj`~9S==9;o`\i`kXkfd#cgmbmg\tdelWjb?#nfa_ckwwZgdyVhbx?Q?_sqwtjr5n2diS`kb_bmmp*hnjkfnS}olk^ak0*eofVhbx~Qncp]amq4X9:<0boQbel]`kkr(f`hi`hQabi\gm2(kadTndz|_`ar[gos:V;T~~zou13?kdXelgTob`{/okaficXxhi`Snf;/bjm[gos{Vkh}Rlft2>3:66heWdofSnaat.ljfgjbWykhgRmg4.akjZdn|zUjo|Qmiu1\560>3:8jgYjmdUhccz nh`ah`YwijaToe: cmi\efwXz585>:5ab^ofiZehf}%eeolce^rbgnYd`=%h`fQncp]q[54b3ghTahcPcnlw+koejeoT|lmd_bj7+fjlWhizSQ?_sqwtjr5?2diS`kb_bmmp*hnjkfnS}olk^ak0*ekcVkh}R|P13g8jgYjmdUhccz nh`ah`YwijaToe: cmi\efwXzV;T~~zou04?kdXelgTob`{/okaficXxhi`Snf;/bnh[devW{U9>h5ab^ofiZehf}%eeolce^rbgnYd`=%h`fQncp]q[7Yu{}zdx?64nc]nahYdgg~$bdlmld]sefmXka>$oaePabs\vlub:o1enRcjm^aljq)iakhgiR~ncj]`l1)ddbUjo|Q}irg\vvrwg}987clPmdo\gjhs'gcinakPp`ah[fn3'mkmRmzr^qweqcXNZGTJKj>3`9mfZkbeVidby!aic`oaZvfkbUhd9!kauc\gptX{}kiRH\M^DE`4Yu{}zdx>=4nc]nahYdgg~$bdlmld]sefmXka>$hlzn_bwq[vrf|lUM_@QIFe00e>heWdofSnaat.ljfgjbWykhgRmg4.fbpdYd}{Uxxlzj_GQN[C@c:Vxxx}a{2e9mfZkbeVidby!aic`oaZvfkbUhd9!aic`oaZeh}g~n~1>12e9mfZkbeVidby!aic`oaZvfkbUhd9!aic`oaZeh}g~n~1?12e9mfZkbeVidby!aic`oaZvfkbUhd9!aic`oaZeh}g~n~1<1569mfZkbeVidby!aic`oaZvfkbUhd9!aic`oaZeh}g~n~V46`m_lgn[fii|&dbnobj_qc`oZeo<&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>27;3?3ghTahcPcnlw+koejeoT|lmd_bj7+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?;>4:8jgYjmdUhccz nh`ah`YwijaToe: nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS48?5955ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?538202diS`kb_bmmp*hnjkfnS}olk^ak0*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X97?7;?kdXelgTob`{/okaficXxhi`Snf;/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;32864nc]nahYdgg~$bdlmld]sefmXka>$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW84?9=>1enRcjm^aljq)iakhgiR~ncj]`l1)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ31?7;?kdXelgTob`{/okaficXxhi`Snf;/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\58;2864nc]nahYdgg~$bdlmld]sefmXka>$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8779=11enRcjm^aljq)iakhgiR~ncj]`l1)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ323<6<>heWdofSnaat.ljfgjbWykhgRmg4.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]69?3;8;o`\i`kXkfd#cgmbmg\tdelWjb?#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP949=>1enRcjm^aljq)iakhgiR~ncj]`l1)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ33?74?kdXelgTob`{/okaficXxhi`Snf;/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5>59:5ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?1;303ghTahcPcnlw+koejeoT|lmd_bj7+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X181569mfZkbeVidby!aic`oaZvfkbUhd9!aic`oaZeh}g~n~V$bdlmld]`kphsm{U:>n5ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbzV89;6`m_lgn[fii|&dbnobj_qc`oZeo<&xouRcjm^cv|7cheWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco8439;;1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfd5;=2><4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxema2>7?11?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n?5=84:2diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgk4835?<5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`1?1339mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl=03:64?0<2:la[hcjWjeex"`fbcnf[ugdcVic8"|jstn\i`kXag~n~coc<31=74=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbh949;81enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfd595?<5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`1:1309mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl=7=74=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbh909;81enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfd5=5?<5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`161309mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl=;=75=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZ6482diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkW89:7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT===>;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbP1012?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\57563ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjX9:9:7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT=9=>;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbP1412?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\53563ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjX9>9:7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT=5=>;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbP1813?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\667?4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQ=2238jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]17668<6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgS8=?;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbP6228jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]475=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZ>482diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkW09>7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxv30?15?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~;9948:6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgSl{w<03=73=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrp5;92>84nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQnuy>27;513ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|r7=90<6:la[hcjWjeex"`fbcnf[ugdcVic8"|jstn\i`kXag~n~coc_`w{8439;?1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~t1?9>248jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]bq}:6?79=7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxv319<02>heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[ds4835?85ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`Rozx=3=73=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrp58;2>84nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQnuy>15;513ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|r7>?0<6:la[hcjWjeex"`fbcnf[ugdcVic8"|jstn\i`kXag~n~coc_`w{8759;<1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~t1<1349mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|959;<1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~t1:1349mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|939;<1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~t181349mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|919;<1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~t161349mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|9?9;=1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~tR><4:la[hcjWjeex"`fbcnf[ugdcVic8"|jstn\i`kXag~n~coc_`w{[4523ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|rT===:;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbPatz\54523ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|rT=?=:;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbPatz\56523ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|rT=9=:;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbPatz\50523ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|rT=;=:;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbPatz\52523ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|rT=5=:;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbPatz\5<533ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|rT>>;4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQnuy]1463;4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQnuy]1663:4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQnuy]071=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrpV>886`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgSl{w_417?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~X>:>0boQbel]`kkr(f`hi`hQabi\gm2(zly~`Rcjm^kmp`tiieUjyuQ8359mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|Z>4<2diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkWhsS4<8;o`\i`kXkfd#cgmbmg\tdelWjb?#~znru]`kphs:l1enRcjm^aljq)iakhgiR~ncj]`l1)t|hxSnaznu]qwqvh|=:0boQbel]`kkr(f`hi`hQabi\gm3(Eje~byQaic`oaZeh}g~n~Rv`r=2=54YNF_U;?l5ab^ofiZehf}%eeolce^rbgnYd`<%Fob{at^ljfgjbWje~byk}_ymq84869:k0boQbel]`kkr(f`hi`hQabi\gm3(Eje~byQaic`oaZeh}g~n~Rv`r=0=543d3ghTahcPcnlw+koejeoT|lmd_bj6+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3350dheWdofSnaat.ljfgjbWykhgRmg5.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>107`?kdXelgTob`{/okaficXxhi`Snf:/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95b9mfZkbeVidby!aic`oaZvfkbUhd8!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=9?:c:la[hcjWjeex"`fbcnf[ugdcVic9"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:9<;l;o`\i`kXkfd#cgmbmg\tdelWjb>#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];==8m4nc]nahYdgg~$bdlmld]sefmXka?$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\8=:9n5ab^ofiZehf}%eeolce^rbgnYd`<%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS91;>o6`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP776=j1enRcjm^aljq)iakhgiR~ncj]`l0)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW6772k2diS`kb_bmmp*hnjkfnS}olk^ak1*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV1743d3ghTahcPcnlw+koejeoT|lmd_bj6+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU0750dheWdofSnaat.ljfgjbWykhgRmg5.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ<14`8jgYjmdUhccz nh`ah`YwijaToe; Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X9?:b:la[hcjWjeex"`fbcnf[ugdcVic9"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^>=8l4nc]nahYdgg~$bdlmld]sefmXka?$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\?;>n6`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP=72j2diS`kb_bmmp*hnjkfnS}olk^ak1*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV:57b#_k|umv?5;423ghTahcPcnlw+koejeoT|lmd_bj6+Wct}e~7>3<=;o`\i`kXkfd#cgmbmg\tdelWjb>#R`?11012>heWdofSnaat.ljfgjbWykhgRmg5.]m44654;4:>;5ab^ofiZehf}%eeolce^rbgnYd`<%Tb=?=3^kmr711enRcjm^aljq)iakhgiR~ncj]`l0)Xf9;9;Rgav005?kdXelgTob`{/okaficXxhi`Snf:/^l3567Xag|9;6`m_lgn[fii|&dbnobj_qc`oZeo=&Ue<<=>_hlu56684nc]nahYdgg~$bdlmld]sefmXka?$oe`Pbhvp[devWkcPrrvskq4a3ghTahcPcnlw+koejeoT|lmd_bj6+fniWkcRolq^`jp5Y6;?1enRcjm^aljq)iakhgiR~ncj]`l0)d`gUiey}Pabs\flr7W8Uyy~`t228jgYjmdUhccz nh`ah`YwijaToe; cil\flrtWhizSog{1=2=75=ijVgnaRm`nu-mmgdkmVzjofQlh4-`lkYea}yTmnPbhv28485n2diS`kb_bmmp*hnjkfnS}olk^ak1*eofVhbx~Qncp]amq7X8:<0boQbel]`kkr(f`hi`hQabi\gm3(kadTndz|_`ar[gos9V:T~~zou0e?kdXelgTob`{/okaficXxhi`Snf:/bjm[gos{Vkh}Rlft0]273=ijVgnaRm`nu-mmgdkmVzjofQlh4-`lkYea}yTmnPbhv2[4Yu{}zdx>>4nc]nahYdgg~$bdlmld]sefmXka?$oe`Pbhvp[devWkc>1>1319mfZkbeVidby!aic`oaZvfkbUhd8!lho]amquXij{Tndz=<0<1b>heWdofSnaat.ljfgjbWykhgRmg5.akjZdn|zUjo|Qmiu0\460#nfa_ckwwZgdyVhbx?Q>379mfZkbeVidby!aic`oaZvfkbUhd8!lho]amquXij{Tndz=_0]qwqvh|::0boQbel]`kkr(f`hi`hQabi\gm3(kadTndz|_`ar[gos;5:5?=5ab^ofiZehf}%eeolce^rbgnYd`<%hdcQmiuq\efwXj`~80<0=f:la[hcjWjeex"`fbcnf[ugdcVic9"mgn^`jpvYfkxUiey=P0248jgYjmdUhccz nh`ah`YwijaToe; cil\flrtWhizSog{3^2\vvrwg}8m7clPmdo\gjhs'gcinakPp`ah[fn2'jbeSog{s^c`uZdn|:U:?;5ab^ofiZehf}%eeolce^rbgnYd`<%hdcQmiuq\efwXj`~8SheWdofSnaat.ljfgjbWykhgRmg5.aooZgdyVxT#nbd_`ar[wY6:l1enRcjm^aljq)iakhgiR~ncj]`l0)ddbUjo|Q}_0]qwqvh|;=0boQbel]`kkr(f`hi`hQabi\gm3(keaTmnPr^01a>heWdofSnaat.ljfgjbWykhgRmg5.aooZgdyVxT>R||tqmw6==ijVgnaRm`nu-mmgdkmVzjofQlh4-`hnYfkxUye~k=f:la[hcjWjeex"`fbcnf[ugdcVic9"mck^c`uZtn{lUyy~`t218jgYjmdUhccz nh`ah`YwijaToe; d`vb[fsuWz~jxhQISL]EBa74i2diS`kb_bmmp*hnjkfnS}olk^ak1*bf|hUhyQ|t`vf[CUJWOLo=R||tqmw76=ijVgnaRm`nu-mmgdkmVzjofQlh4-geqgXk|xTyo{e^DPIZ@Al;9j7clPmdo\gjhs'gcinakPp`ah[fn2'mkmRmzr^qweqcXNZGTJKj=_sqwtjr5l2diS`kb_bmmp*hnjkfnS}olk^ak1*hnjkfnSnaznugq8585l2diS`kb_bmmp*hnjkfnS}olk^ak1*hnjkfnSnaznugq8485l2diS`kb_bmmp*hnjkfnS}olk^ak1*hnjkfnSnaznugq8782?2diS`kb_bmmp*hnjkfnS}olk^ak1*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X94:8jgYjmdUhccz nh`ah`YwijaToe; nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS48:5955ab^ofiZehf}%eeolce^rbgnYd`<%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?548202diS`kb_bmmp*hnjkfnS}olk^ak1*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X92?7;?kdXelgTob`{/okaficXxhi`Snf:/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;82864nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8429=11enRcjm^aljq)iakhgiR~ncj]`l0)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ314<6<>heWdofSnaat.ljfgjbWykhgRmg5.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6::3;7;o`\i`kXkfd#cgmbmg\tdelWjb>#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9706<20boQbel]`kkr(f`hi`hQabi\gm3(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<0:=1==ijVgnaRm`nu-mmgdkmVzjofQlh4-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=40:7:la[hcjWjeex"`fbcnf[ugdcVic9"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:66<20boQbel]`kkr(f`hi`hQabi\gm3(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<32=1==ijVgnaRm`nu-mmgdkmVzjofQlh4-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7><0:8:la[hcjWjeex"`fbcnf[ugdcVic9"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:5:7?37clPmdo\gjhs'gcinakPp`ah[fn2'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=00:01SB[[<5<63>heWdofSnaat.ljfgjbWykhgRmg5.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6>2894nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8382?2diS`kb_bmmp*hnjkfnS}olk^ak1*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9458jgYjmdUhccz nh`ah`YwijaToe; nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS414>;6`m_lgn[fii|&dbnobj_qc`oZeo=&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>::7eheWdofSnaat.ljfgjbWykhgRmg5.ljfgjbWje~byk}_304?kdXelgTob`{/okaficXxhi`Snf:/sfz[hcjWhs>h5ab^ofiZehf}%eeolce^rbgnYd`<%yhtQbel]bq}Yu{}zdx>?4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxema2?>208jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam>24;553ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`Qfnugqjdj;9848>6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkg0<<1339mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl=30:64#k|um]nahYnf}oyblb318<05>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco8484:2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgk4;:5??5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`1<>>208jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam>16;553ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`Qfnugqjdj;::48=6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkg0?0<1:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc<2<05>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco818492diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgk4<48=6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkg0;0<1:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc<6<05>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco8=8492diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgk4048<6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgS==?;o`\i`kXkfd#cgmbmg\tdelWjb>#k|um]nahYnf}oyblbP1238jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]2467>?4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQ>3238jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]2067?4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQ>7238jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]2<67#k|um]nahYnf}oyblbP9278jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]bq}:76:<0boQbel]`kkr(f`hi`hQabi\gm3(zly~`Rcjm^kmp`tiieUjyu2>0?15?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~;9848:6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w<00=73=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZgrp5;82>84nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy>20;513ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjXi|r7=80<6:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{8409;?1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~t1?8>248jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]bq}:6079=7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxv318<01>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[ds4848:6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w<32=73=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZgrp58:2>84nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy>16;513ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjXi|r7>>0<5:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{8784=2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhs0>0<5:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{8184=2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhs080<5:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{8384=2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhs0:0<5:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{8=84=2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhs040<4:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{[5533ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjXi|rT=>;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy]2463;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy]2663;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy]2063;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy]2263;4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy]2<63:4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy]170=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZgrpV8;?85ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`Rozx^0270=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZgrpV89?85ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`Rozx^0071=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZgrpV9886`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w_517?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~X=:>0boQbel]`kkr(f`hi`hQabi\gm3(zly~`Rcjm^kmp`tiieUjyuQ9359mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^cv|Z14<2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhsS5=;;o`\i`kXkfd#cgmbmg\tdelWjb>#k|um]nahYnf}oyblbPatz\=7158i5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYdq5:58h5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYdq5;;28?4nc]nahYdgg~$~lcPcnlwvjj(EhnoSljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPRXkp6:<3Q}t5f8jgYjmdUhccz r`o\gjhszff$Aljk_`fgvZcuizoTmxvPiovX54[XOGNT>>Q`_`fg[6>XG\^Tot2>>428jgYjmdUhccz r`o\gjhszff$Aljk_`fgvZcuizoTmxvPiovX54[XOGNT>>Q`_`fg[6>XG\^Tot2>>^pw0a=ijVgnaRm`nu-qehYdgg~yca!Baef\eabuWlxjhQnuy]jjq]69TULBIQ=3^m\eabX;1UDYYQly=0=15=ijVgnaRm`nu-qehYdgg~yca!Baef\eabuWlxjhQnuy]jjq]69TULBIQ=3^m\eabX;1UDYYQly=0=[wr3l2diS`kb_bmmp*tfeVidby|`l.Ob`aYflmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT^az868282diS`kb_bmmp*tfeVidby|`l.Ob`aYflmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT^az868Xz}>o7clPmdo\gjhs'{kfSnaatsmo+HgclVkohQjr`qf[dsW`dW28>4nc]nahYdgg~$~lcPcnlwvjj(EhnoSljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPRXkp6>2R|{4e9mfZkbeVidby!}al]`kkruge%FmijPaefq[`tf{lUjyuQfnuY25XY@FMU9?RaPaef\7=YH]]Uhu181519mfZkbeVidby!}al]`kkruge%FmijPaefq[`tf{lUjyuQfnuY25XY@FMU9?RaPaef\7=YH]]Uhu181_sv7`>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRmv<6<64>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRmv<6<\vq2c3ghTahcPcnlw+wgjWjeexac/Lcg`Zgcl{Un~l}j_`w{[lhsS8;VSJ@K_31\kZgclV93SB[[_b{?<;373ghTahcPcnlw+wgjWjeexac/Lcg`Zgcl{Un~l}j_`w{[lhsS8;VSJ@K_31\kZgclV93SB[[_b{?<;Yu|=n0boQbel]`kkr(zhgTob`{rnn,IdbcWhno~Rk}arg\ep~Xag~P=6POTV\g|:>6<:0boQbel]`kkr(zhgTob`{rnn,IdbcWhno~Rk}arg\ep~Xag~P=6POTV\g|:>6Vx8h5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYk}}6;28:4nc]nahYdgg~$~lcPcnlwvjj(EhnoSljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPRXd|~7<3QFNW]30`=ijVgnaRm`nu-qehYdgg~yca!Baef\eabuWlxjhQnuy]jjq]69TULBIQ=3^m\eabX;1UDYYQwos>3:1`6POTV\|jt;984T~y:j;o`\i`kXkfd#ob_bmmpwik'DkohRokds]fvdubWhsSd`{[03^[BHCW;9TcRokd^1;[JSSWqey0<0;e:la[hcjWjeex"|nm^aljqthd&GjhiQndep\awgtmVk~tRgatZ32YZAILV88SbQnde]05g8jgYjmdUhccz r`o\gjhszff$Aljk_`fgvZcuizoTmxvPiovX54[XOGNT>>Q`_`fg[6>XG\^Ttb|34?6f?kdXelgTob`{/scn[fii|{eg#@okd^cg`wYbzhynSl{w_hlw_47ZWNDOS?=Po^cg`Z5?WF__Sua}<4<7a>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRv`r=4=0`=ijVgnaRm`nu-qehYdgg~yca!Baef\eabuWlxjhQnuy]jjq]69TULBIQ=3^m\eabX;1UDYYQwos>4:1cheWdofSnaat.pbiZehf}xd`"Cnde]qaiYwgzUhd15;8jgYjmdUhccz r`o\gjhszff$Aljk_sgo[uitWjb9Sd`{[9_\CKBX::UdSljk_73\KPRXkp6=29l4nc]nahYdgg~$~lcPcnlwvjj(EhnoSkc_qmp[fn5W`dW5SPGOF\66YhWhnoS;?POTV\g|:168;?56`m_lgn[fii|&xjaRm`nuplh*KflmUyiaQor]`l6Ynf}Q3QRIAD^00[jYflmU<>RAZT^az8383j2diS`kb_bmmp*tfeVidby|`l.Ob`aYumeU{c~Qlh2]jjq]?UVMEHR<<_n]b`aY0:VE^XRmv<7<251?>Q`_`fg[=5XG\^Tot29>5`8jgYjmdUhccz r`o\gjhszff$Aljk_sgo[uitWjb?Sd`{[9_\CKBX::UdSljk_91\KPRXkp6=2<0:la[hcjWjeex"|nm^aljqthd&Ghcx`{_`fgvZtcWhsSd`{100e?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov16c=ijVgnaRm`nu-qehYdgg~yca!BcnwmpZgcl{UyhRozx^kmp64a3ghTahcPcnlw+wgjWjeexac/LalqkrXimnySjPatz\mkr3:o1enRcjm^aljq)uidUhccz}om-Ngjsi|VkohQ}d^cv|Zoi|<8m7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~=>k5ab^ofiZehf}%ym`Qloovqki)JkfexRokds]q`ZgrpVcex:268jgYjmdUhccz r`o\gjhszff$Anaznu]b`atXzmUjyuQfnu]`}979;=1enRcjm^aljq)uidUhccz}om-Ngjsi|VkohQ}d^cv|Zoi|Vir0?0<4:la[hcjWjeex"|nm^aljqthd&Ghcx`{_`fgvZtcWhsSd`{_b{?7;533ghTahcPcnlw+wgjWjeexac/LalqkrXimnySjPatz\mkrXkp6?2>:4nc]nahYdgg~$~lcPcnlwvjj(Eje~byQndep\vaYf}qUbbyQly=7=71=ijVgnaRm`nu-qehYdgg~yca!BcnwmpZgcl{UyhRozx^kmpZe~4?4886`m_lgn[fii|&xjaRm`nuplh*Kdg|dSljkr^pg[dsW`dSnw37?17?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\g|:?6:>0boQbel]`kkr(zhgTob`{rnn,Ifirf}Ujhi|Pre]bq}Ynf}Uhu171349mfZkbeVidby!}al]`kkruge%Fob{at^cg`wYulVk~tRgat^nvp969;?1enRcjm^aljq)uidUhccz}om-Ngjsi|VkohQ}d^cv|Zoi|Vf~x1??>248jgYjmdUhccz r`o\gjhszff$Anaznu]b`atXzmUjyuQfnu]oqq:6979>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~T`xz31?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\hpr;:79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~T`xz33?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\hpr;<79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~T`xz35?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\hpr;>79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~T`xz37?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\hpr;079>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~T`xz39?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;879=7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|311<02>heWdofSnaat.pbiZehf}xd`"Clotlw[dbczVxoSl{w_hlw[}iu48;5?85ab^ofiZehf}%ym`Qloovqki)JkfexRokds]q`ZgrpVcexRv`r=3=70=ijVgnaRm`nu-qehYdgg~yca!BcnwmpZgcl{UyhRozx^kmpZ~hz585?85ab^ofiZehf}%ym`Qloovqki)JkfexRokds]q`ZgrpVcexRv`r=1=70=ijVgnaRm`nu-qehYdgg~yca!BcnwmpZgcl{UyhRozx^kmpZ~hz5>5?85ab^ofiZehf}%ym`Qloovqki)JkfexRokds]q`ZgrpVcexRv`r=7=70=ijVgnaRm`nu-qehYdgg~yca!BcnwmpZgcl{UyhRozx^kmpZ~hz5<5?85ab^ofiZehf}%ym`Qloovqki)JkfexRokds]q`ZgrpVcexRv`r=5=70=ijVgnaRm`nu-qehYdgg~yca!BcnwmpZgcl{UyhRozx^kmpZ~hz525?85ab^ofiZehf}%ym`Qloovqki)JkfexRokds]q`ZgrpVcexRv`r=;=60=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabu99;:>;5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}1132573?;4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr032573:=?;4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr07257395ab^ofiZehf}%ym`Qloovqki)Je|rTmij}9031`>heWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQN1131`>heWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQN1031g>heWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQN400`?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tRO:13a8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSL8>2b9mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rTM;<=d:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUJ:??=e:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUJ:??>2b9mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rTM:?=c:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUJ4<heWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQM33a8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSO=>2b9mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rTN><=b:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUI8?m4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsWK>:>n5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XJ=89n6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}YE=;i0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[G36:j1enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\F045j2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]A27eheWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQM73a8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSO9>2b9mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rTN:<=b:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUI4?m4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsWK2:>n5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XJ189n6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}YE1;i0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[G?6:j1enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\F<44>2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZG;87Ufmcj>379mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rT~~QN<0<\idhc9::0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[wuXI585?=5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUJ0>0<0:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUyRO34?13?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_@>6:6648<6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}Yu{VK743=?;o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVxxSL26>228jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}PB=2=75=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]A848492diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZe~4948>6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}Yu{Vir0<>1309mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rT~~Qly=3=74=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]`}949;81enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\vvYdq595?<5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUhu1:1309mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rT~~Qly=7=74=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]`}909;81enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\vvYdq5=5?<5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUhu161309mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rT~~Qly=;=77=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]oqq:76:80boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[wuXd|~7=3==;o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVxxSa{{<3<06>heWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQ}s^nvp959;;1enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\vvYk}}6?2><4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsW{yT`xz35?11?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_mww8384:2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZjr|5=5??5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUgyy27>208jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}Pxnp?4;543ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[}iu48:5??5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUsc2>>208jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}Pxnp?6;553ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[}iu4:48>6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}Yu{Vrd~1:1339mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rT~~Qwos>6:647997clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWqey0:0<2:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUyRv`r=:=77=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]{kw:>6<:0boQbel]`kkr(zhgTob`{rnn,IhsW{kfSnaats]`qwYt|h~nW8SPGOF\66YhW~coiRczx^341ZIR\8;:9=5ab^ofiZehf}%ym`Qloovqki)Je|rT~lcPcnlwvZerzVymykT5\]DJAY5;VeT{djj_lw{[412WF__>?TCXZ<1073?kdXelgTob`{/scn[fii|{eg#@czx^pbiZehf}xTox|Psucwa^3ZWNDOS?=Po^uj``Yj}qU:;8Q@UU625101enRcjm^aljq)uidUhccz}om-SSWY61\]fupgkW:2Tc1?>>360?kdXelgTob`{/scn[fii|{eg#]Y]_06\kZgcl{UyhRozx^kmp^76UVozylbP29]l8479<=1enRcjm^aljq)uidUhccz}om-SSWY63ghTahcPcnlw+wgjWjeexac/QUQ[42XgVidyczPsmuX7XYby|kgS<:8_n1g?kdXelgTob`{/scn[fii|{eg#]Y]_06\kZeh}g~TayT3\]fupgkW8>01a?kdXelgTob`{/scn[fii|{eg#]Y]_06\kZeh}g~TayT3\]fupgkW8?8SbQ?3b9mfZkbeVidby!}al]`kkruge%[[_Q>4^m\gjsi|Vyg{V=R_dsveiY6=:UdS=?=2:la[hcjWjeex"|nm^aljqthd&Ue<><8<02=67=ijVgnaRm`nu-qehYdgg~yca!Pn11139766;80boQbel]`kkr(zhgTob`{rnn,[k64:>6:>3<>;o`\i`kXkfd#ob_bmmpwik'Vd;??931?02?kdXelgTob`{/scn[fii|{eg#R`?335?6;463ghTahcPcnlw+wgjWjeexac/^l3771;;78:7clPmdo\gjhs'{kfSnaatsmo+Zh7;;=783<>;o`\i`kXkfd#ob_bmmpwik'Vd;??935?02?kdXelgTob`{/scn[fii|{eg#R`?335?2;463ghTahcPcnlw+wgjWjeexac/^l3771;?78:7clPmdo\gjhs'{kfSnaatsmo+Zh7;;=743<>;o`\i`kXkfd#ob_bmmpwik'Vd;??939?01?kdXelgTob`{/scn[fii|{eg#R`?324\mkp5;2diS`kb_bmmp*tfeVidby|`l.]m4651W`d}=?<4nc]nahYdgg~$~lcPcnlwvjj(Wg:89:Qfnw01?kdXelgTob`{/scn[fii|{eg#R`?377\mkp5:2diS`kb_bmmp*tfeVidby|`l.]m4614W`d}>?5ab^ofiZehf}%ym`Qloovqki)Xf993=Rgav308jgYjmdUhccz r`o\gjhszff$Sc><88]jjs7b3ghTahcPcnlw+wgjWjeexac/^l314?6m2diS`kb_bmmp*tfeVidby|`l.]m40149l1enRcjm^aljq)uidUhccz}om-\j50718o0boQbel]`kkr(zhgTob`{rnn,[k61?18o7clPmdo\gjhs'{kfSnaatsmo+dbczVoym~kPatz\mkr;878n7clPmdo\gjhs'{kfSnaatsmo+dbczVoym~kPatz\mkr;9949i6`m_lgn[fii|&xjaRm`nuplh*gcl{Un~l}j_`w{[lhs48;5>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|5;5>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|585>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|595>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|5>5>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|5?5>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|5<5>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|5=5>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|525>i5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|535885ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT=2=03=ijVgnaRm`nu-qehYdgg~yca!ndep\awgtmVk~tRgatZ32YZAILV88SbQnde]025;223ghTahcPcnlw+wgjWjeexac/`fgvZcuizoTmxvPiovX54[XOGNT>>Q`_`fg[6>XG\^7=3::;o`\i`kXkfd#ob_bmmpwik'hno~Rk}arg\ep~Xag~P=6POTV?6;223ghTahcPcnlw+wgjWjeexac/`fgvZcuizoTmxvPiovX54[XOGNT>>Q`_`fg[6>XG\^7?3::;o`\i`kXkfd#ob_bmmpwik'hno~Rk}arg\ep~Xag~P=6POTV?0;223ghTahcPcnlw+wgjWjeexac/`fgvZcuizoTmxvPiovX54[XOGNT>>Q`_`fg[6>XG\^793::;o`\i`kXkfd#ob_bmmpwik'hno~Rk}arg\ep~Xag~P=6POTV?2;223ghTahcPcnlw+wgjWjeexac/`fgvZcuizoTmxvPiovX54[XOGNT>>Q`_`fg[6>XG\^7;3::;o`\i`kXkfd#ob_bmmpwik'hno~Rk}arg\ep~Xag~P=6POTV?<;223ghTahcPcnlw+wgjWjeexac/`fgvZcuizoTmxvPiovX54[XOGNT>>Q`_`fg[6>XG\^753=4nc]nahYdgg~$~lcPcnlwvjj(imnySh|nsd]bq}Ynf}U;S}{pnv1g>heWdofSnaat.pbiZehf}xd`"okds]fvdubWhsSd`{_00g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTeczP1117?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTeczP11]qwqvh|;n0boQbel]`kkr(zhgTob`{rnn,eabuWlxjhQnuy]jjqY69:>0boQbel]`kkr(zhgTob`{rnn,eabuWlxjhQnuy]jjqY69Vxxx}a{329mfZkbeVidby!}al]`kkruge%jhi|PescpaZgrpVcexR?Prrvskq4d3ghTahcPcnlw+wgjWjeexac/`fgvZcuizoTmxvPiov\665:90boQbel]`kkr(zhgTob`{rnn,eabuWlxjhQnuy]jjqY1W{y|bz=c:la[hcjWjeex"|nm^aljqthd&kohQjr`qf[dsW`dS:=<;o`\i`kXkfd#ob_bmmpwik'hno~Rk}arg\ep~Xag~T;R||tqmw6f=ijVgnaRm`nu-qehYdgg~yca!ndep\awgtmVk~tRgat^:07>heWdofSnaat.pbiZehf}xd`"okds]fvdubWhsSd`{_9]qwqvh|;i0boQbel]`kkr(zhgTob`{rnn,eabuWlxjhQnuy]jjqY>;:1enRcjm^aljq)uidUhccz}om-b`atXm{kxiRozx^kmpZ?Xzz~{cy3c8jgYjmdUhccz r`o\gjhszff$mij}_sf\ep~Xag~7?35:7g45ab^ofiZehf}%ym`Qloovqki)flmxT~iQnuy]jjqY7;91enRcjm^aljq)uidUhccz}om-b`atXzmUjyuQfnu]3[wusxf~956`m_lgn[fii|&xjaRm`nuplh*gcl{UyhRozx^kmpZ75i2diS`kb_bmmp*tfeVidby|`l.cg`wYulVk~tRgat^3374=ijVgnaRm`nu-qehYdgg~yca!ndep\vaYf}qUbbyQ>0^pppuis:h1enRcjm^aljq)uidUhccz}om-b`atXzmUjyuQfnu]256745ab^ofiZehf}%ym`Qloovqki)flmxT~iQnuy]jjqY5;91enRcjm^aljq)uidUhccz}om-b`atXzmUjyuQfnu]1[wusxf~956`m_lgn[fii|&xjaRm`nuplh*gcl{UyhRozx^kmpZ5482diS`kb_bmmp*tfeVidby|`l.cg`wYulVk~tRgat^1\vvrwg}827clPmdo\gjhs'{kfSnaatsmo+dbczVxoSl{w_hlw[1573ghTahcPcnlw+wgjWjeexac/`fgvZtcWhsSd`{_5]qwqvh|;30boQbel]`kkr(zhgTob`{rnn,eabuW{nTmxvPiov\166heWdofSnaat.pbiZehf}xd`"okds]q`ZgrpVcexR9Prrvskq4>3ghTahcPcnlw+wgjWjeexac/`fgvZtcWhsSd`{_913?kdXelgTob`{/scn[fii|{eg#ljkr^pg[dsW`dS5Q}surlp7?2diS`kb_bmmp*tfeVidby|`l.cg`wYpzVk~t1?1279mfZkbeVidby!}al]`kkruge%jhi|Pws]bq}:56;<0boQbel]`kkr(zhgTob`{rnn,eabuW~xTmxv33?05?kdXelgTob`{/scn[fii|{eg#ljkr^uq[ds4=49:6`m_lgn[fii|&xjaRm`nuplh*gcl{U|~Rozx=7=63=ijVgnaRm`nu-qehYdgg~yca!ndep\swYf}q6=2?84nc]nahYdgg~$~lcPcnlwvjj(imnySz|Patz?3;413ghTahcPcnlw+wgjWjeexac/`fgvZquWhs050=6:la[hcjWjeex"|nm^aljqthd&kohQxr^cv|9?9;;1enRcjm^aljq)uidUhccz}om-`lZehf}UhyQ|t`vf[CUJWOLo=>;4nc]nahYdgg~$~lcPcnlwvjj(kaUhcczPctp\wqgsmVLXARHId0/Jj606`m_lgn[fii|&xjaRm`nuplh*eoWjeexRmzr^qweqcXNZGTJKj<349mfZkbeVidby!}al]`kkruge%hdRm`nu]`qwYt|h~nSK]B_GDg7(Oi;?1enRcjm^aljq)uidUhccz}om-`lZehf}UhyQ|t`vf[CUJWOLo? Ga12;8jgYjmdUhccz r`o\gjhszff$oeQloov\gptX{}kiRH\M^DE`6Yu{}zdx><4nc]nahYdgg~$~lcPcnlwvjj(kaUhcczPctp\wqgsmVLXARHId515?kdXelgTob`{/scn[fii|{eg#nfPcnlw[fsuWz~jxhQISL]EBa2*Ag;8:6`m_lgn[fii|&xjaRm`nuplh*eoWjeexRmzr^qweqcXNZGTJKj;-Hl17<=ijVgnaRm`nu-qehYdgg~yca!lh^aljqYd}{Uxxlzj_GQN[C@c1:72>5ab^ofiZehf}%ym`Qloovqki)dg|dS~bx_10b?kdXelgTob`{/scn[fii|{eg#naznu]phrY7W{y|bz=3:la[hcjWjeex"|nm^aljqthd&idyczPsmu\57g?44>;:ZUP98n0boQbel]`kkr(zhgTob`{rnn,j562?;:0boQbel]`kkr(zhgTob`{rnn,j562?525>95ab^ofiZehf}%ym`Qloovqki)i89?<050PSV210>heWdofSnaat.pbiZehf}xd`"`?045?<;YT_8;o7clPmdo\gjhs'{kfSnaatsmo+k67>08;7clPmdo\gjhs'{kfSnaatsmo+k67>0632?:4nc]nahYdgg~$~lcPcnlwvjj(f9:=5161_RU361=ijVgnaRm`nu-qehYdgg~yca!a014:8=8X[^;:h6`m_lgn[fii|&xjaRm`nuplh*h781;9<6`m_lgn[fii|&xjaRm`nuplh*h781;743<;;o`\i`kXkfd#ob_bmmpwik'g:;4<27>^QT4729WZ]:=i5ab^ofiZehf}%ym`Qloovqki)i8938>=5ab^ofiZehf}%ym`Qloovqki)i8938050=4:la[hcjWjeex"|nm^aljqthd&d;<4=38?]PS5433ghTahcPcnlw+wgjWjeexac/o23=6:?6VY\=9l4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oP9PQHNE]17ZiX`nnS`{w_056[JSS494?n6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmR?VSJ@K_31\kZqnllUfyuQ>74]LQQ:66=h0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqc\=TULBIQ=3^m\slbbWdsS<9:_NWW8783j2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|Psucwa^3ZWNDOS?=Po^uj``Yj}qU:;8Q@UU>0:62heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al8;T~~zou16?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c9;9h7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk13]qwqvh|:?0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj>3278jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb6<:20boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj>4,Km7<=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm;?!D`>3b9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa73W{y|bz<5:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`434=2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh<8<8:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`40*Ag927clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk17/Jj45d3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo=;Q}surlp6374nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf23(Oi9:k0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj>7,Km545d3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo=:Q}surlp63;4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf2=6>%@d:?n5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUM_@QIFe3:[wusxf~8n6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId0]qwqvh|:>0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj=369mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa4*Ag9i7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk2,Km[VQ7;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi-Hl0=>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al;;&Ec??Q}surlp63;4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf106>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al;?T~~zou16?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c:?9>7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk261;?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c:>'Bb>74nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf13(Oi9:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj=7^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi<73b9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa4?W{y|bz<5:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`7?4k2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh?7Prrvskq5e3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo>R||tqmw71=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm98;6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId2/Jj6>349mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa57;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi=>399mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa56%@d856`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId23.Mk74k2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh>?Prrvskq523ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo??=l;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg77Yu{}zdx>;4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf076>:"Io30g>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al:>T~~zou16?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;<937clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk34/Jj6?heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al:<&Ec?m4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf03Ztt|ye?85ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUM_@QIFe1;7==ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm93!D`<9:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`6>*Ag;856`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId2:.Mk4412diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh>6"Io10g>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al:2T~~zou16?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;09i7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk3^pppuis;=1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi:<5:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`16402diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh9>"Io1:?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c<9'Bb<=l;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg05Yu{}zdx>;4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf756>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al=Uyy~`t268jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb2;=1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi8<7:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`3+Nf:20boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj9-Hl27g=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1??>01;?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3771;994:=>64nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><26>24;75;11enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1??>010<>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m464048:5=9=8;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?335?5585;>1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1?>>014?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3771;9;4:?;5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb===7=3=560692<=9;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?335?7;74>2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8:8<090>379mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQPn11139399:<0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:8>:29>015?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3771;?7;8:6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<><8<9<273=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j555?535=>94nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><56]jjs7402diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8:?;Rgav3]PS75c3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7;<=Tecx=_RU1[C5c3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7;<=Tecx=_RU1[B503ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7;??Tecx>399mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQPn1151Zoi~8;8;6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<>8:_hlu66dheWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m4602W`d}>R]X12`8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2020Ynf8T_Z<RHRI<7:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRQa0250[lhq9:20boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:8;>Qfnw3272=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j550;Vcez?=m;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?361\mkp5WZ];?o5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb==83^kmr7YT_89i7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;?:=Piot1[VQ5;m1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf991enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf993=Rgav01;?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l37=7Xag|:=>94nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><80]jjs44j2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8:2:Sd`y2^QT46dheWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m46>6W`d}>R]X22f8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o20<4Ynf8T_Z66_hlu54503ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7;13Tecx=3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQPn11;=Zoi~;UX[==m;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?39;\mkp5WZ]:?o5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb==79^kmr7YT_;9o7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;?57Piot1[VQ5WO9o7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;?57Piot1[VQ5WN9=7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;8;930?301>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m41004;4856`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<988<3<\WR64>2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8=<<0>0>379mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQPn16539299:<0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:?::2:>015?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3031;>7;8:6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<988<6<270=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j521?525?;5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb=:97=:=560>632?=9;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?475?<;54:2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8<;2?;5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb=;>9^QT460=4Q\W0]D73=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j5361VY\>>84nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc>:18]PS6553ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7=>98?6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<89<1218jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o263644;2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8<=8?>=4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc>908307>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m431?9:=0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXglUym`Qmas354>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^pfhZvh{Vic=RgatZ:^[wckWyexSnf>_hlw_=[Xe|rT9?Q@UU>1:4033ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf>_hlw_=[XzlfT|b}Pci3\mkr\0TUfyuQ:2^MVP9499VY\<;?4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oT~hbPpnq\gm7Xag~P4PQ}em]skvYd`8UbbyU7]^ov|Z35WF__0>0>1708jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPrdn\tjuXka;TeczT8\]qaiYwgzUhd_hlw_=[XzlfT|b}Pci3\mkr\0TUfyuQ:2^MVP9299?>0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXzlfT|b}Pci3\mkr\0TUyiaQor]`l4Ynf}Q3QRczx^71[JSS4=4:S^Y=619mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`8UbbyU7]^pfhZvh{Vic=RgatZ:^[hsW<8TCXZ35?350>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^pfhZvh{Vic=RgatZ:^[wckWyexSnf>_hlw_=[Xe|rT9?Q@UU>6:4YT_;?m7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbW{ogS}a|_bj2[lhsS1WT~hbPpnq\gm7Xag~P4PQbuy]66ZIR\5<5:>5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l4Ynf}Q3QR|jl^rlwZeo9VcexV6R_lw{[04XG\^7:3Q\W143?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_sgo[uitWjb:Sd`{[9_\v`jXxfyToe?PiovX>RAZT=:=536=1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh3]jjq]?UVxn`R~`s^ak6Zoi|R2VS`{w_71\KPR;:7;T_Z>91:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiR|jl^rlwZeo:VcexV6R_sgo[uitWjb9Sd`{[9_\ip~X>:UDYY2<>0356>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^pfhZvh{Vic>RgatZ:^[wckWyexSnf=_hlw_=[Xe|rT:>Q@UU>0:476>91enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh3]jjq]?UVxn`R~`s^ak6Zoi|R2VS`{w_71\KPR;<7;=86`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak6Zoi|R2VSkc_qmp[fn5W`dW5SPmtz\26YH]]6?2ZWdsS;=POTV?<;7182diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg3^kmp^>ZW{ogS}a|_bj0[lhsS1WTaxvP75]LQQ:568QfnuY;YZkrpV=?SB[[<2<25345=;:4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oT~hbPpnq\gm5Xag~P4PQ}em]skvYd`:UbbyU7]^ov|Z13WF__090>_RU125=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]qaiYwgzUhd>QfnuY;YZtbdVzdRmg3^kmp^>ZWdsS::POTV?1;71<2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg3^kmp^>ZW{ogS}a|_bj0[lhsS1WTaxvP75]LQQ:268UX[?;i;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSkc_qmp[fn4W`dW5SPrdn\tjuXka9TeczT8\]nq}Y0>TCXZ36?]PS5073ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf<_hlw_=[XzlfT|b}Pci1\mkr\0TUfyuQ84^MVP9>99?:0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXzlfT|b}Pci6\mkr\0TUyiaQor]`l1Ynf}Q3QRczx^:6[JSS4;4::95ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l1Ynf}Q3QR|jl^rlwZeo3?PSV255>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^pfhZvh{Vic8RgatZ:^[wckWyexSnf;_hlw_=[Xe|rT48Q@UU>0:471:2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg4^kmp^>ZW{ogS}a|_bj7[lhsS1WTaxvP84]LQQ:468;::=5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l1Ynf}Q3QR|jl^rlwZeo0]PS7073ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf;_hlw_=[XzlfT|b}Pci6\mkr\0TUfyuQ75^MVP9399?>0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXzlfT|b}Pci6\mkr\0TUyiaQor]`l1Ynf}Q3QRczx^:6[JSS4<4:S^Y=5g9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`=UbbyU7]^pfhZvh{Vic8RgatZ:^[hsW1?TCXZ36?40?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_sgo[uitWjb?Sd`{[9_\v`jXxfyToe:PiovX91enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh5]jjq]?UVxn`R~`s^ak0Zoi|R2VS`{w_97\KPR;07;=<6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak1Zoi|R2VSkc_qmp[fn2W`dW5SPmtz\=3YH]]692<8;;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSkc_qmp[fn2W`dW5SPrdn\tjuXka?TeczT8\]nq}Y>>VE^X1<11^QT437>VE^X1:11768jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPrdn\tjuXka?TeczT8\]qaiYwgzUhd8QfnuY;YZkrpV3=SB[[<5<2[VQ5>91enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh4]jjq]?UVxn`R~`s^ak1Zoi|R2VS`{w_84\KPR;=7;=86`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak1Zoi|R2VSkc_qmp[fn2W`dW5SPmtz\=3YH]]6>2Sd`{[9_\v`jXxfyToe;PiovXZWdsS48POTV?2;YT_9<;7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbW{ogS}a|_bj6[lhsS1WT~hbPpnq\gm3Xag~P4PQbuy]:2ZIR\525=?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkr;878j7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw8485i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat=0=6d=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`8Ubby2<>3c8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm7Xag~7834:7g7>i7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw_=[XzlfT|b}Pci3\mkr\0TUfyuQ:2^MVP9>9:01enRcjm^aljq)uidUhccz}om-qaiYwgzUhdR||tqmw6<=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`8UbbyQ<319mfZkbeVidby!}al]`kkruge%yiaQor]`l4Ynf}U8S}{pnv05>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo9VcexR=Prrvskq7512diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat^604>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo9VcexR:Prrvskq4>3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb:Sd`{_413?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn6W`dS8Q}surlp7?heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo9VcexR9Prrvskq7512diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat^:04>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo9VcexR6Prrvskq4f3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb9Sd`{<1<1e>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:Vcex1?12`9mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}692?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkr;;78j7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw8185i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic>Rgat=7=6d=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`;Ubby29>3c8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm4Xag~7;3ZW{ogS}a|_bj1[lhsS1WTaxvP62]LQQ:56=h0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToeRgatZ:^[wckWyexSnf=_hlw_=[Xe|rT:>Q@UU>6:1dheWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:VcexV6R_sgo[uitWjb9Sd`{[9_\ip~X>:UDYY27>3;8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm4Xag~T<>>4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkrX8Vxxx}a{309mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}U;S}{pnv271=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`;UbbyQ?_sqwtjrX[^8956`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg2^kmpZ7482diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic>Rgat^3\vvrwg}9:7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw[4Yu{}zdx<<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj1[lhsW;9;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw[7Yu{}zdx?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkrX;::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe289mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}U??=5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh3]jjqY3W{y|bz=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak6Zoi|V?8<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg2^kmpZ3Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj1[lhsW?9;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw[3Yu{}zdx?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkrX?::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe289mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}U3?=5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh3]jjqY?W{y|bz=a:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak7Zoi|5:5>l5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh2]jjq:66;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov?6;4f3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{<2<1e>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;Vcex1:12`9mfZkbeVidby!}al]`kkruge%yiaQor]`l6Ynf}6>2?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkr;>78j7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf<_hlw8285i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic?Rgat=:=0g=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`:UbbyU7]^pfhZvh{Vic?RgatZ:^[hsW>>TCXZ32?6a?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn4W`dW5SPrdn\tjuXka9TeczT8\]nq}Y0:4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkrX8Vxxx}a{_RU16<=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`:UbbyQ>319mfZkbeVidby!}al]`kkruge%yiaQor]`l6Ynf}U:S}{pnv05>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;VcexR?Prrvskq7512diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic?Rgat^004>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;VcexR3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{_213?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn4W`dS>Q}surlp67Qfnu]675=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`:UbbyQ:_sqwtjr512diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic?Rgat^404>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;VcexR8Prrvskq4>3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{_613?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn4W`dS:Q}surlp673:7gTecz31?0b?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`d0?0=a:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|595>l5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh5]jjq:36;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe:Piov?1;4f3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb?Sd`{<7<1e>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeoZW{ogS}a|_bj7[lhsS1WTaxvP84]LQQ:36=h0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe:PiovX5:1dTeczT8\]qaiYwgzUhd9QfnuY;YZkrpV2>SB[[<9<1=>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo<0:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|V:T~~zou12?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`dS=Q}surlp4533ghTahcPcnlw+wgjWjeexac/sgo[uitWjb?Sd`{_1]qwqvh|VY\>?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci6\mkrX9::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe:Piov\5Ztt|ye?<5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh5]jjqY6W{y|bz>289mfZkbeVidby!}al]`kkruge%yiaQor]`l1Ynf}U9?=5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh5]jjqY5W{y|bz=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|V98<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg4^kmpZ5Xzz~{cy=>;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj7[lhsW:Uyy~`t00:?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`dS9=?;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj7[lhsW=Uyy~`t3;8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm2Xag~T9>>4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci6\mkrX=Vxxx}a{289mfZkbeVidby!}al]`kkruge%yiaQor]`l1Ynf}U=?=5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh5]jjqY1W{y|bz=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|V=8<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg4^kmpZ1Xzz~{cy=>;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj7[lhsW>Uyy~`t00:?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`dS5=?;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj7[lhsW1Uyy~`t3c8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm3Xag~7<30:7gl5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh4]jjq:06;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe;Piov?<;2e3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb>Sd`{[9_\v`jXxfyToe;PiovX>VE^X1;14c9mfZkbeVidby!}al]`kkruge%yiaQor]`l0Ynf}Q3QR|jl^rlwZeo=VcexV6R_lw{[<0XG\^7:3:m;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj6[lhsS1WT~hbPpnq\gm3Xag~P4PQbuy]:2ZIR\525>45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh4]jjqY7;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd8Qfnu]3[wusxf~8=6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg5^kmpZ6Xzz~{cy?<4:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak1Zoi|V:T~~zou]PS74>3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb>Sd`{_013?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn2W`dSSd`{_4]qwqvh|;30boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe;Piov\266482diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic9Rgat^:\vvrwg}20bjjmuhngf>hnjkfnS}olk39mkd=hmVxjaRlnrg9laZtfeVhj~RAMUG18tuu?3yzxSDLZF99stvYu49437}~|_s>2:2=wxzUyS=94pqq\vZ703yzxS}{129qehYdgg~ySn{}_rvbp`0>0;8v`ub|Vmnb#m`nu]145KOr5;92<74rdqfpZabf'idbyQ=01OK~9746830~h}jt^efj+ehf}U9<=CGz=37:4?0:8v`ub|Vmnb#m`nu]145KOr5;5=55}ergw[bci&jeexRtb{l~Tkh`!cnlw[767EAp7;3?7;sgpaqY`mg$hcczP212NL:?6820~h}jt^efj+ehf}U9<=CGz=;=52=umzoSjka.bmmpZ478DBqS=?8;sgpaqY`mg$hcczP212NLY6911yi~k{_fgm*fii|V8;<@Fu_022<>tb{l~Tkh`!cnlw[767EApT=8:pfw`rXold%ob`{_323IM|X9<;<7k|eu]dak(dgg~T>=>BH{]152=umzoSjka.bmmpZ478DBqS>?8;sgpaqY`mg$hcczP212NLY39>1yi~k{_fgm*fii|V8;<@Fu_434?wctm}Ulic loov\656J@sU==:5}ergw[bci&jeexRk>56:&1a<<5m;1v_n752g39670=9:9j<=l52`4a7>U6>10o:k4>:010e56e2;k=n:5\c88g2c<62898m=>m:3c5fd=c;hn1<7?51zQ`f?4a9389:7?<3`23f?4f>hi0(l?5d`38L4623^:=>4?:082>3gcsZii6?h>:305>454i9:i6?o9ab9'6`6=9?h0Z?kk:3yv52d=92:mn4?;|&137<102.:=;4?;%320?bfj2.:=84>619a7db=83>j6;m9:7cgM4cl2.9hl41984:6;74>0>=;m08o7s+2`a905=#:hh1>k<4i2`0>5<#:>31?o<4n35;>5=5<#:>31?o<4n35;>7=54i2``>5<#:>31?ol4n35;>5=5<#:>31?ol4n35;>7=54i2a6>5<#:>31?n:4n35;>5=5<#:>31?n:4n35;>7=54i2af>5<#:>31?nj4n35;>5=5<#:>31?nj4n35;>7=54i2f4>5<#:>31?i84n35;>5=6=4+26;97a05<#:>31?i84n35;>7=54i450>5<5<5<5<5<21<65`39f94?"5?0084h5a26:95>=h;1i1<7*=7880<`=i:>21>65`39`94?"5?0084h5a26:97>=h;1k1<7*=7880<`=i:>21865`39;94?"5?0084h5a26:91>=h;121<7*=7880<`=i:>21:65`39594?"5?0084h5a26:93>=h;1<1<7*=7880<`=i:>21465`38:94?"5?0084h5a26:9=>=h;0=1<7*=7880<`=i:>21m65`38494?"5?0084h5a26:9f>=h;0?1<7*=7880<`=i:>21o65`38694?"5?0084h5a26:9`>=h;091<7*=7880<`=i:>21i65`38094?"5?0084h5a26:9b>=h;0;1<7*=7880<`=i:>21==54o2;3>5<#:>31?5k4n35;>47<3f9397>5$35:>6>b3g8<47?=;:)f5?6=,;=26h>4n35;>5=<#ml1<7*=788f4>h5?10:76%ke;29 71>2l:0b?97:398/ab=83.9;44j0:l13=<432!oo7>5$35:>`6-bi3:1(?96:d28j71?2?10'h750;&13<4n35;>==<#l=1<7*=788f4>h5?10276%j6;29 71>2l:0b?97:`98/`3=83.9;44j0:l13=5$35:>`6-b:3:1(?96:d28j71?2l10'io50;&13<7Ehm520g8?xd5m10;6<4?:1y'6ag=:mo0D?k:;I0g`>"5mj09=n5+1759`dg6=4+2da964c<3tho;l4?:983>5}#:mk1=?>4H3g6?M4cl2.:9i4=;%0fg?4fi2B94o5+1759`dg0290/>hm520g8?lgf290/>hm5a`98m7g?290/>hm52`:8L7bd32c94i4?:%0fg?4?l2B9hn54i3c1>5<#:li1>o64;|`g3=<7210;6=u+2ec9576<@;o>7E4}4n?0vel=50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07don:18'6`e=ih10e?o7:18'6`e=:h20D?jl;:k1<3tho;44?:983>5}#:mk1=?>4H3g6?M4cl2.:9i4=;%0fg?4fi2B94o5+1759`dg0290/>hm520g8?lgf290/>hm5a`98m7g?290/>hm52`:8L7bd32c94i4?:%0fg?4?l2B9hn54i3c1>5<#:li1>o64;|`g7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qoj7e;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zjm3>6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07plk9683>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7290=6=4?{%0ge?76n2B9i85G2ef8 43c2;1/>hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smd8c94?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3tho5o4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98ygb>k3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rbe:e>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wih4>50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xdc180;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}cf:6?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vni7<:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{el0>1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`76=<7210;6=u+2ec9577<@;o>7E4}4n?0vel=50;&1af5$3g`>43732c9444?:%0fg?4e>21d>5950;&1af<59l10e?o7:18'6`e=:h20D?jl;:k1<3th?>44?:983>5}#:mk1=??4H3g6?M4cl2.:9i4=;%0fg?4fi2B94o5+1759`dghm52`:8L7bd32c94i4?:%0fg?4?l2B9hn54i3c1>5<#:li1>o64;|`76d<7210;6=u+2ec9577<@;o>7E4}4n?0vel=50;&1af5$3g`>43732c9444?:%0fg?4e>21d>5950;&1af<59l10e?o7:18'6`e=:h20D?jl;:k1<3th:;n4?:583>5}#:mk1=:74H3g6?M4cl2.9in4>799'531=lhk0elm50;&1af<6=h10e<>=:18'6`e=9<307d!4bk38:i65rb0;a>5<4290;w)N5m<1C>ij4$3g`>7c43-;=;7jna:kbg?6=,;oh6<;?;:k223<72-8no7?:0:9l641=83.9in4=1d98yg7??3:187>50z&1`d<6?01C>h;4H3fg?!4bk3;<46*>668ged=nij0;6)=n9981<7*=eb821<=5$3g`>77b32wi=l=50;194?6|,;nj6?k;;I0f1>N5lm1/>hm52d18 4002mkj7dol:18'6`e=9<:07d?96;29 7cd28?;76a=1683>!4bk38:i65rb0::>5<3290;w)N5m<1C>ij4$3g`>41?3-;=;7jna:kbg?6=,;oh6<;n;:k247<72-8no7?:9:9j6d4=83.9in4=a798k770290/>hm520g8?xd6i=0;6>4?:1y'6ag=:l>0D?k:;I0g`>"5mj09i>5+1759`dg5$3g`>77b32wi=5l50;694?6|,;nj6<96;I0f1>N5lm1/>hm516:8 4002mkj7dol:18'6`e=9!4bk38j:65`20594?"5mj09=h54}c3b1?6=;3:15198k770290/>hm520g8?xd60m0;694?:1y'6ag=9>30D?k:;I0g`>"5mj0:;55+1759`dg7>5$3g`>7g132e9=:4?:%0fg?46m21vn5<7s-8om76783>!4bk3;><65`20594?"5mj09=h54}c3;b?6=<3:15898m7g5290/>hm52`48?j46?3:1(?kl:33f?>{e9h=1<7=50;2x 7bf2;o?7Eh=4$044>agf3`kh6=4+2da9506<3`;=:7>5$3g`>43732e9=:4?:%0fg?46m21vn<7>:187>5<7s-8om7?89:J1a0=O:mn0(?kl:05;?!71?3njm6gnc;29 7cd28?j76g>0383>!4bk3;>565f2`094?"5mj09m;54o334>5<#:li1>7E5198m401290/>hm51428?j46?3:1(?kl:33f?>{e9091<7:50;2x 7bf28=27Eagf3`kh6=4+2da950g<3`;;>7>5$3g`>43>32c9m?4?:%0fg?4f>21d><950;&1af<59l10qo?n9;297?6=8r.9hl4=e59K6`3<@;no7)"6>>0oml5fab83>!4bk3;><65f17494?"5mj0:9=54o334>5<#:li1>7E5`98m465290/>hm514;8?l4f:3:1(?kl:3c5?>i59>0;6)=zj8kj6=4<:183!4ci38n86F=e49K6ab<,;oh6?k<;%353?bfi2cjo7>5$3g`>43732c::;4?:%0fg?72821d><950;&1af<59l10qo?67;290?6=8r.9hl4>789K6`3<@;no7)"6>>0oml5fab83>!4bk3;>m65f11094?"5mj0:9454i3c1>5<#:li1>l84;n023?6=,;oh6??j;:a5dd=8391<7>t$3fb>7c33A8n96F=de9'6`e=:l90(<88:ecb?lgd290/>hm51428?l71>3:1(?kl:073?>i59>0;6)=zj8=o6=4;:183!4ci3;<56F=e49K6ab<,;oh6<97;%353?bfi2cjo7>5$3g`>43f32c:l<50;&1af<5i?10c??8:18'6`e=:8o07pl>9b83>6<729q/>io52d68L7c23A8oh6*=eb81a6=#9?=1hlo4i`a94?"5mj0:9=54i045>5<#:li1=8>4;n023?6=,;oh6??j;:a52`=83>1<7>t$3fb>41>3A8n96F=de9'6`e=9>20(<88:ecb?lgd290/>hm514c8?l77:3:1(?kl:07:?>o5i;0;6)=h:8=1<7*=eb815`=53;294~"5lh09i95G2d78L7bc3-8no78083>1<729q/>io516;8L7c23A8oh6*=eb823==#9?=1hlo4i`a94?"5mj0:9l54i021>5<#:li1=874;h0b6?6=,;oh6?o9;:m152<72-8no7<>e:9~f4?b29086=4?{%0ge?4b<2B9i85G2ef8 7cd2;o87)?97;fbe>ofk3:1(?kl:073?>o6>?0;6)=h:8=1<7*=eb815`=54;294~"5lh0:;45G2d78L7bc3-8no7?88:&2227;29 7cd2;;n76sm18d94?5=83:p(?jn:3g7?M4b=2B9hi5+2da96`5<,8<<6ion;hc`>5<#:li1=8>4;h352?6=,;oh6<;?;:m152<72-8no7<>e:9~f4>2290?6=4?{%0ge?7012B9i85G2ef8 7cd28=37)?97;fbe>ofk3:1(?kl:07b?>o68;0;6)=n:h81<7*=eb81e3=5}#:mk1>h:4H3g6?M4cl2.9in4=e29'531=lhk0elm50;&1af<6=910e<89:18'6`e=9<:07b<>7;29 7cd2;;n76sm1`394?5=83:p(?jn:3g7?M4b=2B9hi5+2da96`5<,8<<6ion;hc`>5<#:li1=8>4;h352?6=,;oh6<;?;:m152<72-8no7<>e:9~f42c29096=4?{%0ge?73k2B9i85G2ef8 77c2m=:7)o8:027?!73n38o>6*=eb8b`>"6><0:9=5G1718 4002mkj7)?;e;08m424290/>hm51428?j46?3:1(?kl:33f?>{e;lk1<7650;2x 7bf2;;j7E">038j56*>668ged=nl>0;66gk8;29?lg3290C>:o4Z3f5>4}4n:0v7do9:18K62gk4?:1y'6ag=nk1C>h;4H3fg?_g528q6`l1;38jf4=<2.h87?>d:&`1?5e3-i=6??9;%a4>6`<,ol1>no4$022>40d3-;;?7?9c:&242<59?1/==652e08 47d2:>0b?98:19'6g?=98n0(?ji:03g?!72m38o>6F=cb9'6`e=nk1/554=a89'531=lhk0co=50;9j62c=83B9;l5a2bf95>=n:k;1<7F=b398m7e?290C>o<4;n`1>5<50;J1f7=>o5k?0;6E65f2`d94?N5j;10ei:50;J1f7=c290e>nj51:9l6d5=83B9n?54i3:2>5M40i2P9h;4>{2g5>x=njl0;6E<8a:9j`7<72A8i>65`2c294?N5j;10e?ok:18K6g4<@;=j76g=8183>k4dl3;07dj::18K6g4<3`;8o7>5H3`1?>o5k<0;6E5;h0ba?6=@;h97E<8a:9j`6<72A8i>65`c`83>M4e:21b=>j50;J1f7=n=50;&13<<5k;1e>:650:&151<5jh1e><=57:9l6f7=83.9;44=c39m62>=92.9=94=b`9m645=>21d>n>50;&13<<5k;1e>:652:&151<5jh1e><=55:9l6g`=83.9;44=c39m62>=;2.9=94=b`9m645=<21d>ok50;&13<<5k;1e>:654:&151<5jh1e><=53:9l6gb=83.9;44=c39m62>==2.9=94=b`9m645=:21d>om50;&13<<5k;1e>:656:&151<5jh1e><=51:9l6gd=83.9;44=c39m62>=?2.9=94=b`9m645=821vn>k7:181b?6=8r.9hl4ib:J1a0=O:mn0Vl<51z5b>x"59m0o;<5ibe81?ke62o1eo?4;;%a7>47c3-i>6>l4$b49640<,j=1?k5+fg81gd=#99;1=;m4$020>40d3-;;;7<>6:&24=<5l;1/=1e9'6a`=98n0(<;j:3f1?M4dk2.9in4ib:&:h5km0:76g=b083>M4e:21b>n650;J1f7=65`b183>>ie93:17d5H3`1?>o5io0;6E65fd083>M4e:21b>5j50;l1ga<632e9m>4?:I0a6>=n:1;1<7`=ce82?>od;3:1D?9n;[0g2?7|;l<1q6gme;29L71f32co>7>5H3`1?>i5j90;6E55;na3>5<5H3`1?>idi3:1D?l=;:k27a<72A8i>65f2b694?N5j;10com50;9l62`=831dn94?::m22d<722c:<;4?::m1g6<72-8<57;%020?4ei2d9=>49;:m1g5<72-8<574;;:m1f`<72-8<574=;:m1ff<72-8<574?;:a046=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi8=h50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a04>=8321<7>t$3fb>4473A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910e?66:18'6`e=:k<07b<77;29 7cd2;;n76gna;29 7cd2hk07d7>5$3g`>7d?32wi8<950;:94?6|,;nj6<N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198m7>>290/>hm52c48?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a04?=83<1<7>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl98`83>=<729q/>io51338L7c23A8oh6*>5e81?!4bk38jm6F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`=<@;nh76g=8e83>!4bk383h6F=db98m7g5290/>hm52c:8?xd1000;654?:1y'6ag=9;;0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i3c;>5<#:li1>l64H3f`?>o50m0;6)N5lj10e?o=:18'6`e=:k207pl98983>=<729q/>io51338L7c23A8oh6*>5e81?!4bk38jm6F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`=<@;nh76g=8e83>!4bk383h6F=db98m7g5290/>hm52c:8?xd1m<0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c4f0?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn;k<:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e>l81<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`5a5<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo8kf;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj?nn6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl9db83>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm6e;94?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th=h54?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg0c?3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb7g`>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi:hl50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd1mh0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c4f=?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn;k7:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e>l=1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`5a3<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo8j1;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj?n=6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl9b483>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm6c094?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th=n=4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg0fn3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb7cf>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi:lj50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd1ij0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c4bf?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn;on:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e>h31<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`5e=<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo8n7;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj?hh6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl9b`83>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm6c594?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th=n;4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg0e93:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb7c5>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi:l;50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd0=o0;654?:1y'6ag=9;;0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i3c;>5<#:li1>l64H3f`?>o50m0;6)N5lj10e?o=:18'6`e=:k207pl85d83>=<729q/>io51338L7c23A8oh6*>5e81?!4bk38jm6F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`=<@;nh76g=8e83>!4bk383h6F=db98m7g5290/>hm52c:8?xd0=m0;654?:1y'6ag=9;;0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i3c;>5<#:li1>l64H3f`?>o50m0;6)N5lj10e?o=:18'6`e=:k207pl8b`83>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm7c594?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg1e<3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb6`0>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi;o<50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd0j80;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c5a4?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn:oi:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e?ho1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`4ea<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo9nc;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj>i:6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl8bg83>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm7ca94?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg1e>3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb6ca>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi;lo50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd00h0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c5;=?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn:67:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e?1=1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`4<0<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo974;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj>286=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl88083>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm76g94?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th<;i4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg10k3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb6;2>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi;4>50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd00o0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c5;a?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn:6k:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e?1i1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`47E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo976;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj>=i6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl73383>=<729q/>io51338L7c23A8oh6*>5e81?!4bk38jm6F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`=<@;nh76g=8e83>!4bk383h6F=db98m7g5290/>hm52c:8?xd?;80;654?:1y'6ag=9;;0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i3c;>5<#:li1>l64H3f`?>o50m0;6)N5lj10e?o=:18'6`e=:k207pl73183>=<729q/>io51338L7c23A8oh6*>5e81?!4bk38jm6F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`=<@;nh76g=8e83>!4bk383h6F=db98m7g5290/>hm52c:8?xd?0m0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c:;g?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn56m:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e01k1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`;<=<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo677;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj12=6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl78583>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no75290=6=4?{%0ge?76n2B9i85G2ef8 43c2;1/>hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm89394?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th34=4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg>0n3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb9;7>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi44=50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd?1;0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c::5?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn57?:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e01l1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`;<`<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo679;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj1=n6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl75e83>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==o7>56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm84c94?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th3954?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg>2?3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb975>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi48;50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd?==0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c:67?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn5;=:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e0<;1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`;15<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo6;f;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj1\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl76383>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm84d94?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th39h4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg>213:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb96f>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi49j50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd>8<0;654?:1y'6ag=9;;0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i3c;>5<#:li1>l64H3f`?>o50m0;6)N5lj10e?o=:18'6`e=:k207pl60583>=<729q/>io51338L7c23A8oh6*>5e81?!4bk38jm6F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`=<@;nh76g=8e83>!4bk383h6F=db98m7g5290/>hm52c:8?xd>8:0;654?:1y'6ag=9;;0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i3c;>5<#:li1>l64H3f`?>o50m0;6)N5lj10e?o=:18'6`e=:k207pl66183>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==j7>56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm94f94?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th29o4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg?2i3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb87:>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi58650;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd>=>0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c;62?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn4;::185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e1<>1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`:16<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo7:2;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj0<<6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl66483>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm97094?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th2:<4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg?2k3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb872>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi58>50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd>;90;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c;1b?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn45<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e1;n1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`:6g<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo7=a;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj0826=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>=83<1<7>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl62683>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm93694?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th2>>4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg?5:3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb814>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi5>850;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd>;<0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c;00?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn4=<:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e1:81<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`:74<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo7=c;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zj08:6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl6d983>=<729q/>io51338L7c23A8oh6*>5e81?!4bk38jm6F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`=<@;nh76g=8e83>!4bk383h6F=db98m7g5290/>hm52c:8?xd>l>0;654?:1y'6ag=9;;0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i3c;>5<#:li1>l64H3f`?>o50m0;6)N5lj10e?o=:18'6`e=:k207pl6d783>=<729q/>io51338L7c23A8oh6*>5e81?!4bk38jm6F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`=<@;nh76g=8e83>!4bk383h6F=db98m7g5290/>hm52c:8?xdf;:0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}cc06?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vnl=>:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{ei::1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`b6`<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qoo=d;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zjh8h6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pln2`83>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sma3594?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3thj>;4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98ygg5=3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb`1b>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wim>750;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xdf;10;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}cc03?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vnl=9:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{ei:?1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`b71<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qoo=f;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zjh8?6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pln0283>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==7>56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sma1294?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3th2jh4?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg?al3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb8d`>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi5kl50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xd>nh0;6;4?:1y'6ag=98l0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fa`83>!4bk3kj76gk6;29 7cd28?;76a=8683>!4bk38:i65f26a94?"5mj09m554ib:94?"5mj094i54}c;e=?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32cjm7>5$3g`>dg<3`n=6=4+2da9506<3f83;7>5$3g`>77b32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn4h7:185>5<7s-8om7?>f:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lgf290/>hm5a`98ma0=83.9in4>5198k7>0290/>hm520g8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e1o=1<7850;2x 7bf28;m7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54i`c94?"5mj0jm65fd783>!4bk3;><65`29594?"5mj09=h54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`:b3<72?0;6=u+2ec954`<@;o>7E4}4n?0vel=50;&1af5$3g`>43732e94:4?:%0fg?46m21b>:m50;&1af<5i110en650;&1af<50m10qo7i5;292?6=8r.9hl4>1g9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?j4??3:1(?kl:33f?>o5?j0;6)=nk10;6)=zjh:j6=49:183!4ci3;:j6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hcb>5<#:li1ml54ie494?"5mj0:9=54o3:4>5<#:li1>t$3fb>47a3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5950;&1af<59l10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pln0983>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==56;294~"5lh0:=k5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kbe?6=,;oh6lo4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sma1794?0=83:p(?jn:03e?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W=nl?0;6)=h:1=1<7*=eb815`=<3`i36=4+2da96=b<3thj<94?:783>5}#:mk1=e:9j62e=83.9in4=a998mf>=83.9in4=8e98yg?an3:1:7>50z&1`d<69o1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07b<77;29 7cd2;;n76g=7b83>!4bk38j465fc983>!4bk383h65rb8d7>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`kj6=4+2da9ed=5$3g`>7g?32ch47>5$3g`>7>c32wi5k=50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9l6=1=83.9in4=1d98m71d290/>hm52`:8?le?290/>hm529f8?xdfn?0;6>4?:1y'6ag=:l>0D?k:;I0g`>"5mj09i>5+1759`dg5$3g`>77b32wimh<50;694?6|,;nj6<96;I0f1>N5lm1/>hm516:8 4002mkj7dol:18'6`e=9!4bk38j:65`20594?"5mj09=h54}cceb?6=;3:15198k770290/>hm520g8?xdfmk0;694?:1y'6ag=9>30D?k:;I0g`>"5mj0:;55+1759`dg7>5$3g`>7g132e9=:4?:%0fg?46m21vnlhj:180>5<7s-8om76783>!4bk3;><65`20594?"5mj09=h54}ccfe?6=<3:15898m7g5290/>hm52`48?j46?3:1(?kl:33f?>{eion1<7=50;2x 7bf2;o?7Eh=4$044>agf3`kh6=4+2da9506<3`;=:7>5$3g`>43732e9=:4?:%0fg?46m21vnlk6:187>5<7s-8om7?89:J1a0=O:mn0(?kl:05;?!71?3njm6gnc;29 7cd28?j76g>0383>!4bk3;>565f2`094?"5mj09m;54o334>5<#:li1>7E5198m401290/>hm51428?j46?3:1(?kl:33f?>{eil21<7:50;2x 7bf28=27Eagf3`kh6=4+2da950g<3`;;>7>5$3g`>43>32c9m?4?:%0fg?4f>21d><950;&1af<59l10qooib;297?6=8r.9hl4=e59K6`3<@;no7)"6>>0oml5fab83>!4bk3;><65f17494?"5mj0:9=54o334>5<#:li1>7E5`98m465290/>hm514;8?l4f:3:1(?kl:3c5?>i59>0;6)=zjhlj6=4<:183!4ci38n86F=e49K6ab<,;oh6?k<;%353?bfi2cjo7>5$3g`>43732c::;4?:%0fg?72821d><950;&1af<59l10qooj6;290?6=8r.9hl4>789K6`3<@;no7)"6>>0oml5fab83>!4bk3;>m65f11094?"5mj0:9454i3c1>5<#:li1>l84;n023?6=,;oh6??j;:aec?=8391<7>t$3fb>7c33A8n96F=de9'6`e=:l90(<88:ecb?lgd290/>hm51428?l71>3:1(?kl:073?>i59>0;6)=zjho>6=4;:183!4ci3;<56F=e49K6ab<,;oh6<97;%353?bfi2cjo7>5$3g`>43f32c:l<50;&1af<5i?10c??8:18'6`e=:8o07plnf983>6<729q/>io52d68L7c23A8oh6*=eb81a6=#9?=1hlo4i`a94?"5mj0:9=54i045>5<#:li1=8>4;n023?6=,;oh6??j;:ae`2=83>1<7>t$3fb>41>3A8n96F=de9'6`e=9>20(<88:ecb?lgd290/>hm514c8?l77:3:1(?kl:07:?>o5i;0;6)=h:8=1<7*=eb815`=53;294~"5lh09i95G2d78L7bc3-8no71<729q/>io516;8L7c23A8oh6*=eb823==#9?=1hlo4i`a94?"5mj0:9l54i021>5<#:li1=874;h0b6?6=,;oh6?o9;:m152<72-8no7<>e:9~fd`229086=4?{%0ge?4b<2B9i85G2ef8 7cd2;o87)?97;fbe>ofk3:1(?kl:073?>o6>?0;6)=h:8=1<7*=eb815`=54;294~"5lh0:;45G2d78L7bc3-8no7?88:&2227;29 7cd2;;n76sma4`94?5=83:p(?jn:3g7?M4b=2B9hi5+2da96`5<,8<<6ion;hc`>5<#:li1=8>4;h352?6=,;oh6<;?;:m152<72-8no7<>e:9~fd3f29086=4?{%0ge?4b<2B9i85G2ef8 7cd2;o87)?97;fbe>ofk3:1(?kl:073?>o6>?0;6)=h:8=1<7*=eb815`=54;294~"5lh0:;45G2d78L7bc3-8no7?88:&2227;29 7cd2;;n76sma7794?5=83:p(?jn:3g7?M4b=2B9hi5+2da96`5<,8<<6ion;hc`>5<#:li1=8>4;h352?6=,;oh6<;?;:m152<72-8no7<>e:9~fd32290?6=4?{%0ge?7012B9i85G2ef8 7cd28=37)?97;fbe>ofk3:1(?kl:07b?>o68;0;6)=n:h81<7*=eb81e3=5}#:mk1>h:4H3g6?M4cl2.9in4=e29'531=lhk0elm50;&1af<6=910e<89:18'6`e=9<:07b<>7;29 7cd2;;n76sma4194?2=83:p(?jn:05:?M4b=2B9hi5+2da952><,8<<6ion;hc`>5<#:li1=8o4;h336?6=,;oh6<;6;:k1e7<72-8no750z&1`d<5m=1C>h;4H3fg?!4bk38n?6*>668ged=nij0;6)=n9?<1<7*=eb8215=5}#:mk1=:74H3g6?M4cl2.9in4>799'531=lhk0elm50;&1af<6=h10e<>=:18'6`e=9<307d!4bk38:i65rb`41>5<4290;w)N5m<1C>ij4$3g`>7c43-;=;7jna:kbg?6=,;oh6<;?;:k223<72-8no7?:0:9l641=83.9in4=1d98ygg3n3:187>50z&1`d<6?01C>h;4H3fg?!4bk3;<46*>668ged=nij0;6)=n9981<7*=eb821<=5$3g`>77b32wim;?50;194?6|,;nj6?k;;I0f1>N5lm1/>hm52d18 4002mkj7dol:18'6`e=9<:07d?96;29 7cd28?;76a=1683>!4bk38:i65rb`6g>5<3290;w)N5m<1C>ij4$3g`>41?3-;=;7jna:kbg?6=,;oh6<;n;:k247<72-8no7?:9:9j6d4=83.9in4=a798k770290/>hm520g8?xdf>90;6>4?:1y'6ag=:l>0D?k:;I0g`>"5mj09i>5+1759`dg5$3g`>77b32wim9l50;694?6|,;nj6<96;I0f1>N5lm1/>hm516:8 4002mkj7dol:18'6`e=9!4bk38j:65`20594?"5mj09=h54}cc6b?6=;3:15198k770290/>hm520g8?xdf<00;694?:1y'6ag=9>30D?k:;I0g`>"5mj0:;55+1759`dg7>5$3g`>7g132e9=:4?:%0fg?46m21vnl;j:180>5<7s-8om76783>!4bk3;><65`20594?"5mj09=h54}cc73?6=<3:15898m7g5290/>hm52`48?j46?3:1(?kl:33f?>{eih=4$044>agf3`kh6=4+2da9506<3`;=:7>5$3g`>43732e9=:4?:%0fg?46m21vnl:::187>5<7s-8om7?89:J1a0=O:mn0(?kl:05;?!71?3njm6gnc;29 7cd28?j76g>0383>!4bk3;>565f2`094?"5mj09m;54o334>5<#:li1>7E5198m401290/>hm51428?j46?3:1(?kl:33f?>{ei=81<7:50;2x 7bf28=27Eagf3`kh6=4+2da950g<3`;;>7>5$3g`>43>32c9m?4?:%0fg?4f>21d><950;&1af<59l10qom=0;29219K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`==n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:91C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i`c94?"5mj0jm65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qolm7;29219K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`==n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:91C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i`c94?"5mj0jm65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qoll3;29219K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`==n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:91C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i`c94?"5mj0jm65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qolk5;29219K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`==n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:91C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i`c94?"5mj0jm65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qolj3;29219K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`==n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:91C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i`c94?"5mj0jm65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qom>3;29219K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>o5000;6)=h:1=1<7*=eb815`==n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E=3:1?7>50z&1`d<5m=1C>h;4H3fg?!4bk38n?6*>668ged=nij0;6)=n9?<1<7*=eb8215=5}#:mk1>h:4H3g6?M4cl2.9in4=e29'531=lhk0elm50;&1af<6=910e<89:18'6`e=9<:07b<>7;29 7cd2;;n76sma6f94?2=83:p(?jn:05:?M4b=2B9hi5+2da952><,8<<6ion;hc`>5<#:li1=8o4;h336?6=,;oh6<;6;:k1e7<72-8no7m3:1?7>50z&1`d<5m=1C>h;4H3fg?!4bk38n?6*>668ged=nij0;6)=n9?<1<7*=eb8215=5}#:mk1=:74H3g6?M4cl2.9in4>799'531=lhk0elm50;&1af<6=h10e<>=:18'6`e=9<307d!4bk38:i65rb`;g>5<4290;w)N5m<1C>ij4$3g`>7c43-;=;7jna:kbg?6=,;oh6<;?;:k223<72-8no7?:0:9l641=83.9in4=1d98ygg?=3:187>50z&1`d<6?01C>h;4H3fg?!4bk3;<46*>668ged=nij0;6)=n9981<7*=eb821<=5$3g`>77b32wim4m50;194?6|,;nj6?k;;I0f1>N5lm1/>hm52d18 4002mkj7dol:18'6`e=9<:07d?96;29 7cd28?;76a=1683>!4bk38:i65rb`:7>5<3290;w)N5m<1C>ij4$3g`>41?3-;=;7jna:kbg?6=,;oh6<;n;:k247<72-8no7?:9:9j6d4=83.9in4=a798k770290/>hm520g8?xdf1k0;6>4?:1y'6ag=:l>0D?k:;I0g`>"5mj09i>5+1759`dg5$3g`>77b32wim5=50;694?6|,;nj6<96;I0f1>N5lm1/>hm516:8 4002mkj7dol:18'6`e=9!4bk38j:65`20594?"5mj09=h54}cc:e?6=;3:15198k770290/>hm520g8?xdf0;0;694?:1y'6ag=9>30D?k:;I0g`>"5mj0:;55+1759`dg7>5$3g`>7g132e9=:4?:%0fg?46m21vnl76:180>5<7s-8om76783>!4bk3;><65`20594?"5mj09=h54}cc;5?6=<3:15898m7g5290/>hm52`48?j46?3:1(?kl:33f?>{ei021<7=50;2x 7bf2;o?7Eh=4$044>agf3`kh6=4+2da9506<3`;=:7>5$3g`>43732e9=:4?:%0fg?46m21vnl6?:187>5<7s-8om7?89:J1a0=O:mn0(?kl:05;?!71?3njm6gnc;29 7cd28?j76g>0383>!4bk3;>565f2`094?"5mj09m;54o334>5<#:li1>7E5198m401290/>hm51428?j46?3:1(?kl:33f?>{ei>l1<7:50;2x 7bf28=27Eagf3`kh6=4+2da950g<3`;;>7>5$3g`>43>32c9m?4?:%0fg?4f>21d><950;&1af<59l10qoo66;297?6=8r.9hl4=e59K6`3<@;no7)"6>>0oml5fab83>!4bk3;><65f17494?"5mj0:9=54o334>5<#:li1>7E5`98m465290/>hm514;8?l4f:3:1(?kl:3c5?>i59>0;6)=zjh386=4<:183!4ci38n86F=e49K6ab<,;oh6?k<;%353?bfi2cjo7>5$3g`>43732c::;4?:%0fg?72821d><950;&1af<59l10qoo8c;290?6=8r.9hl4>789K6`3<@;no7)"6>>0oml5fab83>!4bk3;>m65f11094?"5mj0:9454i3c1>5<#:li1>l84;n023?6=,;oh6??j;:a0=?=8321<7>t$3fb>4473A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910e?66:18'6`e=:k<07b<77;29 7cd2;;n76gna;29 7cd2hk07d7>5$3g`>7d?32wi8;>50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a00`=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi88k50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a0=c=8321<7>t$3fb>4473A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910e?66:18'6`e=:k<07b<77;29 7cd2;;n76gna;29 7cd2hk07d7>5$3g`>7d?32wi8:>50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a03`=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi8;k50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a03b=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi8;m50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a03d=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi8;o50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a03?=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi85650;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a0=1=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi85850;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a0=3=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi85:50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a0=5=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi85<50;:94?6|,;nj6<<>;I0f1>N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98mdg=83.9in4na:9j`3<72-8no7?:0:9j6=?=83.9in4=b798k7>0290/>hm520g8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a0=7=8321<7>t$3fb>4463A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10elo50;&1af5750;&1af<5j?10c?68:18'6`e=:8o07d7>5$3g`>7d?32wi8>=50;:94?6|,;nj6<N5lm1/=8j52:&1af<5ih1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198m7>>290/>hm52c48?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l4f03:1(?kl:3c;?M4ck21b>5j50;&1af<50m1C>im4;h0b6?6=,;oh6?l7;:a064=8321<7>t$3fb>4473A8n96F=de9'50b=:2.9in4=a`9K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910e?66:18'6`e=:k<07b<77;29 7cd2;;n76gna;29 7cd2hk07d7>5$3g`>7d?32wi8n;50;194?6|,;nj6?k;;I0f1>N5lm1/>hm52d18 4002mkj7dol:18'6`e=9<:07d?96;29 7cd28?;76a=1683>!4bk38:i65rb5a7>5<4290;w)N5m<1C>ij4$3g`>7c43-;=;7jna:kbg?6=,;oh6<;?;:k223<72-8no7?:0:9l641=83.9in4=1d98yg2fl3:187>50z&1`d<6?01C>h;4H3fg?!4bk3;<46*>668ged=nij0;6)=n9981<7*=eb821<=5$3g`>77b32wi8nh50;194?6|,;nj6?k;;I0f1>N5lm1/>hm52d18 4002mkj7dol:18'6`e=9<:07d?96;29 7cd28?;76a=1683>!4bk38:i65rb5`e>5<3290;w)N5m<1C>ij4$3g`>41?3-;=;7jna:kbg?6=,;oh6<;n;:k247<72-8no7?:9:9j6d4=83.9in4=a798k770290/>hm520g8?xd3kl0;6>4?:1y'6ag=:l>0D?k:;I0g`>"5mj09i>5+1759`dg5$3g`>77b32wi8oj50;694?6|,;nj6<96;I0f1>N5lm1/>hm516:8 4002mkj7dol:18'6`e=9!4bk38j:65`20594?"5mj09=h54}c6``?6=;3:15198k770290/>hm520g8?xd3jk0;694?:1y'6ag=9>30D?k:;I0g`>"5mj0:;55+1759`dg7>5$3g`>7g132e9=:4?:%0fg?46m21vn9ml:180>5<7s-8om76783>!4bk3;><65`20594?"5mj09=h54}c6a=?6=<3:15898m7g5290/>hm52`48?j46?3:1(?kl:33f?>{eh=4$044>agf3`kh6=4+2da9506<3`;=:7>5$3g`>43732e9=:4?:%0fg?46m21vn9l8:187>5<7s-8om7?89:J1a0=O:mn0(?kl:05;?!71?3njm6gnc;29 7cd28?j76g>0383>!4bk3;>565f2`094?"5mj09m;54o334>5<#:li1>7E5198m401290/>hm51428?j46?3:1(?kl:33f?>{eagf3`kh6=4+2da950g<3`;;>7>5$3g`>43>32c9m?4?:%0fg?4f>21d><950;&1af<59l10qo:l9;297?6=8r.9hl4=e59K6`3<@;no7)"6>>0oml5fab83>!4bk3;><65f17494?"5mj0:9=54o334>5<#:li1>7E5`98m465290/>hm514;8?l4f:3:1(?kl:3c5?>i59>0;6)=zj=i36=4<:183!4ci38n86F=e49K6ab<,;oh6?k<;%353?bfi2cjo7>5$3g`>43732c::;4?:%0fg?72821d><950;&1af<59l10qo:m1;290?6=8r.9hl4>789K6`3<@;no7)"6>>0oml5fab83>!4bk3;>m65f11094?"5mj0:9454i3c1>5<#:li1>l84;n023?6=,;oh6??j;:a0f1=8391<7>t$3fb>7c33A8n96F=de9'6`e=:l90(<88:ecb?lgd290/>hm51428?l71>3:1(?kl:073?>i59>0;6)=zj=km6=4;:183!4ci3;<56F=e49K6ab<,;oh6<97;%353?bfi2cjo7>5$3g`>43f32c:l<50;&1af<5i?10c??8:18'6`e=:8o07pl;c283>6<729q/>io52d68L7c23A8oh6*=eb81a6=#9?=1hlo4i`a94?"5mj0:9=54i045>5<#:li1=8>4;n023?6=,;oh6??j;:a0de=83>1<7>t$3fb>41>3A8n96F=de9'6`e=9>20(<88:ecb?lgd290/>hm514c8?l77:3:1(?kl:07:?>o5i;0;6)=h:8=1<7*=eb815`=mo7>58;294~"5lh0:>=5G2d78L7bc3-;>h7<4$3g`>7gf3A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:k1<<<72-8no7=83.9in4=a99K6ae<3`83h7>5$3g`>7>c3A8oo65f2`094?"5mj09n554}c6eb?6=03:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732c9444?:%0fg?4e>21d>5950;&1af<59l10elo50;&1afl650;&1af<5i11C>im4;h0;`?6=,;oh6?6k;I0gg>=n:h81<7*=eb81f==7>58;294~"5lh0:>=5G2d78L7bc3-;>h7<4$3g`>7gf3A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:k1<<<72-8no7=83.9in4=a99K6ae<3`83h7>5$3g`>7>c3A8oo65f2`094?"5mj09n554}c731?6=03:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732c9444?:%0fg?4e>21d>5950;&1af<59l10elo50;&1afl650;&1af<5i11C>im4;h0;`?6=,;oh6?6k;I0gg>=n:h81<7*=eb81f==7>58;294~"5lh0:>=5G2d78L7bc3-;>h7<4$3g`>7gf3A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:k1<<<72-8no7=83.9in4=a99K6ae<3`83h7>5$3g`>7>c3A8oo65f2`094?"5mj09n554}c6e7?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn9h=:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`7bd<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qo:i9;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zj=l36=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl;f783>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==m97>56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm4g394?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th?j=4?:783>5}#:mk1==83.9in4=8e98yg2c>3:147>50z&1`d<6:91C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76g=8883>!4bk38i:65`29594?"5mj09=h54i`c94?"5mj0jm65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;=c;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;=a;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;=8;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;=6;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;;4;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;;2;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;;0;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;;c;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;;a;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;;8;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;;6;29209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo;209K6`3<@;no7)?:d;08 7cd2;kj7E<7b:&222i851z1e2?{ni:0;6)ofi3:1(?kl:`c8?lb1290/>hm51428?l4?13:1(?kl:3`5?>i50>0;6)=n:h21<7*=eb81e==O:mi07d<7d;29 7cd2;2o7E50z&1`d<6:81C>h;4H3fg?!72l380(?kl:3cb?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gna;29 7cd2hk07dj9:18'6`e=9<:07d<79;29 7cd2;h=76a=8683>!4bk38:i65f2`:94?"5mj09m55G2ea8?l4?l3:1(?kl:3:g?M4ck21b>l<50;&1af<5j110qo?;2;297?6=8r.9hl4>6d9K6`3<@;no7)"6>>0oml5+14f9`3=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c{e<;>1<7=50;2x 7bf285m4$044>agf3-;>h7:m;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65`20594?"5mj09=h54}c756?6=<3:11b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;40?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9l641=83.9in4=1d98yg27;3:1?7>50z&1`d<6>l1C>h;4H3fg?!40j39:?6*=eb8135?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>i59>0;6)=zj:lm6=4<:183!4ci3;=i6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;b:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c753:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb444>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm57;94?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?>a3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb81<5?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo;96;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9=7=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn88n:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8:5>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi98o50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;:b?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=9=4?:783>5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:9c8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=;n7>56;294~"5lh0:;<5G2d78L7bc3-83:&1af<50j1/=;95d`c8 43c2;90e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c635?6=>3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=o7>56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e=<21<7850;2x 7bf28=:7E5m4$044>agf3-;>h767;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd51h0;694?:1y'6ag=9?l0D?k:;I0g`>"5?k08=95+2da96=e<,8<<6ion;%36`?0e3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976a=1683>!4bk38:i65rb700>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<><2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm63694?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`??33`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb81<2?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo8=6;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9=1=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn;=>:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8:2>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi:><50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;;5?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=4?:783>5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:848m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj?8m6=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i46c:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e>::1<7850;2x 7bf28=:7E5m4$044>agf3-;>h77l;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd1:m0;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o64m4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg05m3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~f352290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m02:6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:a260=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l33=7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c415?6=>3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb703>5<2290;w)N5m<1C>ij4$35a>6363-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54o334>5<#:li1>7Ehm529a8 4002mkj7)?:d;33g>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~f340290>6=4?{%0ge?7082B9i85G2ef8 71e2:>m7)"6>>0oml5+14f955e?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xd1:10;684?:1y'6ag=9>:0D?k:;I0g`>"5?k088h5+2da96=e<,8<<6ion;%36`?77k2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zj?826=4::183!4ci3;<<6F=e49K6ab<,;=i6>;>;%0fg?4?k2.:::4ka`9'50b=1k1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=h:8=1<7*=eb815`=55;294~"5lh0:;=5G2d78L7bc3-8ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=o4?:483>5}#:mk1=:>4H3g6?M4cl2.9;o4<4g9'6`e=:1i0(<88:ecb?!72l33i7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3f8:;7>5$3g`>77b32wi:?m50;794?6|,;nj6<9?;I0f1>N5lm1/>:l535g8 7cd2;2h7)?97;fbe>"6=m02n6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432e9=:4?:%0fg?46m21vn;?j:187>5<7s-8om7?9f:J1a0=O:mn0(?9m:22;?!4bk383o6*>668ged=#9o6<<0;6)hm520g8?xd1;o0;684?:1y'6ag=9>:0D?k:;I0g`>"5?k08?l5+2da96=e<,8<<6ion;%36`?4d3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c{e>:n1<7850;2x 7bf28=:7Eh1?>o4$3g`>7>d3-;=;7jna:&21a<0=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm62:94?5=83:p(?jn:04f?M4b=2B9hi5+26`9777<,;oh6?6l;%353?bfi2.:9i4>089j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;n023?6=,;oh6??j;:a215=8391<7>t$3fb>40b3A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=;7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921d><950;&1af<59l10qo8>d;297?6=8r.9hl4>6d9K6`3<@;no7)<8b;13<>"5mj094n5+1759`dg<,8?o6;=4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76a=1683>!4bk38:i65rb762>5<4290;w)N5m<1C>ij4$35a>6463-8no7<7c:&2223g8nm7?4;n023?6=,;oh6??j;:a2c>=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l33?7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7E0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c4ee?6=>3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb7da>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<><2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm71494?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`??13`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb81<0?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo9?8;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9=3=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn:>6:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8:2>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi;=:50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;;`?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:8a8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=7>56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj>:86=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i46c:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e?9k1<7850;2x 7bf28=:7E5m4$044>agf3-;>h779;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd08k0;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6484i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg0a<3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~f3`1290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m02:6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:a2c3=83?1<7>t$3fb>4173A8n96F=de9'62d=;=i0(?kl:3:`?!71?3njm6*>5e824f=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9l641=83.9in4=1d98yg0a?3:197>50z&1`d<6?91C>h;4H3fg?!40j39?n6*=eb8146d3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c{e>oi1<7;50;2x 7bf28=;7Eh1?9o4$3g`>7>d3-;=;7jna:&21a<68j1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=h:8=1<7*=eb815`=55;294~"5lh0:;=5G2d78L7bc3-8hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3f8:;7>5$3g`>77b32wi:kk50;794?6|,;nj6<9?;I0f1>N5lm1/>:l535a8 7cd2;2h7)?97;fbe>"6=m02n6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432e9=:4?:%0fg?46m21vn;hi:186>5<7s-8om7?80:J1a0=O:mn0(?9m:26a?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21d><950;&1af<59l10qo9?0;291?6=8r.9hl4>719K6`3<@;no7)<8b;17e>"5mj094n5+1759`dg<,8?o64l4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c0<729q/>io51628L7c23A8oh6*=7c800<=#:li1>5m4$044>agf3-;>h77m;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)7;29 7cd2;;n76sm6g194?2=83:p(?jn:04e?M4b=2B9hi5+26`9751<,;oh6?6l;%353?bfi2.:9i49b:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=55;294~"5lh0:;=5G2d78L7bc3-8ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=5}#:mk1=:?4H3g6?M4cl2.9;o4<389'6`e=:1i0(<88:ecb?!72l3=>7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;33=>o6<=0;6)hm520g8?xd0910;6>4?:1y'6ag=9?o0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6:>4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76a=1683>!4bk38:i65rb7d1>5<4290;w)N5m<1C>ij4$35a>6603-8no7<7c:&2223g8nm7?4;n023?6=,;oh6??j;:a340=8391<7>t$3fb>40b3A8n96F=de9'6`e=:1i0(<88:ecb?!72l3>i7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921d><950;&1af<59l10qo9lb;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9=1=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn:ml:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8:0>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi;nj50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;;7?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:868m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj>nj6=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i466:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e?mh1<7850;2x 7bf28=:7E5m4$044>agf3-;>h779;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd0lj0;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6484i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg1c?3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~f2b?290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m02o6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:a3a3=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l33h7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c5g`?6=>3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb6ff>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<>>2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm7b594?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`??13`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb81<0?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo9l8;291?6=8r.9hl4>719K6`3<@;no7)<8b;17<>"5mj094n5+1759`dg<,8?o6<>l;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)7;29 7cd2;;n76sm7bc94?3=83:p(?jn:053?M4b=2B9hi5+26`9711<,;oh6?6l;%353?bfi2.:9i4>0b9j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65`20594?"5mj09=h54}c5`b?6==3:12.9in4=8b9'531=lhk0(<;k:02`?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;n023?6=,;oh6??j;:a3a6=83?1<7>t$3fb>4173A8n96F=de9'62d=;=?0(?kl:3:`?!71?3njm6*>5e824f=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9l641=83.9in4=1d98yg1c93:197>50z&1`d<6?91C>h;4H3fg?!40j39?46*=eb81?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xd0l;0;684?:1y'6ag=9>:0D?k:;I0g`>"5?k088:5+2da96=e<,8<<6ion;%36`??e3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c{e?m91<7;50;2x 7bf28=;7Eh1?984$3g`>7>d3-;=;7jna:&21a<>j2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zj>n?6=4::183!4ci3;<<6F=e49K6ab<,;=i6>::;%0fg?4?k2.:::4ka`9'50b=1k1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a3`1=83?1<7>t$3fb>4173A8n96F=de9'62d=;:20(?kl:3:`?!71?3njm6*>5e81g>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~f2c2290=6=4?{%0ge?7092B9i85G2ef8 71e2:937)"6>>0oml5+14f930=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn:k?:180>5<7s-8om7?9e:J1a0=O:mn0(?9m:233?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76a=1683>!4bk38:i65rb6ga>5<4290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<082c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4=5}#:mk1=;k4H3g6?M4cl2.9;o4<079'6`e=:1i0(<88:ecb?!72l3<87d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921d><950;&1af<59l10qo9j9;297?6=8r.9hl4>6d9K6`3<@;no7)<8b;116>"5mj094n5+1759`dg<,8?o69l4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76a=1683>!4bk38:i65rb9;f>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<><2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm88d94?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`??33`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb81<2?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo6n1;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9=1=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn5ol:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8:2>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi4lj50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;;5?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:848m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj1kj6=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i46c:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e0hh1<7850;2x 7bf28=:7E5m4$044>agf3-;>h77l;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd?i10;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o64m4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg>f13:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~f=d7290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m02:6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:at$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l33=7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c::g?6=>3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb9;a>5<2290;w)N5m<1C>ij4$35a>6233-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54o334>5<#:li1>7Ehm529a8 4002mkj7)?:d;33g>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~f=g5290>6=4?{%0ge?7082B9i85G2ef8 71e2:>:7)"6>>0oml5+14f955e?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xd?i:0;684?:1y'6ag=9>:0D?k:;I0g`>"5?k088=5+2da96=e<,8<<6ion;%36`?77k2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zj1k?6=4::183!4ci3;<<6F=e49K6ab<,;=i6>:;;%0fg?4?k2.:::4ka`9'50b=1k1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=h:8=1<7*=eb815`=55;294~"5lh0:;=5G2d78L7bc3-8ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=5}#:mk1=:>4H3g6?M4cl2.9;o4<409'6`e=:1i0(<88:ecb?!72l33i7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3f8:;7>5$3g`>77b32wi4l950;794?6|,;nj6<9?;I0f1>N5lm1/>:l53528 7cd2;2h7)?97;fbe>"6=m02n6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432e9=:4?:%0fg?46m21vn576:187>5<7s-8om7?9f:J1a0=O:mn0(?9m:226?!4bk383o6*>668ged=#9o6<<0;6)hm520g8?xd?jh0;684?:1y'6ag=9>:0D?k:;I0g`>"5?k08?;5+2da96=e<,8<<6ion;%36`?4d3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c{e0k21<7850;2x 7bf28=:7Eh1?>84$3g`>7>d3-;=;7jna:&21a<0=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm8c194?5=83:p(?jn:04f?M4b=2B9hi5+26`9747<,;oh6?6l;%353?bfi2.:9i4>089j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;n023?6=,;oh6??j;:at$3fb>40b3A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=;7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921d><950;&1af<59l10qo668;297?6=8r.9hl4>6d9K6`3<@;no7)<8b;131>"5mj094n5+1759`dg<,8?o6;=4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76a=1683>!4bk38:i65rb9``>5<4290;w)N5m<1C>ij4$35a>6443-8no7<7c:&2223g8nm7?4;n023?6=,;oh6??j;:a=27=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l33?7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7E0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c;47?6=>3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb857>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<><2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm96d94?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`??13`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb81<0?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo771;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9=3=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn46=:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8:2>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi5:j50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;;`?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:8a8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj0=h6=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i46c:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e1191<7850;2x 7bf28=:7E5m4$044>agf3-;>h779;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd>0=0;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6484i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg?1l3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~f<0a290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m02:6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:a=3c=83?1<7>t$3fb>4173A8n96F=de9'62d=;:l0(?kl:3:`?!71?3njm6*>5e824f=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9l641=83.9in4=1d98yg?083:197>50z&1`d<6?91C>h;4H3fg?!40j398i6*=eb8146d3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c{e1>?1<7;50;2x 7bf28=;7Eh1?>j4$3g`>7>d3-;=;7jna:&21a<68j1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=h:8=1<7*=eb815`=55;294~"5lh0:;=5G2d78L7bc3-8hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3f8:;7>5$3g`>77b32wi5:950;794?6|,;nj6<9?;I0f1>N5lm1/>:l532d8 7cd2;2h7)?97;fbe>"6=m02n6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432e9=:4?:%0fg?46m21vn497:186>5<7s-8om7?80:J1a0=O:mn0(?9m:21f?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21d><950;&1af<59l10qo789;291?6=8r.9hl4>719K6`3<@;no7)<8b;10`>"5mj094n5+1759`dg<,8?o64l4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c0<729q/>io51628L7c23A8oh6*=7c807f=#:li1>5m4$044>agf3-;>h77m;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)7;29 7cd2;;n76sm97a94?2=83:p(?jn:04e?M4b=2B9hi5+26`9752<,;oh6?6l;%353?bfi2.:9i49b:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=55;294~"5lh0:;=5G2d78L7bc3-8ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=5}#:mk1=:?4H3g6?M4cl2.9;o4<349'6`e=:1i0(<88:ecb?!72l3=>7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;33=>o6<=0;6)hm520g8?xd>180;6>4?:1y'6ag=9?o0D?k:;I0g`>"5?k08>85+2da96=e<,8<<6ion;%36`?173`;?87>5$3g`>5<#:li1545a2dc95>=h:8=1<7*=eb815`=53;294~"5lh0::h5G2d78L7bc3-8ho50:9j513=83.9in469:l1ad<632e9=:4?:%0fg?46m21vn46i:180>5<7s-8om7?9e:J1a0=O:mn0(?9m:207?!4bk383o6*>668ged=#9o6<<0;6)7;29 7cd2;;n76smbdd94?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?74<2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xdfj?0;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6>o4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98ygge?3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~fdgc290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m08m6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:ae3`=83<1<7>t$3fb>4163A8n96F=de9'62d=;980(?kl:3:`?!71?3njm6*>5e820>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wim;j50;494?6|,;nj6<9>;I0f1>N5lm1/>:l53138 7cd2;2h7)?97;fbe>"6=m0:86g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:ae3d=83<1<7>t$3fb>4163A8n96F=de9'62d=;9:0(?kl:3:`?!71?3njm6*>5e820>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wim;750;494?6|,;nj6<9>;I0f1>N5lm1/>:l52gg8 7cd2;2h7)?97;fbe>"6=m0:86g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:ae31=83<1<7>t$3fb>4163A8n96F=de9'62d=:on0(?kl:3:`?!71?3njm6*>5e820>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32win5m50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;;b?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c`;2?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek991<7950;2x 7bf28=97E5m4$044>agf3-;>h7:7;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qom?b;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f960=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:afcd=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l38n7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76smb1294?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?003`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yggfm3:197>50z&1`d<6?91C>h;4H3fg?!4bk383o6*>668ged=#955f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21d><950;&1af<59l10qoonf;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f964=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vnll>:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e802>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wio?=50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;65?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}ca1e?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ejkk1<7950;2x 7bf28=97E5m4$044>agf3-;>h7:9;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qoll1;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f903=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:aff0=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3>=7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76smbe:94?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?213`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98ygdcn3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32winh850;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;65?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c`e7?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek821<7950;2x 7bf28=97E5m4$044>agf3-;>h7:9;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qoom0;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f962=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vnnoj:187>5<7s-8om7?9f:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e85g>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qomn3;290?6=8r.9hl4>6g9K6`3<@;no7)"6>>0oml5+14f92f=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10c??8:18'6`e=:8o07pll9983>1<729q/>io517d8L7c23A8oh6*=eb813e?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smc9f94?2=83:p(?jn:04e?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?0d3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976a=1683>!4bk38:i65rbb:1>5<3290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<1k2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}cca1?6=>3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb`cb>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<6:l1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{eih31<7950;2x 7bf28=97E5m4$044>agf3-;>h7?=e:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb8144b3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yggf?3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vnlo9:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e826`=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:aed3=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3;9i6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:00f?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}ccb7?6=?3:1ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zjhk96=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4>2d9j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76sma`394?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?75m2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xdfij0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6<5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qoonb;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f957c?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~ff5b29086=4?{%0ge?71m2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:<45f15694?"5mj0256`=e`83?>o6<<0;6)7;29 7cd2;;n76smc5494?5=83:p(?jn:04f?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?7712c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4=5}#:mk1=;k4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:02:?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9l641=83.9in4=1d98yge2>3:1?7>50z&1`d<6>l1C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76a=1683>!4bk38:i65rbb7f>5<4290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<6801b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3f8:;7>5$3g`>77b32wimo=50;694?6|,;nj6<8i;I0f1>N5lm1/>:l533`8 7cd2;2h7)?97;fbe>"6=m09:6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yge0m3:197>50z&1`d<6?91C>h;4H3fg?!40j38mj6*=eb8141?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xdd>j0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6<=n;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qom9b;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f956g?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~ff0f290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:?l5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wio;750;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;30e>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Ehm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zjkij6=4;:183!4ci3;=j6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4=2:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8hm5989m6`g=921b=9850;&1af<>12d9il4=;:m152<72-8no7<>e:9~ff1c290=6=4?{%0ge?7092B9i85G2ef8 71e2;lm7)"6>>0oml5+14f9564?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qol?b;291?6=8r.9hl4>719K6`3<@;no7)"6>>0oml5+14f95==n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9l641=83.9in4=1d98yg06:3:187>50z&1`d<6>o1C>h;4H3fg?!40j39986*=eb814`?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76sm60:94?2=83:p(?jn:04e?M4b=2B9hi5+26`9721<,;oh6?6l;%353?bfi2.:9i4>f:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c602?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi8>950;794?6|,;nj6<9?;I0f1>N5lm1/>:l53268 7cd2;2h7)?97;fbe>"6=m0856g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432e9=:4?:%0fg?46m21vn9=::180>5<7s-8om7?9e:J1a0=O:mn0(?9m:220?!4bk383o6*>668ged=#9o6<<0;6)7;29 7cd2;;n76sm42694?0=83:p(?jn:052?M4b=2B9hi5+26`9704<,;oh6?6l;%353?bfi2.:9i4>4:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e<:21<7950;2x 7bf28=97E5m4$044>agf3-;>h7:<;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qo:7b;291?6=8r.9hl4>719K6`3<@;no7)<8b;13=>"5mj094n5+1759`dg<,8?o69h4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c6<729q/>io517g8L7c23A8oh6*=7c804<=#:li1>5m4$044>agf3-;>h7:m;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65`20594?"5mj09=h54}c667?6=;3:1ho51:9l641=83.9in4=1d98yg22=3:1?7>50z&1`d<6>l1C>h;4H3fg?!40j39;n6*=eb811d?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>i59>0;6)=zj=8m6=4;:183!4ci3;=j6F=e49K6ab<,;=i6>;=;%0fg?4?k2.:::4ka`9'50b=9o1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;3;?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;n023?6=,;oh6??j;:a14d=83<1<7>t$3fb>4163A8n96F=de9'62d=:o>0(?kl:3:`?!71?3njm6*>5e825>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi84;50;494?6|,;nj6<9>;I0f1>N5lm1/>:l533a8 7cd2;2h7)?97;fbe>"6=m0:o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~f07f290>6=4?{%0ge?7082B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0<:6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432e9=:4?:%0fg?46m21vn8>>:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e850>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;;f?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=4?:483>5}#:mk1=:>4H3g6?M4cl2.9;o4<2b9'6`e=:1i0(<88:ecb?!72l3hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3f8:;7>5$3g`>77b32wi9=750;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;303>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;03?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;n023?6=,;oh6??j;:a0a3=83?1<7>t$3fb>4173A8n96F=de9'62d=;?o0(?kl:3:`?!71?3njm6*>5e873>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~f1b4290>6=4?{%0ge?7082B9i85G2ef8 71e2:"6>>0oml5+14f927=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9l641=83.9in4=1d98yg2fj3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2f13:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2f?3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2f=3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2f;3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2f93:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2>n3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2>l3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2>j3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2>13:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2>?3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg2>:3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg4?;3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi>5:50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;4e?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c0;1?6=?3:1ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zjj339j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zjj6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4>3`9j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76smc6294?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?74i2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xdd:l0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6<=n;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qom=f;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f956g?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~ff57290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:??5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~fgb329086=4?{%0ge?71m2B9i85G2ef8 71e2:==7)"6>>0oml5+14f935=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c{ejm91<7950;2x 7bf28=97E5m4$044>agf3-;>h7?>0:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c6<729q/>io517g8L7c23A8oh6*=7c8047=#:li1>5m4$044>agf3-;>h79?;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65`20594?"5mj09=h54}caa7?6=?3:13g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xddi00;6>4?:1y'6ag=9?o0D?k:;I0g`>"5?k08<<5+2da96=e<,8<<6ion;%36`?173`;?87>5$3g`>5<#:li1545a2dc95>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2225$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c6<729q/>io517g8L7c23A8oh6*=7c8045=#:li1>5m4$044>agf3-;>h79?;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65`20594?"5mj09=h54}ca:`?6=?3:13g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xdd1:0;6>4?:1y'6ag=9?o0D?k:;I0g`>"5?k09jh5+2da96=e<,8<<6ion;%36`?173`;?87>5$3g`>5<#:li1545a2dc95>=h:8=1<7*=eb815`=7>57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2225$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c6<729q/>io517g8L7c23A8oh6*=7c81ba=#:li1>5m4$044>agf3-;>h79?;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65`20594?"5mj09=h54}ca;3?6=?3:13g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xdd990;684?:1y'6ag=9>:0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6<>i;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)7;29 7cd2;;n76smbc294?3=83:p(?jn:053?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?7502c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjkkm6=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4n;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qolnc;291?6=8r.9hl4>719K6`3<@;no7)"6>>0oml5+14f957>?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xdeik0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6l5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32winl650;794?6|,;nj6<9?;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;31<>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~fgg0290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0j7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=55;294~"5lh0:;=5G2d78L7bc3-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54o334>5<#:li1>0;6=u+2ec9524<@;o>7E12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ejh:1<7;50;2x 7bf28=;7E5m4$044>agf3-;>h7?=8:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876a=1683>!4bk38:i65rbc;e>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98ygd?n3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32win4>50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;6:?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=4?:583>5}#:mk1=;h4H3g6?M4cl2.9;o4<1b9'6`e=:1i0(<88:ecb?!72l3;;n6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yggc<3:187>50z&1`d<6>o1C>h;4H3fg?!40j39:o6*=eb8146e3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976a=1683>!4bk38:i65rb`f3>5<3290;w)N5m<1C>ij4$35a>67e3-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wimi?50;694?6|,;nj6<8i;I0f1>N5lm1/>:l530`8 7cd2;2h7)?97;fbe>"6=m0:o6<<0;6)hm520g8?xdfkm0;694?:1y'6ag=9?l0D?k:;I0g`>"5?k08=l5+2da96=e<,8<<6ion;%36`?77j2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}cc`a?6=<3:1ho51:9j510=83.9in469:l1ad<532e9=:4?:%0fg?46m21vnlmn:187>5<7s-8om7?9f:J1a0=O:mn0(?9m:23:?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{eijh1<7:50;2x 7bf28h1?<74$3g`>7>d3-;=;7jna:&21a<68k1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;33f>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qool8;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;12a>"5mj094n5+1759`dg<,8?o6<>m;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>i59>0;6)=zjhi?6=4;:183!4ci3;=j6F=e49K6ab<,;=i6>?k;%0fg?4?k2.:::4ka`9'50b=99h0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:aef3=83>1<7>t$3fb>40a3A8n96F=de9'62d=;8n0(?kl:3:`?!71?3njm6*>5e824g=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10c??8:18'6`e=:8o07plnc083>1<729q/>io517d8L7c23A8oh6*=7c805==#:li1>5m4$044>agf3-;>h7??b:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=7>54;294~"5lh0::k5G2d78L7bc3-88:&1af<50j1/=;95d`c8 43c28:i7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:m152<72-8no7<>e:9~fddc290?6=4?{%0ge?71n2B9i85G2ef8 71e2:;<7)"6>>0oml5+14f955d?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smacg94?2=83:p(?jn:04e?M4b=2B9hi5+26`9741<,;oh6?6l;%353?bfi2.:9i4>0c9j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:2:8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-86:&1af<50j1/=;95d`c8 43c28:i7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:m152<72-8no7<>e:9~fddf290?6=4?{%0ge?71n2B9i85G2ef8 71e2:;=7)"6>>0oml5+14f955d?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smac`94?0=83:p(?jn:052?M4b=2B9hi5+26`977d<,;oh6?6l;%353?bfi2.:9i4<8:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{ek?=1<7;50;2x 7bf28=;7Eh1??o4$3g`>7>d3-;=;7jna:&21a<6:h1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=n9=k1<7*=eb8:=>h5mh0>76a=1683>!4bk38:i65rbb51>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<6;h1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek>;1<7950;2x 7bf28=97E5m4$044>agf3-;>h7?5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb8145f3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yge0i3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vnn9m:180>5<7s-8om7?9e:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e824<=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c{ej9?1<7950;2x 7bf28=97E5m4$044>agf3-;>h79=;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qol?6;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f90>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7E80e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zjk:26=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qo;j3;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f91c=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a1`0=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3;8:6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=h54?:683>5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:4d8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=n9=k1<7*=eb8:=>h5mh0>76a=1683>!4bk38:i65rb4fa>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<6;?1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{e=jn1<7950;2x 7bf28=97E5m4$044>agf3-;>h7;i;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qo;k0;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f9560?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~f0bc290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0>j6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=ik4?:683>5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:015?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c7`7?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{e=j<1<7950;2x 7bf28=97E5m4$044>agf3-;>h7?<6:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb810`?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~f0dc290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:?;5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi9o?50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;7e?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c7a0?6=?3:1ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zj6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4:f:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb814513`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yg3>=3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi94850;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;33`>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zj<326=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4>2c9j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76sm58394?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?77l2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xd21:0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o68h4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vn87;:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e826g=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a25g=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3>?7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76sm61g94?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?143`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yg07n3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~f365290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0?86g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:568m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=n9=k1<7*=eb8:=>h5mh0>76a=1683>!4bk38:i65rb725>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<0;2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xd18>0;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6;>4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg3>l3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi9l>50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;67?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c7b5?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{e=h81<7850;2x 7bf28=:7E5m4$044>agf3-;>h78?;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd2mh0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o65;4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vn8km:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8262=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a06?=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=8n7>57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76sm42f94?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?0f3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yg24n3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi89?50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;4b?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c677?6=?3:1h1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{e<=?1<7950;2x 7bf28=97E5m4$044>agf3-;>h78n;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qo;?a;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f90f=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a15d=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3>0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zj<:h6=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4>169j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76sm51f94?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?75>2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xd28l0;694?:1y'6ag=9?l0D?k:;I0g`>"5mj094n5+1759`dg<,8?o65=4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{e=9l1<7950;2x 7bf28=97E5m4$044>agf3-;>h7;<;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qo;>1;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f956>?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~f073290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:?>5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi9<;50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;32f>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zj<;<6=4;:183!4ci3;=j6F=e49K6ab<,;=i6>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zj=n:6=4::183!4ci3;<<6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4>299j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65`20594?"5mj09=h54}c6g4?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{e;o=1<7<50;2x 7bf2h:0D?k:;I0g`>"5mj0jh6*>668ged=]:m<1=v=i6;l641=83.9in4=1d98m424290/>hm51428?xd4n80;6?4?:1y'6ag=i91C>h;4H3fg?!4bk3ko7)?97;fbe>i59>0;6)=n9=91<7*=eb8215=52;294~"5lh0j<6F=e49K6ab<,;oh6lj4$044>agf3S8o:7?t3g19yj46?3:1(?kl:33f?>o6<:0;6)=zj:l96=4=:183!4ci3k;7E5$3g`>43732wi?o950;094?6|,;nj6<:m;I0f1>N5lm1/>hm59g9K535<,8<>6<;?;%353?bfi2c:8>4?:%0fg?72821d><950;&1af<59l10qo=m6;296?6=8r.9hl4>4c9K6`3<@;no7)519'531=lhk0e<:<:18'6`e=9<:07b<>7;29 7cd2;;n76sm3c794?4=83:p(?jn:06a?M4b=2B9hi5+2da9=c=O9?90(<8::073?!71?3njm6g>4283>!4bk3;><65`20594?"5mj09=h54}c1a0?6=:3:1648215=#9?=1hlo4i060>5<#:li1=8>4;n023?6=,;oh6??j;:a7f6=8381<7>t$3fb>42e3A8n96F=de9'6`e=1o1C=;=4$046>4373-;=;7jna:k206<72-8no7?:0:9l641=83.9in4=1d98yg5en3:1>7>50z&1`d<6h;4H3fg?!4bk33m7E?93:&220<6=91/=;95d`c8m424290/>hm51428?j46?3:1(?kl:33f?>{e;ko1<7<50;2x 7bf28>i7Eo6<:0;6)=h:8=1<7*=eb815`=52;294~"5lh0:8o5G2d78L7bc3-8no77i;I357>"6><0:9=5+1759`dg86=4+2da9506<3f8:;7>5$3g`>77b32wi?n750;094?6|,;nj6<:m;I0f1>N5lm1/>hm59g9K535<,8<>6<;?;%353?bfi2c:8>4?:%0fg?72821d><950;&1af<59l10qo=l8;296?6=8r.9hl4>4c9K6`3<@;no7)519'531=lhk0e<:<:18'6`e=9<:07b<>7;29 7cd2;;n76sm3b594?4=83:p(?jn:06a?M4b=2B9hi5+2da9=c=O9?90(<8::073?!71?3njm6g>4283>!4bk3;><65`20594?"5mj09=h54}c1`2?6=:3:1648215=#9?=1hlo4i060>5<#:li1=8>4;n023?6=,;oh6??j;:a7a4=8381<7>t$3fb>42e3A8n96F=de9'6`e=1o1C=;=4$046>4373-;=;7jna:k206<72-8no7?:0:9l641=83.9in4=1d98yg5c93:1>7>50z&1`d<6h;4H3fg?!4bk33m7E?93:&220<6=91/=;95d`c8m424290/>hm51428?j46?3:1(?kl:33f?>{e;m:1<7<50;2x 7bf28>i7Eo6<:0;6)=h:8=1<7*=eb815`=52;294~"5lh0:8o5G2d78L7bc3-8no77i;I357>"6><0:9=5+1759`dg86=4+2da9506<3f8:;7>5$3g`>77b32wi?il50;094?6|,;nj6<:m;I0f1>N5lm1/>hm59g9K535<,8<>6<;?;%353?bfi2c:8>4?:%0fg?72821d><950;&1af<59l10qo=ka;296?6=8r.9hl4>4c9K6`3<@;no7)519'531=lhk0e<:<:18'6`e=9<:07b<>7;29 7cd2;;n76sm3e;94?4=83:p(?jn:06a?M4b=2B9hi5+2da9=c=O9?90(<8::073?!71?3njm6g>4283>!4bk3;><65`20594?"5mj09=h54}c1g648215=#9?=1hlo4i060>5<#:li1=8>4;n023?6=,;oh6??j;:a122=8381<7>t$3fb>42e3A8n96F=de9'6`e=1o1C=;=4$046>4373-;=;7jna:k206<72-8no7?:0:9l641=83.9in4=1d98ygb0=3:1>7>50z&1`d<6h;4H3fg?!4bk33m7E?93:&220<6=91/=;95d`c8m424290/>hm51428?j46?3:1(?kl:33f?>{e;mn1<7<50;2x 7bf28>i7Eo6<:0;6)=h:8=1<7*=eb815`=52;294~"5lh0:8o5G2d78L7bc3-8no77i;I357>"6><0:9=5+1759`dg86=4+2da9506<3f8:;7>5$3g`>77b32wi?h?50;094?6|,;nj6<:m;I0f1>N5lm1/>hm59g9K535<,8<>6<;?;%353?bfi2c:8>4?:%0fg?72821d><950;&1af<59l10qo=j3;296?6=8r.9hl4>4c9K6`3<@;no7)519'531=lhk0e<:<:18'6`e=9<:07b<>7;29 7cd2;;n76sm3d794?4=83:p(?jn:06a?M4b=2B9hi5+2da9=c=O9?90(<8::073?!71?3njm6g>4283>!4bk3;><65`20594?"5mj09=h54}c343?6=:3:1648215=#9?=1hlo4i060>5<#:li1=8>4;n023?6=,;oh6??j;:a6d3=8381<7>t$3fb>42e3A8n96F=de9'6`e=1o1C=;=4$046>4373-;=;7jna:k206<72-8no7?:0:9l641=83.9in4=1d98ygec29096=4?{%0ge?73j2B9i85G2ef8 7cd20l0D<8<;%351?7282.:::4ka`9j515=83.9in4>5198k770290/>hm520g8?xda93:187<54z&1`d<6>;1C>h;4H3fg?!71?3njm6g>4283>>o5kk0;66a=1683>>-6>80;66l>4c83>7<729q/>io515`8 7cd20l0D<8<;%351?7282.:::4ka`9j515=83.9in4>5198k770290/>hm520g8?xd5900;6>4?:1y'6ag=:830(?kl:3f3?M71;2.::84=1d9'531=lhk0e?mm:18'6`e=kl1C>hl4;h377?6=,;oh6<;?;:m152<72A8o=6*=eb815`=52z\1gg=::831>nl4}r377?6=:rT:8>5220;951552z\152=:9=h1><94}r355?6=;rT::<5215`9515<5;;26??8;|ab5<72=0969u+2ec9534<@;o>7E<950;9(537=831i=9l50;094?6|,;nj6<:m;%0fg??a3A;=?6*>648215=#9?=1hlo4i060>5<#:li1=8>4;n023?6=,;oh6??j;:a64?=8391<7>t$3fb>77>3-8no7agf3`8hn7>5$3g`>fc<@;oi76g>4283>!4bk3;><65`20594?N5l81/>hm520g8?xu5kk0;6?uQ2b`8977>2;ii7p}>4283>7}Y9=901??6:060?xu59>0;6?uQ2058942e2;;<7p}>6083>6}Y9?;01<:m:060?846138:;6srbdd94?2=:3>p(?jn:041?M4b=2B9hi5+1759`dg86=44i3aa>5<5<i6=4=:183!4ci3;?n6*=eb8:b>N6>:1/=;;51428 4002mkj7d?;3;29 7cd28?;76a=1683>!4bk38:i65rb33:>5<4290;w)"5mj09h=5G1718 4022;;n7)?97;fbe>o5kk0;6)1vqokj:187>7<3s-8om7?92:J1a0=O:mn0(<88:ecb?l73;3:17d7>50z&1`d<6hm59g9K535<,8<>6<;?;%353?bfi2c:8>4?:%0fg?72821d><950;&1af<59l10qo<>9;297?6=8r.9hl4=189'6`e=:m:0D<8<;%351?46m2.:::4ka`9j6fd=83.9in4le:J1ag=86=4+2da9506<3f8:;7>5H3f2?!4bk38:i65rs3aa>5<5sW8hn63=1881gg=z{8>86=4={_377>;5900:8>5rs334>5<5sW8:;63>4c8152=z{8<:6=4<{_355>;65220;964154;090~"5lh0::?5G2d78L7bc3-;=;7jna:k206<722c9oo4?::m152<722!::<4?::`20g<72;0;6=u+2ec951d<,;oh64h4H040?!71=3;><6*>668ged=n9=91<7*=eb8215=5}#:mk1><74$3g`>7b73A;=?6*>64815`=#9?=1hlo4i3aa>5<#:li1oh5G2d`8?l73;3:1(?kl:073?>i59>0;6E<752b`8yv73;3:1>vP>429>64?=9=90q~<>7;296~X59>16=9l52058yv7193:1?vP>609>51d=9=901??6:334?x{emj0;694=:5y'6ag=9?80D?k:;I0g`>"6>>0oml5f15194?=n:jh1<75`20594?=,9?;1<75m15`94?4=83:p(?jn:06a?!4bk33m7E?93:&220<6=91/=;95d`c8m424290/>hm51428?j46?3:1(?kl:33f?>{e:831<7=50;2x 7bf2;;27)N6>:1/=;;520g8 4002mkj7d4243ty9=:4?:3y]641<58>i6??8;|q224<72:qU=;?4=06a>424348:57<>7:~fcg=83>1>7:t$3fb>4053A8n96F=de9'531=lhk0e<:<:188m7ee2900c??8:188/4062900n<:m:181>5<7s-8om7?;b:&1af<>n2B::>5+1779506<,8<<6ion;h377?6=,;oh6<;?;:m152<72-8no7<>e:9~f77>29086=4?{%0ge?4612.9in4=d19K535<,8<>6??j;%353?bfi2c9oo4?:%0fg?eb3A8nn65f15194?"5mj0:9=54o334>5{t:jh1<7870<>9;377>{t:8=1<7;59009=:5r}cd:>5<32;0?w)N5m<1C>ij4$044>agf3`;??7>5;h0`f?6=3f8:;7>5;*355?6=3k;?n7>52;294~"5lh0:8o5+2da9=c=O9?90(<8::073?!71?3njm6g>4283>!4bk3;><65`20594?"5mj09=h54}c02=?6=;3:1i>4H040?!71=38:i6*>668ged=n:jh1<7*=eb8`a>N5mk10e<:<:18'6`e=9<:07b<>7;29L7b63-8no7<>e:9~w7ee2909wS429~w7702909wS<>7:?20g<59>1v<8>:180[71927:8o4>429>64?=:8=0qpli8;290?4=639K6`3<@;no7)?97;fbe>o6<:0;66g=cc83>>i59>0;66%>6083>>d66<729q/>io520;8 7cd2;n;7E?93:&220<59l1/=;95d`c8m7ee290/>hm5cd9K6`d<3`;??7>5$3g`>43732e9=:4?:I0g5>"5mj09=h54}r0`f?6=:rT9oo5220;96fd52z\206=::831=9=4}r023?6=:rT9=:5215`964153z\224=:9=h1=9=4=33:>7703twij:4?:581>1}#:mk1=;<4H3g6?M4cl2.:::4ka`9j515=831b>nl50;9l641=831 =;?50;9a51d=8381<7>t$3fb>42e3-8no77i;I357>"6><0:9=5+1759`dg86=4+2da9506<3f8:;7>5$3g`>77b32wi><750;194?6|,;nj6??6;%0fg?4c82B::>5+177964c<,8<<6ion;h0`f?6=,;oh6nk4H3ga?>o6<:0;6)=h:8=1<7F=d09'6`e=:8o07p}=cc83>7}Y:jh01??6:3aa?xu6<:0;6?uQ1518977>28>87p}=1683>7}Y:8=01<:m:334?xu6>80;6>uQ1738942e28>870<>9;023>{zjo<1<7:52;6x 7bf28<97E5<5<<#8<:6=44b06a>5<5290;w)"5mj02j6F>629'533=9<:0(<88:ecb?l73;3:1(?kl:073?>i59>0;6)=zj;;26=4<:183!4ci38:56*=eb81`5=O9?90(<8::33f?!71?3njm6g=cc83>!4bk3in7E5198k770290C>i?4$3g`>77b32wx>nl50;0xZ7ee348:57<950;0xZ77034;?n7<>7:p537=839pR<8>;<37f?73;279=44=169~yg`2290?6?4;{%0ge?71:2B9i85G2ef8 4002mkj7d?;3;29?l4dj3:17b<>7;29?.7193:17o?;b;296?6=8r.9hl4>4c9'6`e=1o1C=;=4$046>4373-;=;7jna:k206<72-8no7?:0:9l641=83.9in4=1d98yg4613:1?7>50z&1`d<5901/>hm52e28L4043-;=97<>e:&222nl50;&1af5<#:li1=8>4;n023?6=@;n:7)=z{;ii6=4={_0`f>;59009oo5rs060>5<5sW;??63=188206=z{;;<6=4={_023>;65<4sW;==63>4c8206=::831><94}|`e0?6=<3818v*=d`8227=O:l?0D?jk;%353?bfi2c:8>4?::k1gg<722e9=:4?::)224<722h:8o4?:383>5}#:mk1=9l4$3g`><`<@8<87)?95;364>"6>>0oml5f15194?"5mj0:9=54o334>5<#:li1>"6><09=h5+1759`dgM4c92.9in4=1d98yv4dj3:1>vP=cc9>64?=:jh0q~?;3;296~X6<:16><751518yv46?3:1>vP=169>51d=:8=0q~?91;297~X6>816=9l51518977>2;;<7psmf283>1<52=q/>io51708L7c23A8oh6*>668ged=n9=91<75f2b`94?=h:8=1<75$17394?=e9=h1<7<50;2x 7bf28>i7)519'531=lhk0e<:<:18'6`e=9<:07b<>7;29 7cd2;;n76sm20;94?5=83:p(?jn:33:?!4bk38o<6F>629'533=:8o0(<88:ecb?l4dj3:1(?kl:bg8L7ce32c:8>4?:%0fg?72821d><950;J1`4=#:li1>nl4=33:>7ee3ty:8>4?:3y]515<5;;26<:<;|q152<72;qU><94=06a>7703ty::<4?:2y]537<58>i6<:<;<02=?46?2wvnk<50;696?2|,;nj6<8=;I0f1>N5lm1/=;95d`c8m4242900e?mm:188k7702900'<8>:188f42e29096=4?{%0ge?73j2.9in46f:J226=#9??1=8>4$044>agf3`;??7>5$3g`>43732e9=:4?:%0fg?46m21vn??6:180>5<7s-8om7<>9:&1af<5l91C=;=4$046>77b3-;=;7jna:k1gg<72-8no7mj;I0ff>=n9=91<7*=eb8215=9;0`f>{t9=91<7{t9?;1<7=t^042?873j3;??63=188152=zukoi6=4;:387!4ci3;=>6F=e49K6ab<,8<<6ion;h377?6=3`8hn7>5;n023?6=3";==7>5;c37f?6=:3:1o6<:0;6)=h:8=1<7*=eb815`=53;294~"5lh09=45+2da96a6<@8<87)?95;02a>"6>>0oml5f2b`94?"5mj0hi6F=ec98m424290/>hm51428?j46?3:1D?j>;%0fg?46m21v?mm:181[4dj279=44=cc9~w4242909wS?;3:?15<<6<:1v??8:181[46?27:8o4=169~w4062908wS?91:?20g<6<:16><752058yxdc1l0;694=:5y'6ag=9?80D?k:;I0g`>"6>>0oml5f15194?=n:jh1<75`20594?=,9?;1<75m15`94?4=83:p(?jn:06a?!4bk33m7E?93:&220<6=91/=;95d`c8m424290/>hm51428?j46?3:1(?kl:33f?>{e:831<7=50;2x 7bf2;;27)N6>:1/=;;520g8 4002mkj7d4243ty9=:4?:3y]641<58>i6??8;|q224<72:qU=;?4=06a>424348:57<>7:~fag7290?6?4;{%0ge?71:2B9i85G2ef8 4002mkj7d?;3;29?l4dj3:17b<>7;29?.7193:17o?;b;296?6=8r.9hl4>4c9'6`e=1o1C=;=4$046>4373-;=;7jna:k206<72-8no7?:0:9l641=83.9in4=1d98yg4613:1?7>50z&1`d<5901/>hm52e28L4043-;=97<>e:&222nl50;&1af5<#:li1=8>4;n023?6=@;n:7)=z{;ii6=4={_0`f>;59009oo5rs060>5<5sW;??63=188206=z{;;<6=4={_023>;65<4sW;==63>4c8206=::831><94}|`0e7<72;0;6=u+2ec964><@;o>7En2B::>5+177964c<,8<<6ion;h377?6=,;oh6<;?;:m152<72-8no7<>e:9~f6g629096=4?{%0ge?4602B9i85G2ef8 7cd20l0D<8<;%351?46m2.:::4ka`9j515=83.9in4>5198k770290/>hm520g8?xd4i90;6?4?:1y'6ag=:820D?k:;I0g`>"5mj02j6F>629'533=:8o0(<88:ecb?l73;3:1(?kl:073?>i59>0;6)=zj:3m6=4=:183!4ci38:46F=e49K6ab<,;oh64h4H040?!71=38:i6*>668ged=n9=91<7*=eb8215=5}#:mk1><64H3g6?M4cl2.9in46f:J226=#9??1>agf3`;??7>5$3g`>43732e9=:4?:%0fg?46m21vn>7k:181>5<7s-8om7<>8:J1a0=O:mn0(?kl:8d8L4043-;=97<>e:&2227<729q/>io520:8L7c23A8oh6*=eb8:b>N6>:1/=;;520g8 4002mkj7d?;3;29 7cd28?;76a=1683>!4bk38:i65rb2;a>5<5290;w)N5m<1C>ij4$3g`><`<@8<87)?95;02a>"6>>0oml5f15194?"5mj0:9=54o334>5<#:li1><@;o>7En2B::>5+177964c<,8<<6ion;h377?6=,;oh6<;?;:m152<72-8no7<>e:9~f6ge29096=4?{%0ge?4602B9i85G2ef8 7cd20l0D<8<;%351?46m2.:::4ka`9j515=83.9in4>5198k770290/>hm520g8?xd4ih0;6?4?:1y'6ag=:820D?k:;I0g`>"5mj02j6F>629'533=:8o0(<88:ecb?l73;3:1(?kl:073?>i59>0;6)=zj:k26=4=:183!4ci38:46F=e49K6ab<,;oh64h4H040?!71=38:i6*>668ged=n9=91<7*=eb8215=5}#:mk1><64H3g6?M4cl2.9in46f:J226=#9??1>agf3`;??7>5$3g`>43732e9=:4?:%0fg?46m21vn>o8:181>5<7s-8om7<>8:J1a0=O:mn0(?kl:8d8L4043-;=97<>e:&2227<729q/>io520:8L7c23A8oh6*=eb8:b>N6>:1/=;;520g8 4002mkj7d?;3;29 7cd28?;76a=1683>!4bk38:i65rb2c6>5<5290;w)N5m<1C>ij4$3g`><`<@8<87)?95;02a>"6>>0oml5f15194?"5mj0:9=54o334>5<#:li1><@;o>7En2B::>5+177964c<,8<<6ion;h377?6=,;oh6<;?;:m152<72-8no7<>e:9~f6g429096=4?{%0ge?4602B9i85G2ef8 7cd20l0D<8<;%351?46m2.:::4ka`9j515=83.9in4>5198k770290/>hm520g8?xd4100;6?4?:1y'6ag=:820D?k:;I0g`>"5mj02j6F>629'533=:8o0(<88:ecb?l73;3:1(?kl:073?>i59>0;6)=zjmk26=4=:183!4ci38:46F=e49K6ab<,;oh64h4H040?!71=38:i6*>668ged=n9=91<7*=eb8215=5}#:mk1><64H3g6?M4cl2.9in46f:J226=#9??1>agf3`;??7>5$3g`>43732e9=:4?:%0fg?46m21vn89=:181>5<7s-8om7<>8:J1a0=O:mn0(?kl:8d8L4043-;=97<>e:&2227<729q/>io520:8L7c23A8oh6*=eb8:b>N6>:1/=;;520g8 4002mkj7d?;3;29 7cd28?;76a=1683>!4bk38:i65rb056>5<5290;w)N5m<1C>ij4$3g`><`<@8<87)?95;02a>"6>>0oml5f15194?"5mj0:9=54o334>5<#:li1><@;o>7En2B::>5+177964c<,8<<6ion;h377?6=,;oh6<;?;:m152<72-8no7<>e:9~f161290=6=4?{%0ge?76n2B9i85G2ef8 43c2;1/>hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07don:18'6`e=ih10ei850;&1af<6=910c?68:18'6`e=:8o07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm41594?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?75k2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm49a94?0=83:p(?jn:03f?M4b=2B9hi5+14f975=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32wi88>50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd3"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c3;12d9il4?;:m152<72-8no7<>e:9j513=83.9in469:l1ad<63g8hh7>4;|`27Eo0e<:;:18'6`e=101e>ho50:9l641=83.9in4=1d98m422290/>hm5989m6`g=92d9oi4?;:a5=e=8391<7>t$3fb>40c3A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=n7d?;4;29 7cd2030b?kn:198k770290/>hm520g8?l73=3:1(?kl:8;8j7cf281e>nj50:9~f4>b29086=4?{%0ge?71l2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m04583>!4bk3327co6<<0;6)83:1?7>50z&1`d<6>m1C>h;4H3fg?!4bk383o6*>668ged=#9i59>0;6)=n9=?1<7*=eb8:=>h5mh0:7c4?:1y'6ag=9?n0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6:k4i067>5<#:li1545a2dc94>=h:8=1<7*=eb815`=>6=4+2da9=<=i:lk1=6`=ce83?>{e90>1<7=50;2x 7bf285m4$044>agf3-;>h79j;h370?6=,;oh6474n3gb>5=5$3g`>=zj83=6=4<:183!4ci3;=h6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i48e:k201<72-8no776;o0fe?6<3f8:;7>5$3g`>77b32c:884?:%0fg??>3g8nm7?4n3ag>5=53;294~"5lh0::i5G2d78L7bc3-8no7<7c:&22212d9il4>;o0``?6<3th:;h4?:283>5}#:mk1=;j4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:6g8m423290/>hm5989m6`g=821d><950;&1af<59l10e<:::18'6`e=101e>ho51:l1ga<732wi=5>50;194?6|,;nj6<8k;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;5f?l73<3:1(?kl:8;8j7cf2910c??8:18'6`e=:8o07d?;5;29 7cd2030b?kn:09m6fb=821vn<6=:180>5<7s-8om7?9d:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e84a>o6<=0;6)7;29 7cd2;;n76g>4483>!4bk3327c6e9K6`3<@;no7)"6>>0oml5+14f93`=n9=>1<7*=eb8:=>h5mh0;76a=1683>!4bk38:i65f15794?"5mj0256`=e`82?k4dl3:07pl>8783>6<729q/>io517f8L7c23A8oh6*=eb812c?6=4+2da9=<=i:lk1<65`20594?"5mj09=h54i066>5<#:li1545a2dc95>h5km0;76smac694?2=83:p(?jn:04e?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?453`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976a=1683>!4bk38:i65rb`67>5<4290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<0m2c:894?:%0fg??>3g8nm7>4;n023?6=,;oh6??j;:k200<72-8no776;o0fe?712d9il4?;:m152<72-8no7<>e:9j513=83.9in469:l1ad<63g8hh7>4;|`b11<72:0;6=u+2ec953b<@;o>7Eo0e<:;:18'6`e=101e>ho50:9l641=83.9in4=1d98m422290/>hm5989m6`g=92d9oi4?;:ae04=8391<7>t$3fb>40c3A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=n7d?;4;29 7cd2030b?kn:198k770290/>hm520g8?l73=3:1(?kl:8;8j7cf281e>nj50:9~fd3729086=4?{%0ge?71l2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m04583>!4bk3327co6<<0;6)50z&1`d<6>m1C>h;4H3fg?!4bk383o6*>668ged=#9i59>0;6)=n9=?1<7*=eb8:=>h5mh0:7c4?:1y'6ag=9?n0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6:k4i067>5<#:li1545a2dc94>=h:8=1<7*=eb815`=>6=4+2da9=<=i:lk1=6`=ce83?>{ei=k1<7=50;2x 7bf285m4$044>agf3-;>h79j;h370?6=,;oh6474n3gb>5=5$3g`>=zjh>36=4<:183!4ci3;=h6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i48e:k201<72-8no776;o0fe?6<3f8:;7>5$3g`>77b32c:884?:%0fg??>3g8nm7?4n3ag>5=53;294~"5lh0::i5G2d78L7bc3-8no7<7c:&22212d9il4>;o0``?6<3th?mh4?:283>5}#:mk1=;j4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:6g8m423290/>hm5989m6`g=821d><950;&1af<59l10e<:::18'6`e=101e>ho51:l1ga<732wi8n>50;194?6|,;nj6<8k;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;5f?l73<3:1(?kl:8;8j7cf2910c??8:18'6`e=:8o07d?;5;29 7cd2030b?kn:09m6fb=821vn9lj:180>5<7s-8om7?9d:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e84a>o6<=0;6)7;29 7cd2;;n76g>4483>!4bk3327c6e9K6`3<@;no7)"6>>0oml5+14f93`=n9=>1<7*=eb8:=>h5mh0;76a=1683>!4bk38:i65f15794?"5mj0256`=e`82?k4dl3:07pl;b`83>6<729q/>io517f8L7c23A8oh6*=eb812c?6=4+2da9=<=i:lk1<65`20594?"5mj09=h54i066>5<#:li1545a2dc95>h5km0;76sm4c:94?5=83:p(?jn:04g?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?1b3`;?87>5$3g`>5<#:li1>4=i:jn1<65rb5`5>5<4290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<0m2c:894?:%0fg??>3g8nm7>4;n023?6=,;oh6??j;:k200<72-8no776;o0fe?712d9il4?;:m152<72-8no7<>e:9j513=83.9in469:l1ad<63g8hh7>4;|`7f7<72:0;6=u+2ec953b<@;o>7Eo0e<:;:18'6`e=101e>ho50:9l641=83.9in4=1d98m422290/>hm5989m6`g=92d9oi4?;:a0g6=8391<7>t$3fb>40c3A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=n7d?;4;29 7cd2030b?kn:198k770290/>hm520g8?l73=3:1(?kl:8;8j7cf281e>nj50:9~f4g529086=4?{%0ge?71l2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m04583>!4bk3327co6<<0;6)50z&1`d<6>m1C>h;4H3fg?!4bk383o6*>668ged=#9i59>0;6)=n9=?1<7*=eb8:=>h5mh0:7c4?:1y'6ag=9?n0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6:k4i067>5<#:li1545a2dc94>=h:8=1<7*=eb815`=>6=4+2da9=<=i:lk1=6`=ce83?>{e=>=1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`767<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qo:=0;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zj=:36=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl98c83>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==o7>56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm72g94?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th<:=4?:783>5}#:mk1==83.9in4=8e98yg1493:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rb61`>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32wi4N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd?;:0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c:30?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn5>i:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e0l>1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`:43<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qo6k7;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zj1o96=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl6d883>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smbg794?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th?854?:783>5}#:mk1==83.9in4=8e98yg23i3:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rb532>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32wi:hh50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd0k;0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c::1?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn487:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{ei:h1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`642<72?0;6=u+2ec9527<@;o>7E0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c615?6==3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54o334>5<#:li1>7Ehm529a8 4002mkj7)?:d;6f?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;n023?6=,;oh6??j;:af4d=83?1<7>t$3fb>4173A8n96F=de9'6`e=:1i0(<88:ecb?!72l3?j7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3f8:;7>5$3g`>77b32wioN5lm1/>hm529a8 4002mkj7)?:d;32=>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7E1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek9l1<7950;2x 7bf28=97E5m4$044>agf3-;>h7om;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qo:709K6`3<@;no7)"6>>0oml5+14f9=0=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn9=l:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8:1>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi8>k50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;;6?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:878m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=?>7>56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj=>?6=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i465:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e<=<1<7850;2x 7bf28=:7Eh1??j4$3g`>7>d3-;=;7jna:&21a<>=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm50294?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?76:2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sma9594?5=83:p(?jn:04f?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?173`;?87>5$3g`>5<#:li1545a2dc95>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:00:?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c`b1?6=<3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Ehm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:00:?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c`b`?6=<3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Ehm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:00:?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c`37?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek131<7950;2x 7bf28=97E5m4$044>agf3-;>h774i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vnn7;:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8:?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}ca:b?6=?3:13g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xddih0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o645f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wioo;50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;;8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=n9=k1<7*=eb8:=>h5mh0>76a=1683>!4bk38:i65rbb17>5<2290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<3=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjj9:6=4::183!4ci3;<<6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;5:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876a=1683>!4bk38:i65rbb11>5<2290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<3=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjj986=4::183!4ci3;<<6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;5:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876a=1683>!4bk38:i65rbb16>5<2290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<3=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjj9=6=4::183!4ci3;<<6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;5:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876a=1683>!4bk38:i65rbb14>5<2290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<3=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjj936=4::183!4ci3;<<6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;5:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876a=1683>!4bk38:i65rbb1:>5<2290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<3=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjj9j6=4::183!4ci3;<<6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;5:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876a=1683>!4bk38:i65rbb1a>5<2290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<3=2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjj9h6=4::183!4ci3;<<6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;5:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876a=1683>!4bk38:i65rbb32>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<6:o1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{el?h1<7950;2x 7bf28=97E5m4$044>agf3-;>h7?m;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qoj92;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f950=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a`10=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3;i7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76smd3394?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?7e3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98ygb603:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wiokm50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;3a?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}cae7?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ekm=1<7950;2x 7bf28=97E5m4$044>agf3-;>h7?m;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qomle;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f950=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:ae=g=8391<7>t$3fb>40b3A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=;7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921d><950;&1af<59l10qoo7b;297?6=8r.9hl4>6d9K6`3<@;no7)"6>>0oml5+14f935=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c{ei1i1<7=50;2x 7bf285m4$044>agf3-;>h79?;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65`20594?"5mj09=h54}cc;`?6=;3:112d9il4?;:k200<72-8no776;o0fe?7<3f8:;7>5$3g`>77b32wim5k50;194?6|,;nj6<8j;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;53?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9l641=83.9in4=1d98ygg?n3:1?7>50z&1`d<6>l1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)7;29 7cd2;;n76sma8294?5=83:p(?jn:04f?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?173`;?87>5$3g`>5<#:li1545a2dc95>=h:8=1<7*=eb815`=53;294~"5lh0::h5G2d78L7bc3-8no7<7c:&2223g8nm7?4;n023?6=,;oh6??j;:ae<4=8391<7>t$3fb>40b3A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=;7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921d><950;&1af<59l10qoo78;297?6=8r.9hl4>6d9K6`3<@;no7)"6>>0oml5+14f935=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c{e:1<1<7=50;2x 7bf285m4$044>agf3-;>h79?;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65`20594?"5mj09=h54}c74=?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek><1<7:50;2x 7bf28h1?:84$3g`>7>d3-;=;7jna:&21a<0j2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c`35?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek>=1<7850;2x 7bf28=:7E5m4$044>agf3-;>h7?<2:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{ej981<7950;2x 7bf28=97E5m4$044>agf3-;>h78:;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qom90;292?6=8r.9hl4>709K6`3<@;no7)<8b;157>"5mj094n5+1759`dg<,8?o6:j4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yge193:197>50z&1`d<6?91C>h;4H3fg?!40j39=?6*=eb812e?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xdc=m0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o65<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vnn;7:185>5<7s-8om7?81:J1a0=O:mn0(?9m:27;?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~ff3>290>6=4?{%0ge?7082B9i85G2ef8 71e2:?37)"6>>0oml5+14f93f=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9l641=83.9in4=1d98ygb403:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wio8>50;494?6|,;nj6<9>;I0f1>N5lm1/>:l535f8 7cd2;2h7)?97;fbe>"6=m04583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:ag07=83?1<7>t$3fb>4173A8n96F=de9'62d=;=n0(?kl:3:`?!71?3njm6*>5e84g>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~fa74290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:h6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=5}#:mk1=:?4H3g6?M4cl2.9;o4<439'6`e=:1i0(<88:ecb?!72l3=o7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;5`?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;n023?6=,;oh6??j;:ag`c=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3;o7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8n0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}ca75?6==3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54o334>5<#:li1>0;6=u+2ec9524<@;o>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zjh226=4<:183!4ci3;=i6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i480:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1>7Eho50:9j513=83.9in469:l1ad<632e9=:4?:%0fg?46m21vno>k:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e85b>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>7Ehm529a8 4002mkj7)?:d;71?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=4?:583>5}#:mk1=:o4H3g6?M4cl2.9in4>799K6=g<@8?h7)?97;fbe>o6<=0;6)=n9=?1<7*=eb821d=5$3g`>77b32wio::50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;311>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>7Eh0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:ag34=83>1<7>t$3fb>41f3A8n96F=de9'6`e=9>20D?6n;I36g>"6>>0oml5f15694?"5mj0:9454i066>5<#:li1=8o4;h0b6?6=,;oh6?o9;:m152<72-8no7<>e:9~ff04290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0?m6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:`;8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=n9=k1<7*=eb8:=>h5mh0>76a=1683>!4bk38:i65rbb7b>5<3290;w)N5m<1C>ij4$3g`>41?3A83m6F>5b9'531=lhk0e<:;:18'6`e=9<307d?;5;29 7cd28?j76g=a383>!4bk38j:65`20594?"5mj09=h54}ca6f?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek5m4$044>agf3-;>h7o6;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qom:2;290?6=8r.9hl4>7`9K6`3<@;no7)N50h1C=8m4$044>agf3`;?87>5$3g`>43>32c:884?:%0fg?72i21b>l<50;&1af<5i?10c??8:18'6`e=:8o07pll5283>2<729q/>io51608L7c23A8oh6*=eb811g?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~ff33290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0j56g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=5}#:mk1=:o4H3g6?M4cl2.9in4>799K6=g<@8?h7)?97;fbe>o6<=0;6)=n9=?1<7*=eb821d=5$3g`>77b32wio9l50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;6b?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}ca7g?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek=81<7:50;2x 7bf28=j7E5898m422290/>hm514c8?l4f:3:1(?kl:3c5?>i59>0;6)=zjj>86=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4=f:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb8147?3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yg0a83:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi;n=50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;:4?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c::2?6=?3:11b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{e1?31<7950;2x 7bf28=97E5m4$044>agf3-;>h768;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qoo739K6`3<@;no7)"6>>0oml5+14f9<2=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a01?=83>1<7>t$3fb>40a3A8n96F=de9'62d=;:h0(?kl:3:`?!71?3njm6*>5e81=>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qo:;b;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;10f>"5mj094n5+1759`dg<,8?o6?74i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{elh1??k4$3g`>7>d3-;=;7jna:&21a<1m2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjm?26=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4:c:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c0<729q/>io51628L7c23A8oh6*=7c806c=#:li1>5m4$044>agf3-;>h78j;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)7;29 7cd2;;n76smd2694?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?3d3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98ygb693:197>50z&1`d<6?91C>h;4H3fg?!40j398<6*=eb813c?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xdc8o0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o68m4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vnnkl:186>5<7s-8om7?80:J1a0=O:mn0(?9m:212?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21d><950;&1af<59l10qomja;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f91f=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:agf1=83?1<7>t$3fb>4173A8n96F=de9'62d=;:80(?kl:3:`?!71?3njm6*>5e85a>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~ffe2290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0>o6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:678m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=;57>54;294~"5lh0::k5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:968m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=n9=k1<7*=eb8:=>h5mh0>76a=1683>!4bk38:i65rb`d0>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xdfn;0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o65:4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vnlh>:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8;0>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7E0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zjhom6=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i474:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb81=2?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~f0cc290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0o56g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:a1c5=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3997d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76smcc094?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?133`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98ygee93:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wiol950;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;57?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}cab2?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek0i1<7950;2x 7bf28=97E5m4$044>agf3-;>h79;;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qom6b;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f907=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:ag<7=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=?7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76smc9494?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?133`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yge?=3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wioo>50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;54?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}cabb?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ekh?1<7950;2x 7bf28=97E5m4$044>agf3-;>h798;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qomn4;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f907=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:agt$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=<7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76smc9d94?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?103`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yge?m3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wio5:50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;54?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}ca;7?6=?3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek1:1<7;50;2x 7bf28=;7Eh1?><4$3g`>7>d3-;=;7jna:&21a<6k2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zjj=m6=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4=d:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c0<729q/>io51628L7c23A8oh6*=7c8074=#:li1>5m4$044>agf3-;>h7?l;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)7;29 7cd2;;n76smc9c94?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?4c3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98yge>>3:197>50z&1`d<6?91C>h;4H3fg?!40j398<6*=eb814e?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xdd1<0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6?j4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vnno>:186>5<7s-8om7?80:J1a0=O:mn0(?9m:20e?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21d><950;&1af<59l10qomn0;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f96a=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:agde=83?1<7>t$3fb>4173A8n96F=de9'62d=;;o0(?kl:3:`?!71?3njm6*>5e82g>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~ffge290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m09h6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:678m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj1;96=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i485:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e0l?1<7850;2x 7bf28=:7E5m4$044>agf3-;>h79:;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd>j10;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6:;4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg31=3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi9;:50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;301>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zj<:?6=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4;d:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb8167?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~f13629086=4?{%0ge?71m2B9i85G2ef8 71e2:;m7)"6>>0oml5+14f9`3=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c{e<=l1<7=50;2x 7bf28h1?7>d3-;=;7jna:&21a2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:`9j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76sm64f94?3=83:p(?jn:053?M4b=2B9hi5+26`9771<,;oh6?6l;%353?bfi2.:9i4;1:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876a=1683>!4bk38:i65rb74;>5<2290;w)N5m<1C>ij4$35a>6403-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65`20594?"5mj09=h54}c555?6=?3:13g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xd0;;0;684?:1y'6ag=9>:0D?k:;I0g`>"5?k08>;5+2da96=e<,8<<6ion;%36`?263`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c{e?:n1<7;50;2x 7bf28=;7Eh1??84$3g`>7>d3-;=;7jna:&21a<392c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>i59>0;6)=zj19?6=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4n;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qo6?5;291?6=8r.9hl4>719K6`3<@;no7)<8b;114>"5mj094n5+1759`dg<,8?o69?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c0<729q/>io51628L7c23A8oh6*=7c8065=#:li1>5m4$044>agf3-;>h7:>;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)7;29 7cd2;;n76sm91594?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?g?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~f=b?290>6=4?{%0ge?7082B9i85G2ef8 71e2:;>7)"6>>0oml5+14f904=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9l641=83.9in4=1d98yg>b;3:197>50z&1`d<6?91C>h;4H3fg?!40j39:96*=eb8117?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6hm520g8?xd>lh0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6l5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi5ll50;794?6|,;nj6<9?;I0f1>N5lm1/>:l531c8 7cd2;2h7)?97;fbe>"6=m0?=6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432e9=:4?:%0fg?46m21vn4l9:186>5<7s-8om7?80:J1a0=O:mn0(?9m:22b?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21d><950;&1af<59l10qoojd;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f9566?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~fdcd290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:?=5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi95j50;794?6|,;nj6<9?;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;31e>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~fdg7290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0?7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zjhn>6=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4>3:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e>8?1<7850;2x 7bf28=:7Eh1??64$3g`>7>d3-;=;7jna:&21a<6:2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm60194?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?753`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb8144?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo8>9;292?6=8r.9hl4>709K6`3<@;no7)<8b;11<>"5mj094n5+1759`dg<,8?o6<<4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg3cn3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vn8k?:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8254=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn8k>:185>5<7s-8om7?81:J1a0=O:mn0(?9m:20:?!4bk383o6*>668ged=#9?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg3b:3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98yg3b<3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vn8k::185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8254=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn8j;:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e824d=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a1a3=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l3;:=6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:a1a1=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l3;:=6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:a1a?=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3;;m6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=hl4?:783>5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:032?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=o44?:683>5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:02b?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c7`e?6=>3:1ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c7`g?6=>3:1ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c7`a?6=?3:1ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zj109j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zj6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4>0`9j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76sm5e094?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?7692c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm5ea94?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?7692c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76sm5eg94?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?77i2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xd2m>0;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xd2jo0;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6<>n;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qo;l0;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9547?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo;l2;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9547?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qo;l4;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f955g?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~f0e2290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:=<5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~f0d1290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi9o950;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;325>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi9o750;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;325>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi9ol50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;33e>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>7Ehm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Ehm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=7>57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=n9=k1<7*=eb8:=>h5mh0>76a=1683>!4bk38:i65rb4`0>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<6981b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb4c7>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<68h1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{e=h?1<7850;2x 7bf28=:7E5m4$044>agf3-;>h7?>1:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e=h=1<7850;2x 7bf28=:7Eh1?<<4$3g`>7>d3-;=;7jna:&21a<6981b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb4c:>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<68h1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{e=hk1<7850;2x 7bf28=:7Eh1?7>d3-;=;7jna:&21a<6981b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rb4;4>5<3290;w)N5m<1C>ij4$35a>66d3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=5?4?:583>5}#:mk1=;h4H3g6?M4cl2.9;o4<0b9'6`e=:1i0(<88:ecb?!72l3287d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:m152<72-8no7<>e:9~f36>290?6=4?{%0ge?71n2B9i85G2ef8 71e2::m7)"6>>0oml5+14f9<6=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10c??8:18'6`e=:8o07pl90c83>3<729q/>io51638L7c23A8oh6*=7c8032=#:li1>5m4$044>agf3-;>h7?<1:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{e>9i1<7:50;2x 7bf28h1??=4$3g`>7>d3-;=;7jna:&21a3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c435?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi:=:50;694?6|,;nj6<8i;I0f1>N5lm1/>:l531f8 7cd2;2h7)?97;fbe>"6=m03?6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yg3>k3:187>50z&1`d<6>o1C>h;4H3fg?!40j39;i6*=eb81=5?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76sm58d94?2=83:p(?jn:04e?M4b=2B9hi5+26`975b<,;oh6?6l;%353?bfi2.:9i473:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a1`e=83?1<7>t$3fb>4173A8n96F=de9'62d=;<90(?kl:3:`?!71?3njm6*>5e84<>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:m152<72-8no7<>e:9~f0`?290=6=4?{%0ge?7092B9i85G2ef8 71e2:;;7)"6>>0oml5+14f96d=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vn8h>:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e826a=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a044=83<1<7>t$3fb>4163A8n96F=de9'62d=;1>0(?kl:3:`?!71?3njm6*>5e852>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi9hk50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;dg?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}c7e0?6==3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54o334>5<#:li1>0;6=u+2ec9524<@;o>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zj?>36=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl96483>3<729q/>io510g8L7c23A8oh6*>5e804>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th=:>4?:783>5}#:mk1=5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd1>80;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m08<6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`511<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qo8:2;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zj?>n6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl95`83>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==47>56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm70`94?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th5}#:mk1=5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd0;10;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m08<6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`473<72?0;6=u+2ec954c<@;o>7E259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl82883>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76sm73394?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th<>k4?:783>5}#:mk1==83.9in4=8e98yg15l3:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rb6d3>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32wi;hk50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd?8m0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m08<6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`;4g<72?0;6=u+2ec954c<@;o>7E259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl70883>3<729q/>io510g8L7c23A8oh6*>5e804>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th5}#:mk1==83.9in4=8e98yg1ai3:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rb6d5>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32wi;k:50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd?8;0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c:34?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn5m<:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e0j;1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`;a5<72?0;6=u+2ec954c<@;o>7E259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl7dd83>3<729q/>io510g8L7c23A8oh6*>5e804>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th3hn4?:783>5}#:mk1=5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd?ko0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c:``?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vn5m6:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{e0j=1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`;`0<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qo6k3;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zj03=6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl6b283>3<729q/>io510g8L7c23A8oh6*>5e804>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th2n<4?:783>5}#:mk1=5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd>io0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m08<6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`:e7<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qo7n0;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zj03h6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pl6a983>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smbdc94?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3thh=h4?:783>5}#:mk1=5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xdemm0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}ca15?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vnn<7:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{ejk21<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`afc<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qoll4;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zjkio6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07plmde83>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smc0494?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3thi454?:783>5}#:mk1==83.9in4=8e98yg01j3:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rb663>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32wi4<=50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xd?m?0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c;a=?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vno6n:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{ej1>1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`g1=<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qoj<3;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zjm:n6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pllc583>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smb8c94?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3thh=84?:783>5}#:mk1==83.9in4=8e98ygdc:3:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rbb3b>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32winio50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xdem10;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c`ag?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vnom7:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{el6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`g72<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qoj>2;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zjjoo6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`=83<1<7>t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07pll2483>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smbgf94?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3thh<44?:783>5}#:mk1==83.9in4=8e98ygda?3:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rbb22>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32win5k50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xde>?0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c`50?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vno98:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{ej>?1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`a36<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qol81;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zjk\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07plm6c83>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smb7394?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3th>;54?:783>5}#:mk1==83.9in4=8e98ygb393:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rbe1e>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32wih>o50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xddn>0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}cae1?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vnnh?:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{ekm81<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|```5<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qomlb;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zjk3h6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07plk6783>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smd0a94?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3tho=l4?:783>5}#:mk1==83.9in4=8e98ygb6=3:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rbe4g>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32wih;650;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xdc<10;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}cf77?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vni<<:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{el8o1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|``b`<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qomi9;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zjjn26=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07plme383>2<729q/>io51608L7c23A8oh6*=eb8146?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~fgce290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:<6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=5}#:mk1=:<4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:e9j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>o67;29 7cd2;;n76sm75394?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?c?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~f=73290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0o7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&2225$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb81`=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:afad=83=1<7>t$3fb>4153A8n96F=de9'6`e=:1i0(<88:ecb?!72l3?0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865f15c94?"5mj0256`=e`86?>i59>0;6)=zjko26=48:183!4ci3;<>6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i47;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qollb;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f9g>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7E12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ek8l1<7950;2x 7bf28=97E5m4$044>agf3-;>h784i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vnolk:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e86?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=j6=4+2da9=<=i:lk1965`20594?"5mj09=h54}ca12?6=?3:13g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xde180;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6k5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32wi:9750;694?6|,;nj6<8i;I0f1>N5lm1/>:l53678 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yg03?3:187>50z&1`d<6>o1C>h;4H3fg?!40j39<96*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76sm64794?2=83:p(?jn:04e?M4b=2B9hi5+26`9722<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=?7>54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a21`=83>1<7>t$3fb>40a3A8n96F=de9'62d=;>90(?kl:3:`?!71?3njm6*>5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qo8;d;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;147>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{e>h1?:<4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c46=?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi;N5lm1/>:l53638 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yg16k3:187>50z&1`d<6>o1C>h;4H3fg?!40j39<=6*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76sm73c94?2=83:p(?jn:04e?M4b=2B9hi5+26`9726<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a372=83>1<7>t$3fb>40a3A8n96F=de9'62d=;?l0(?kl:3:`?!71?3njm6*>5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qo9=2;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;15b>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{e?::1<7:50;2x 7bf28h1?;j4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c51a?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi;k?50;694?6|,;nj6<8i;I0f1>N5lm1/>:l537a8 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yg1bn3:187>50z&1`d<6>o1C>h;4H3fg?!40j39=o6*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76sm7gf94?2=83:p(?jn:04e?M4b=2B9hi5+26`973d<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a3c1=83>1<7>t$3fb>40a3A8n96F=de9'62d=;?k0(?kl:3:`?!71?3njm6*>5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qo9i5;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;15e>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{e0991<7:50;2x 7bf28h1?;74$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c:35?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi4n:50;694?6|,;nj6<8i;I0f1>N5lm1/>:l537:8 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yg>d:3:187>50z&1`d<6>o1C>h;4H3fg?!40j39=46*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76sm8e294?2=83:p(?jn:04e?M4b=2B9hi5+26`9731<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a1<7>t$3fb>40a3A8n96F=de9'62d=;?<0(?kl:3:`?!71?3njm6*>5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qo6l8;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;152>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{e0m<1<7:50;2x 7bf28h1?;;4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c:g0?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi54950;694?6|,;nj6<8i;I0f1>N5lm1/>:l53768 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yg?>=3:187>50z&1`d<6>o1C>h;4H3fg?!40j39=86*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76sm9`194?2=83:p(?jn:04e?M4b=2B9hi5+26`9734<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a=1<7>t$3fb>40a3A8n96F=de9'62d=;?;0(?kl:3:`?!71?3njm6*>5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qo76b;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;155>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{e1h31<7:50;2x 7bf28h1?;>4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c;b3?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi:;850;694?6|,;nj6<8i;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;334>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qo894;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;0e<>"5mj094n5+1759`dg<,8?o6<>?;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>i59>0;6)=zj?<96=4;:183!4ci3;=j6F=e49K6ab<,;=i6?h7;%0fg?4?k2.:::4ka`9'50b=99:0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a36d=83>1<7>t$3fb>40a3A8n96F=de9'62d=:oi0(?kl:3:`?!71?3njm6*>5e8245=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10c??8:18'6`e=:8o07pl83883>1<729q/>io517d8L7c23A8oh6*=7c81b2=#:li1>5m4$044>agf3-;>h7??0:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8hm5989m6`g=921b=9850;&1af<>12d9il4=;:m152<72-8no7<>e:9~f=6b290?6=4?{%0ge?71n2B9i85G2ef8 71e2;lh7)"6>>0oml5+14f9556?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76sm81a94?2=83:p(?jn:04e?M4b=2B9hi5+26`96c0<,;oh6?6l;%353?bfi2.:9i4>019j512=83.9in469:l1ad<732c:884?:%0fg??>3g8nm7?4;h372?6=,;oh6474n3gb>7=5}#:mk1=;h4H3g6?M4cl2.9;o4=f79'6`e=:1i0(<88:ecb?!72l3;;<6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yg>b93:187>50z&1`d<6>o1C>h;4H3fg?!40j38mn6*=eb814673`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976a=1683>!4bk38:i65rb9fe>5<3290;w)N5m<1C>ij4$35a>7`23-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi4ij50;694?6|,;nj6<8i;I0f1>N5lm1/>:l52g78 7cd2;2h7)?97;fbe>"6=m0:<=5f15694?"5mj0256`=e`83?>o6<<0;6)hm520g8?xd>j=0;694?:1y'6ag=9?l0D?k:;I0g`>"5?k09jo5+2da96=e<,8<<6ion;%36`?7782c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c;a6?6=<3:1ho51:9j510=83.9in469:l1ad<532e9=:4?:%0fg?46m21vn4l?:187>5<7s-8om7?9f:J1a0=O:mn0(?9m:2:0?!4bk383o6*>668ged=#94i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{ek8>1<7:50;2x 7bf28h1?8o4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}ca10?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wio?l50;694?6|,;nj6<8i;I0f1>N5lm1/>:l534g8 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98ygdej3:187>50z&1`d<6>o1C>h;4H3fg?!40j39>h6*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smbb094?2=83:p(?jn:04e?M4b=2B9hi5+26`970b<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:afa6=83>1<7>t$3fb>40a3A8n96F=de9'62d=;5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qolk9;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;16f>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{ejl:1<7:50;2x 7bf28h1?8l4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}c`f3?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wink:50;694?6|,;nj6<8i;I0f1>N5lm1/>:l534c8 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yge613:187>50z&1`d<6>o1C>h;4H3fg?!40j39>;6*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smb9f94?2=83:p(?jn:04e?M4b=2B9hi5+26`970`<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:af31=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l3k>7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7Eho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}c`43:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rbc55>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76smb6694?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?g23`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb81d3?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qol80;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f9e0=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vno8j:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8b1>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32win;m50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;c6?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:`78m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zjk<96=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i4n5:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{elh1?5<4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}cf01?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wih<>50;694?6|,;nj6<8i;I0f1>N5lm1/>:l536g8 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98ygebj3:187>50z&1`d<6>o1C>h;4H3fg?!40j3927?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smcb494?2=83:p(?jn:04e?M4b=2B9hi5+26`972g<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:af1<7>t$3fb>40a3A8n96F=de9'62d=:o30(?kl:3:`?!71?3njm6*>5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qoljc;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;16=>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{ek8n1<7:50;2x 7bf28h1?894$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}cf6a?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wih>750;694?6|,;nj6<8i;I0f1>N5lm1/>:l536d8 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98ygb6<3:187>50z&1`d<6>o1C>h;4H3fg?!40j3927?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smcdd94?2=83:p(?jn:04e?M4b=2B9hi5+26`972d<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:ag5>=83>1<7>t$3fb>40a3A8n96F=de9'62d=;<<0(?kl:3:`?!71?3njm6*>5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qom>2;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;162>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{ek9:1<7:50;2x 7bf28h1?8;4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}ca3g?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32winkm50;694?6|,;nj6<8i;I0f1>N5lm1/>:l53468 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98yge7<3:187>50z&1`d<6>o1C>h;4H3fg?!40j39>86*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smb8f94?2=83:p(?jn:04e?M4b=2B9hi5+26`96cg<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:af=?=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l38i7d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qoj91;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zjm>>6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07plk2183>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7hm51368L7>e3-;=;7jna:X1`3<6s:l=6pgn3;29 7cd2ho07dj9:18'6`e=9<:07b<77;29 7cd2;;n76gna;29 7cd2hk07d<8c;29 7cd2;k376gl8;29 7cd2;2o76smcg094?0=83:p(?jn:03f?M4b=2B9hi5+14f96>"5mj0:>95G29`8 4002mkj7W<3`i36=4+2da96=b<3thhh;4?:783>5}#:mk1==83.9in4=8e98ygedl3:1:7>50z&1`d<69l1C>h;4H3fg?!72l380(?kl:007?M4?j2.:::4ka`9Y6a0=9r9m:7sfa283>!4bk3kn76gk6;29 7cd28?;76a=8683>!4bk38:i65fa`83>!4bk3kj76g=7b83>!4bk38j465fc983>!4bk383h65rbc61>5<1290;w)N5m<1C>ij4$07g>7=#:li1=?:4H3:a?!71?3njm6T=d7826`12tcj?7>5$3g`>dc<3`n=6=4+2da9506<3f83;7>5$3g`>77b32cjm7>5$3g`>dg<3`85$3g`>7g?32ch47>5$3g`>7>c32win9>50;494?6|,;nj6N5lm1/=8j52:&1af<6:=1C>5l4$044>agf3S8o:7?t3g49ylg4290/>hm5ad98ma0=83.9in4>5198k7>0290/>hm520g8?lgf290/>hm5a`98m71d290/>hm52`:8?le?290/>hm529f8?xde=:0;6;4?:1y'6ag=98o0D?k:;I0g`>"6=m097)N50k1/=;95d`c8^7b128q8j;4ri`194?"5mj0ji65fd783>!4bk3;><65`29594?"5mj09=h54i`c94?"5mj0jm65f26a94?"5mj09m554ib:94?"5mj094i54}c`65?6=>3:1"6>>0oml5U2e495~5a>3wbm>4?:%0fg?gb32co:7>5$3g`>43732e94:4?:%0fg?46m21bml4?:%0fg?gf32c9;n4?:%0fg?4f021bo54?:%0fg?4?l21vno:i:185>5<7s-8om7?>e:J1a0=O:mn0(<;k:39'6`e=9;>0D?6m;%353?bfi2P9h;4>{2d5>xof;3:1(?kl:`g8?lb1290/>hm51428?j4??3:1(?kl:33f?>ofi3:1(?kl:`c8?l40k3:1(?kl:3c;?>od03:1(?kl:3:g?>{ej=n1<7850;2x 7bf28;n7E6*=eb8261=O:1h0(<88:ecb?_4c>3;p?k85}hc0>5<#:li1mh54ie494?"5mj0:9=54o3:4>5<#:li1>5<#:li1ml54i35`>5<#:li1>l64;ha;>5<#:li1>5j4;|`a0g<72?0;6=u+2ec954c<@;o>7E4}4n?0vel=50;&1af5950;&1af<59l10elo50;&1af:m50;&1af<5i110en650;&1af<50m10qol;9;292?6=8r.9hl4>1d9K6`3<@;no7)?:d;08 7cd288?7E<7b:&222i851z1e2?{ni:0;6)oc>3:1(?kl:073?>i50>0;6)=nih0;6)o5?j0;6)=nk10;6)=zjk><6=49:183!4ci3;:i6F=e49K6ab<,8?o6?5+2da9572<@;2i7)?97;fbe>\5l?0:w>h9:|kb7?6=,;oh6lk4;hf5>5<#:li1=8>4;n0;3?6=,;oh6??j;:kbe?6=,;oh6lo4;h04g?6=,;oh6?o7;:k`t$3fb>47b3A8n96F=de9'50b=:2.9in4>259K6=d<,8<<6ion;[0g2?7|;o<1qdo<:18'6`e=il10ei850;&1af<6=910c?68:18'6`e=:8o07don:18'6`e=ih10e?9l:18'6`e=:h207dm7:18'6`e=:1n07plm3g83>3<729q/>io510g8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nl?0;6)=h:1=1<7*=eb815`==n:>i1<7*=eb81e==56;294~"5lh0:=h5G2d78L7bc3-;>h7<4$3g`>4433A83n6*>668ged=]:m<1=v=i6;je6<72-8no7oj;:kg2?6=,;oh6<;?;:m1<2<72-8no7<>e:9jed<72-8no7on;:k13f<72-8no7"6=m0<56g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:agc>=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=27d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7E30e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}cf53?6=>3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rbe3g>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<012c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76smd5294?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?1>3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb812??6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qomi6;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f93<=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vnnh>:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e84=>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wioi?50;494?6|,;nj6<9>;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;5:?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;h37=?6=,;oh6474n3gb>1=5}#:mk1=:?4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:6;8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=h:8=1<7*=eb815`=56;294~"5lh0:;<5G2d78L7bc3-8no7<7c:&2223g8nm7?4;h372?6=,;oh6474n3gb>7=36=4+2da9=<=i:lk1?65f15;94?"5mj0256`=e`87?>i59>0;6)=zjm<;6=49:183!4ci3;<=6F=e49K6ab<,;oh6?6l;%353?bfi2.:9i489:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c{el8h1<7850;2x 7bf28=:7E5m4$044>agf3-;>h796;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm520g8?xdc9?0;6;4?:1y'6ag=9>;0D?k:;I0g`>"5mj094n5+1759`dg<,8?o6:74i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9l641=83.9in4=1d98ygb1m3:1:7>50z&1`d<6?81C>h;4H3fg?!4bk383o6*>668ged=#9o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:m152<72-8no7<>e:9~fa0>290=6=4?{%0ge?7092B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0<56g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;n023?6=,;oh6??j;:a`1?=83<1<7>t$3fb>4163A8n96F=de9'6`e=:1i0(<88:ecb?!72l3=27d?;4;29 7cd2030b?kn:198m422290/>hm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1>7E30e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;h376=26=4+2da9=<=i:lk1865`20594?"5mj09=h54}cf10?6=>3:112d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76a=1683>!4bk38:i65rbe3e>5<1290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<012c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07b<>7;29 7cd2;;n76smcgd94?0=83:p(?jn:052?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?1>3`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327c3<729q/>io51638L7c23A8oh6*=eb812??6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21d><950;&1af<59l10qomka;292?6=8r.9hl4>709K6`3<@;no7)"6>>0oml5+14f93<=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332e9=:4?:%0fg?46m21vnnj::185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e84=>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wih;m50;694?6|,;nj6<8i;I0f1>N5lm1/>:l53908 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98ygb1;3:187>50z&1`d<6>o1C>h;4H3fg?!40j393=6*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smd5594?2=83:p(?jn:04e?M4b=2B9hi5+26`97=6<,;oh6?6l;%353?bfi2.:9i481:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=h:8=1<7*=eb815`=54;294~"5lh0::k5G2d78L7bc3-8;0e<:;:18'6`e=101e>ho50:9j513=83.9in469:l1ad<632c:8;4?:%0fg??>3g8nm7<4;n023?6=,;oh6??j;:a`74=83>1<7>t$3fb>40a3A8n96F=de9'62d=;>o0(?kl:3:`?!71?3njm6*>5e845>o6<=0;6)hm5989m6`g=:21d><950;&1af<59l10qoj>9;290?6=8r.9hl4>6g9K6`3<@;no7)<8b;14`>"5mj094n5+1759`dg<,8?o6:?4i067>5<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327c{ekon1<7:50;2x 7bf28h1?:m4$3g`>7>d3-;=;7jna:&21a<092c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65`20594?"5mj09=h54}cae0?6=<3:1hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wioi650;694?6|,;nj6<8i;I0f1>N5lm1/>:l536c8 7cd2;2h7)?97;fbe>"6=m0<=6g>4583>!4bk3327cho52:9l641=83.9in4=1d98ygedn3:187>50z&1`d<6>o1C>h;4H3fg?!40j39<46*=eb8127?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o67;29 7cd2;;n76smb5194?1=83:p(?jn:051?M4b=2B9hi5+2da96=e<,8<<6ion;%36`?75;2c:894?:%0fg??>3g8nm7>4;h371?6=,;oh6474n3gb>4==6=4+2da9=<=i:lk1>65f15:94?"5mj0256`=e`80?>o6<00;6)07d?;a;29 7cd2030b?kn:498k770290/>hm520g8?xde<80;6:4?:1y'6ag=9>80D?k:;I0g`>"5mj094n5+1759`dg<,8?o6<<<;h370?6=,;oh6474n3gb>5=>6=4+2da9=<=i:lk1=65f15494?"5mj0256`=e`81?>o6<10;6)hm5989m6`g==21d><950;&1af<59l10qol:4;293?6=8r.9hl4>739K6`3<@;no7)"6>>0oml5+14f9575?6=4+2da9=<=i:lk1<65f15794?"5mj0256`=e`82?>o6290/>hm5989m6`g=<21b=9o50;&1af<>12d9il4:;:m152<72-8no7<>e:9~fg35290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0:>>5f15694?"5mj0256`=e`83?>o6<<0;6)hm5989m6`g=;21b=9750;&1af<>12d9il4;;:k20d<72-8no776;o0fe?3<3f8:;7>5$3g`>77b32win8>50;594?6|,;nj6<9=;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;317>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3`;?m7>5$3g`>5<#:li1>0;6=u+2ec9524<@;o>7Ehm5989m6`g=921b=9850;&1af<>12d9il4=;:k20=<72-8no776;o0fe?5<3`;?57>5$3g`>5<#:li1545a2dc91>=h:8=1<7*=eb815`=57;294~"5lh0:;?5G2d78L7bc3-8no7<7c:&222hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3`;?47>5$3g`>54i06:>5<#:li1545a2dc90>=n9=k1<7*=eb8:=>h5mh0>76a=1683>!4bk38:i65rbc6b>5<0290;w)N5m<1C>ij4$3g`>7>d3-;=;7jna:&21a<6::1b=9:50;&1af<>12d9il4?;:k200<72-8no776;o0fe?7<3`;?:7>5$3g`>5<#:li1545a2dc97>=n9=31<7*=eb8:=>h5mh0?76g>4`83>!4bk3327c{ej=21<7950;2x 7bf28=97E5m4$044>agf3-;>h7?=3:k201<72-8no776;o0fe?6<3`;?97>5$3g`>5<#:li1545a2dc96>=n9=21<7*=eb8:=>h5mh0876g>4883>!4bk3327c2<729q/>io51608L7c23A8oh6*=eb814443`;?87>5$3g`>5<#:li1545a2dc95>=n9=<1<7*=eb8:=>h5mh0976g>4983>!4bk3327cho55:9l641=83.9in4=1d98ygd3<3:1;7>50z&1`d<6?;1C>h;4H3fg?!4bk383o6*>668ged=#95<#:li1545a2dc94>=n9=?1<7*=eb8:=>h5mh0:76g>4783>!4bk3327cho54:9j51g=83.9in469:l1ad<232e9=:4?:%0fg?46m21vno=j:184>5<7s-8om7?82:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e8266=n9=>1<7*=eb8:=>h5mh0;76g>4483>!4bk3327c3:1(?kl:8;8j7cf2;10e<:7:18'6`e=101e>ho53:9j51?=83.9in469:l1ad<332c:8l4?:%0fg??>3g8nm7;4;n023?6=,;oh6??j;:a1cb=83>1<7>t$3fb>41f3A8n96F=de9'6`e=9>20D?6n;I36g>"6>>0oml5f15694?"5mj0:9454i066>5<#:li1=8o4;h0b6?6=,;oh6?o9;:m152<72-8no7<>e:9~f0`b290<6=4?{%0ge?70:2B9i85G2ef8 7cd2;2h7)?97;fbe>"6=m0>n6g>4583>!4bk3327cho52:9j51>=83.9in469:l1ad<432c:844?:%0fg??>3g8nm7:4;h37e?6=,;oh6474n3gb>0=jk4?:583>5}#:mk1=;h4H3g6?M4cl2.9in4=8b9'531=lhk0(<;k:3;8m423290/>hm5989m6`g=821b=9;50;&1af<>12d9il4>;:k203<72-8no776;o0fe?4<3f8:;7>5$3g`>77b32wi9ko50;694?6|,;nj6<9n;I0f1>N5lm1/>hm516:8L7>f3A;>o6*>668ged=n9=>1<7*=eb821<=>6=4+2da950g<3`8j>7>5$3g`>7g132e9=:4?:%0fg?46m21vn8hm:185>5<7s-8om7?81:J1a0=O:mn0(?kl:3:`?!71?3njm6*>5e865>o6<=0;6)hm5989m6`g=:21b=9650;&1af<>12d9il4<;:k20<<72-8no776;o0fe?2<3f8:;7>5$3g`>77b32wi9km50;794?6|,;nj6<9?;I0f1>N5lm1/>hm529a8 4002mkj7)?:d;7f?l73<3:1(?kl:8;8j7cf2910e<:::18'6`e=101e>ho51:9j510=83.9in469:l1ad<532c:854?:%0fg??>3g8nm7=4;n023?6=,;oh6??j;:a5t$3fb>43a3A8n96F=de9'6`e=1o1/=;95d`c8m424290/>hm51428?j46?3:1(?kl:33f?M4bj21vnl;7:181>5<7s-8om7?:f:J1a0=O:mn0(?kl:8d8 4002mkj7d?;3;29 7cd28?;76a=1683>!4bk38:i6F=ec98yg2d:3:1>7>50z&1`d<6=o1C>h;4H3fg?!4bk33m7)?97;fbe>o6<:0;6)=h:8=1<7*=eb815`=O:lh07pl:7783>7<729q/>io514d8L7c23A8oh6*=eb8:b>"6>>0oml5f15194?"5mj0:9=54o334>5<#:li1>{e;lo1<7<50;2x 7bf28?m7E86=4+2da9506<3f8:;7>5$3g`>77b3A8nn65rb53a>5<5290;w)N5m<1C>ij4$3g`><`<,8<<6ion;h377?6=,;oh6<;?;:m152<72-8no7<>e:J1ag=;>7>52;294~"5lh0:9k5G2d78L7bc3-8no77i;%353?bfi2c:8>4?:%0fg?72821d><950;&1af<59l1C>hl4;|`751<72;0;6=u+2ec950`<@;o>7En2.:::4ka`9j515=83.9in4>5198k770290/>hm520g8L7ce32wi:N5lm1/>hm59g9'531=lhk0e<:<:18'6`e=9<:07b<>7;29 7cd2;;n7Ei59>0;6)N5mk10qo9l4;296?6=8r.9hl4>5g9K6`3<@;no7)4283>!4bk3;><65`20594?"5mj09=h5G2d`8?xd?1>0;6?4?:1y'6ag=9"5mj02j6*>668ged=n9=91<7*=eb8215=5<#:li1=8>4;n023?6=,;oh6??j;I0ff>=zj=><6=46:183!4ci38i96F=e49K6ab<,8?o6>5+2da96g3<,;=o6<=m;I0`g>N50k1/=;95d`c8^7b128q8j;4ri6d94?=n090;66g71;29?l>52900elo50;l1ga<632cji7>5H35b?>oc>3:17b<77;29?j4?03:17pl;2b83>3<729q/>io510d8L7c23A8oh6*>5e81?!4bk3;986F=8c9'531=lhk0V?j9:0y0b3=nih0;6)oc>3:1(?kl:073?>i50>0;6)=n:>i1<7*=eb81e==51;294~"5mj09;<5G2ef8L43e3-;=97?:0:&221<6?:1/=;7524g8m716290/>hm52638^7b428q8i;4r;|`f5?6=93:1:7<=3;8ygba290:6=4?{%0fg?4092B9hi5G14`8 40328=87)?99;05g>-5?80;6)\5l=09w<;9:300>x=zjmo1<7?50;2x 7cd2;=:7E1=:=4$04:>74?3"8<=7>5$3g`>7163S8o875}#:li1>:?4H3fg?M72j2.::94>729'53?=:;30'?9>:18'6`e=:>;0V?j;:3y213<5::0v7plkc;295?6=8r.9in4=709K6ab<@8?i7)?94;347>"6>009??5$26394?"5mj09;<5U2e696~72>389?7s4}cfa>5<6290;w)N5lm1C=8l4$047>4143-;=57<;0:)134<72-8no7<81:X1`1<5s8?=6?<<:|9~f`g=83;1<7>t$3g`>7163A8oh6F>5c9'532=9>90(<86:365?.4093:1(?kl:352?_4c<38p=8852319y>{em00;6<4?:1y'6`e=:>;0D?jk;I36f>"6>=0:;>5+17;9611<#;=:6=4+2da962757816651;294~"5mj09;<5G2ef8L43e3-;=87?83:&22<<5=?1 >:?50;&1af<5?81Q>i:52z362?45;3w0qok8:182>5<7s-8no7<81:J1`a=O996%=7083>!4bk38<=6T=d5814312;886p5rbd494?7=83:p(?kl:352?M4cl2B:9o5+1769525<,8<26?;;;*045?6=,;oh6?9>;[0g0?4|9<<1>?=5}:aa0<7280;6=u+2da9627<@;no7E?:b:&221<6?:1/=;752418/716290/>hm52638^7b32;q:9;4=228~?xdb<3:1=7>50z&1af<5?81C>ij4H07a?!71<3;688117=,:>;1<7*=eb8134=]:m>1>v?:6;017?{:183!4bk38<=6F=de9K50d<,87442t1vnh<50;394?6|,;oh6?9>;I0g`>N6=k1/=;:51618 40>2;?;7&<81;29 7cd2;=:7W4<729q/>hm52638L7bc3A;>n6*>658236=#9?31>9h4+352>5<#:li1>:?4Z3f7>7}6=?09>>4r;|`g=c<7280;6=u+2da9627<@;no7E?:b:&221<6<>1/=;7527c8/716290/>hm52638^7b32;q:9;4=228~?xdc1m0;6<4?:1y'6`e=:>;0D?jk;I36f>"6>=0:8:5+17;963d<#;=:6=4+2da96275781664?:083>5}#:li1>:?4H3fg?M72j2.::84>519'532=9>90(<86:30f?l4093:1(?kl:352?_4c<3;p=885}:a7g7=83;1<7>t$3g`>7163A8oh6F>5c9'533=9<:0(<8;:050?!711389h6g=7083>!4bk38<=6T=d5824312t1vn>l?:182>5<7s-8no7<81:J1`a=O968816f=n:>;1<7*=eb8134=]:m>1=v?:6;8yg5fn3:1=7>50z&1af<5?81C>ij4H07a?!71=3;><6*>658236=#9?31>?l4i352>5<#:li1>:?4Z3f7>4}6=?0v7pl4<729q/>hm52638L7bc3A;>n6*>648215=#9?>1=:=4$04:>7533`8<=7>5$3g`>7163S8o87?t14:9y>{e;kk1<7?50;2x 7cd2;=:7E4$047>4143-;=57<<3:k134<72-8no7<81:X1`1<6s8?36p5rb2`:>5<6290;w)N5lm1C=8l4$046>4373-;=87?83:&22<<5;81b>:?50;&1af<5?81Q>i:51z3651;294~"5mj09;<5G2ef8L43e3-;=97?:0:&221<6?:1/=;752228m716290/>hm52638^7b328q:954r;|`0g0<7280;6=u+2da9627<@;no7E?:b:&220<6=91/=;:51618 40>2;927d<81;29 7cd2;=:7W;I0g`>N6=k1/=;;51428 40328=87)?99;00<>o5?80;6)\5l=0:w<;9:|9~f6e5290:6=4?{%0fg?4092B9hi5G14`8 40228?;7)?94;347>"6>009?:5f26394?"5mj09;<5U2e695~72>3w0qo=l1;295?6=8r.9in4=709K6ab<@8?i7)?95;364>"6>=0:;>5+17;9660578~?xd4kl0;6<4?:1y'6`e=:>;0D?jk;I36f>"6><0:9=5+1769525<,8<26?=j;h045?6=,;oh6?9>;[0g0?7|9<<1q6sm3ba94?7=83:p(?kl:352?M4cl2B:9o5+1779506<,8{075>x=zj:ii6=4>:183!4bk38<=6F=de9K50d<,8<>6<;?;%350?70;2.::44=3b9j627=83.9in4=709Y6a2=9r;>:7s4}c1`e?6=93:1729'53?=::h0e?9>:18'6`e=:>;0V?j;:0y2135}#:li1>:?4H3fg?M72j2.::84>519'532=9>90(<86:347?l4093:1(?kl:352?_4c<3;p=885}:a7a3=83;1<7>t$3g`>7163A8oh6F>5c9'533=9<:0(<8;:050?!71138=?6g=7083>!4bk38<=6T=d5824312t1vn>j;:182>5<7s-8no7<81:J1`a=O9688124=n:>;1<7*=eb8134=]:m>1=v?:6;8yg5c;3:1=7>50z&1af<5?81C>ij4H07a?!71=3;><6*>658236=#9?31>;>4i352>5<#:li1>:?4Z3f7>4}6=?0v7pl:7283>4<729q/>hm52638L7bc3A;>n6*>648215=#9?>1=:=4$04:>70>3`8<=7>5$3g`>7163S8o87?t1449y>{el>>1<7?50;2x 7cd2;=:7E4$047>4143-;=57<96:k134<72-8no7<81:X1`1<6s8?=6p5rb2f`>5<6290;w)N5lm1C=8l4$046>4373-;=87?83:&22<<5:h1b>:?50;&1af<5?81Q>i:51z3651;294~"5mj09;<5G2ef8L43e3-;=97?:0:&221<6?:1/=;7523d8m716290/>hm52638^7b328q:9;4r;|`0a5<7280;6=u+2da9627<@;no7E?:b:&220<6=91/=;:51618 40>2;9>7d<81;29 7cd2;=:7W;I0g`>N6=k1/=;;51428 40328=87)?99;00e>o5?80;6)\5l=0:w<;9:|9~f6c3290:6=4?{%0fg?4092B9hi5G14`8 40228?;7)?94;347>"6>0099k5f26394?"5mj09;<5U2e695~72>3w0qo?86;295?6=8r.9in4=709K6ab<@8?i7)?95;364>"6>=0:;>5+17;9626548~?xd5i=0;6<4?:1y'6`e=:>;0D?jk;I36f>"6><0:9=5+1769525<,8<26?8k;h045?6=,;oh6?9>;[0g0?7|9<=1q6smcb83>4<729q/>hm52638L7bc3A;>n6*>648215=#9?>1=:=4$04:>70b3`8<=7>5$3g`>7163S8o87?t1479y>{e;1l1<7?50;2x 7cd2;=:7E4143-;=57<;8:m134<72-8no7<81:9~f6>c290:6=4?{%0fg?4092B9hi5G14`8 4022;;n7)?94;347>"6>0098h5`26394?"5mj09;<54}c1;g?6=93:1729'53?=:<20c?9>:18'6`e=:>;07pl<8c83>4<729q/>hm52638L7bc3A;>n6*>64815`=#9?>1=:=4$04:>73>3f8<=7>5$3g`>71632wi?5o50;394?6|,;oh6?9>;I0g`>N6=k1/=;;520g8 40328=87)?99;06e>i5?80;6)=zj:226=4>:183!4bk38<=6F=de9K50d<,8<>6??j;%350?70;2.::44=5c9l627=83.9in4=7098yg5?03:1=7>50z&1af<5?81C>ij4H07a?!71=38:i6*>658236=#9?31>8m4o352>5<#:li1>:?4;|`0<2<7280;6=u+2da9627<@;no7E?:b:&220<59l1/=;:51618 40>2;>o7b<81;29 7cd2;=:76sm39494?7=83:p(?kl:352?M4cl2B:9o5+177964c<,877:182>5<7s-8no7<81:J1`a=O968810g=h:>;1<7*=eb8134=51;294~"5mj09;<5G2ef8L43e3-;=97<>e:&221<6?:1/=;7525c8k716290/>hm52638?xd41?0;6<4?:1y'6`e=:>;0D?jk;I36f>"6><09=h5+1769525<,8<26?:6;n045?6=,;oh6?9>;:a7<3=83;1<7>t$3g`>7163A8oh6F>5c9'533=:8o0(<8;:050?!711389;6a=7083>!4bk38<=65rb2;7>5<6290;w)N5lm1C=8l4$046>77b3-;=87?83:&22<<5<<1d>:?50;&1af<5?810qo=63;295?6=8r.9in4=709K6ab<@8?i7)?95;02a>"6>=0:;>5+17;966`5}#:li1>:?4H3fg?M72j2.::84=1d9'532=9>90(<86:362?j4093:1(?kl:352?>{e;0;1<7?50;2x 7cd2;=:7E4143-;=57<;2:m134<72-8no7<81:9~f6?7290:6=4?{%0fg?4092B9hi5G14`8 4022;;n7)?94;347>"6>0098>5`26394?"5mj09;<54}c1;1?6=93:1729'53?=:=>0c?9>:18'6`e=:>;07plka983>4<729q/>hm52638L7bc3A;>n6*>64815`=#9?>1=:=4$04:>7303f8<=7>5$3g`>7163S8o87?t2319y>{elh<1<7?50;2x 7cd2;=:7E4143-;=57<92:m134<72-8no7<81:X1`1<6s;886p5rb452>5<6290;w)N5lm1C=8l4$046>77b3-;=87?83:&22<<5><1d>:?50;&1af<5?81Q>i:51z017?{7>51;294~"5mj09;<5G2ef8L43e3-;=97<>e:&221<6?:1/=;7524f8j7d428>0c?9>:18'6`e=:>;0V?j;:0y1665}#:li1>:?4H3fg?M72j2.::84=1d9'532=9>90(<86:34e?k4e;3;?7b<81;29 7cd2;=:7W;I0g`>N6=k1/=;;520g8 40328=87)?99;05<>h5j:0:86a=7083>!4bk38<=6T=d5827432t1vn>oj:183>5<7s-8om790qpl=d483>5<729q/>io52e78 4002mkj7Ei652678yxu4j>0;6?u23c59641<5??26<:9;|q0f3<72;q6?o852058933428>=7p}7}:;k?1><94=76g>4213ty8n94?:3y>7g2=:8=01;:8:065?xu4k90;6?u23b29641<5>8n6<:9;|q0fc<72;q6?oh52058924?28>=7p}7}:;ko1><94=601>4213ty8ni4?:3y>7gb=:8=01:?l:065?xu4k00;6?u23b;9641<51::6<:9;|q0g=<72;q6?n65205892`e28>=7p}7}:;j=1><94=6d6>4213ty8o;4?:3y>7f0=:8=01:ki:065?xu4l;0;6?u23e09641<51n?6<:9;|q0`4<72;q6?i?520589=eb28>=7p}7}:;m:1><94=9a;>4213ty8ok4?:3y>7f`=:8=015m=:065?xu4lk0;6?u23e`9641<50k<6<:9;|q0`d<72;q6?io520589=7p}7}:;m31><94=8;a>4213ty8h54?:3y>7a>=:8=0147::065?xu2?=0;6>u25669641<5;2=6<::;<742?73;2wxh:;50;0x9a1?2m<01i9::334?xu4m?0;6>uQ3d48942c28>870=j6;045>{t;mn1<777034<=>7?;5:p7a`=838p1>ji:334?814?3;?96s|3d394?4|5:o:6??8;<:3e?73=2wx?h=50;0x96c42;;<706kd;371>{t;l?1<7770343i<7?;5:p521=838p19==:e4894102;;<7p}=a483>7}::h?1><94=56e>4223tyhh7>52z?``?46?27?8:4k6:p7j3;??6s|38c94?4|5hkh6??8;<1:e?73;2wx?ll50;0x9dg62;;<70=nb;377>{t;hk1<7770349jm7?;3:p7d?=838p1lo<:334?85f13;??6s|3`:94?4|5hk?6??8;<1b{t;h<1<7770349j:7?;3:p7d3=838p1lo8:334?85f=3;??6s|3`694?4|5hk36??8;<1b0?73;2wx?l=50;0x9dg>2;;<70=n3;377>{t;031<7770349257?;3:pa4<72:qUi<52f08224=:m809;<5rsed94?5|Vml01k>517389a`=:>;0q~jj:180[bb34om6<8>;7163tyoh7>53z\g`>;bm3;==63kd;045>{tlj0;6>uQdb9>aa<6>816hn4=709~wad=839pRil4=da9537<5mh1>:?4}rgb>5<4sWoj70hn:042?8cf2;=:7p}j9;297~Xb127m57?91:?f=?4092wxi54?:2y]a==:n10::<52e98134=z{l=1<7=t^d589c1=9?;01h952638yvc12908wSk9;40634o=6?9>;|qf1?6=;rTn963i5;355>;b=38<=6s|e583>6}Ym=16j94>609>a1<5?81vh=50;1xZ`5<5o91=;?4=d1962742>34?:<7?;9:?6>70lk1;370>{tlh31<777034nj57?;3:p124=839p1n8::334?8bf?3;??63:738206=z{m=86=4={4>429~w01029098v3;188be>;38k0:8952413951?<5=:=6lo4=454>7>034h;h7?;9:?70<<6<<1689l515789g`128>>7089a;372>;0;o0:8;528009510<51o>6<:9;<;a27?9<4>459>01`=9=>0196l:e4893>d28>=708:d;370>;1>10:89527739510<5>996<:;;<50`?73<273?94>479><53=9=>015??:067?8?7?3;?:637d98201=:0l91=9:4=8fb>421343jn7?;4:?:f3<6<=168<<515;8917328>870:;7;cb?825k3kj7p}k7`83>d`|5m=j6?68;<4fa?73=27i4`9>fc0=9=<01;8n:067?814n3;?8637138201=:0l?1=9:4=8`;>42334<3o7?;8:?51a<63709<2;372>;0;m0:8;52826951><51:>6<:9;<:24?73>272<:4>499>=9=<015k<:065?8?ci3;?4636ac8203=:1k<1=984=76:>42234>708;d;371>;1=k0:885264;9513<5>;n6<::;<52g?73=27<>l4>449>37>=9=?01:<;:066?815:3;?9638318200=:?;o1=9;4=6d2>42234=nj7?;5:?4ba<6<<16;kl5157892`028>>709i5;371>;?8:0:88528139513<51i?6<::;<:`6?73=273h=4>449>d03;?9637d78200=:0m>1=9;4=8;4>422343297?;5:?:e6<6<<165l?515789>7076b;371>;>i00:88529`59513<5?<=6<:9;<450?73>27=:?4>479>36d=9=<01:=6:065?814?3;?:6370d8203=:09i1=984=92b>421342n=7?;6:?;`c<6=707m2;372>;>j90:8;52c069513<5j8?6<::;449>ff4=9=?01om8:066?8dc83;?963md88200=:jl:1=9;4=cg4>42234hm87?;5:?`5<<6<<16n5j515789g>028>>70j:a;371>;c;<0:8852d029513<5joi6<::;449>f42234inj7?;5:?`gd<6<<16o=6515789f7528>>70m?0;371>;d8j0:8852bga9513<5j:?6<::;<`:`?73=27i5:4>449>f=?=9=?01i:=:066?8ea03;?963ld28200=:l?=1=9;4=e3g>42234n?<7?;5:?g7g<6<<16ok8515789f`628>>70mk1;371>;dkj0:8852d779513<5m<;6<::;449>`3c=9=?01i86:066?8b313;?963k458200=:l;>1=9;4=e3e>42234imj7?;5:?`bd<6<<16oio515789fb228>>70j9c;371>;c>:0:8852d559513<5m9n6<::;449>gcb=9=?01nh;:066?8ec03;?963lcg8200=:j?=1=984=c46>42134h<47?;6:?a33<6=70l80;372>;e>l0:8;52b7a9510<5k27i:?4>479>f15=9=301o:>:06:?8d2<3;?563m53820<=:j<:1=974=c6f>42>34h?o7?;9:?a0d<6<016n96515;89g2128>270l;4;37=>;e;l0:845rse55>5<4s4n<47<77:?g3<27:8?4>459~wa102909w0j89;0;3>;6<;0:885rs2g4>5<4s4;?h7<>7:?0a<=838p1967:3:4?870=3;??6s|d6a94?3|5<;j6??8;<`e2?73<27h=k4>489>fa5=9=k01oj>:06:?xuc1o0;6>uQd8d89ag728<:70j6f;045>{tl0n1<7=t^e;g?8b>m3;==63k9e8134=z{:l>6=4={<1fe?4??278j84>429~w6`02909?;u2d6c9e6=:l>21m>52d6;9e6=:l1n1m>52d9g9e6=:l0?1m>52d849e6=:l0=1m>52d8:9e6=:l031m>52d8c9e6=:l0h1m>52d8a9e6=:l1l1m>52d829e6=:l0;1m>52d809e6=:l091m>52d869e6=:<;21m>5243;9e6=:<;k1m>5240;9e6=:<8=1m>5240:9e6=:<9l1m>524029e6=:>h?1m>526`49e6=:>k;1m>526c49e6=:>k=1m>526c:9e6=:>k31m>526cc9e6=:>kh1m>526ca9e6=:>h=1m>526`:9e6=:>h31m>526`c9e6=:>hh1m>526`a9e6=:>hn1m>526`g9e6=:>hl1m>526c29e6=:>k81m>526c19e6=:>k>1m>526c79e6=:>m?1m>526e49e6=:>l;1m>526d49e6=:>l=1m>526d:9e6=:>l31m>526dc9e6=:>lh1m>526da9e6=:>m=1m>526e:9e6=:>m31m>526ec9e6=:>mh1m>526ea9e6=:>mn1m>526eg9e6=:>ml1m>526d29e6=:>l81m>526d19e6=:>l>1m>526d79e6=:>121m>5269;9e6=:>1k1m>5276c9e6=:?>h1m>527949e6=:?1h1m>5279a9e6=:?1n1m>5279g9e6=:?1l1m>527829e6=:?0;1m>5276a9e6=:?>n1m>5276g9e6=:?>l1m>527929e6=:?1;1m>527909e6=:?191m>527969e6=:?1?1m>527959e6=:?121m>5279;9e6=:?1k1m>527`c9e6=:?hh1m>527c49e6=:?kh1m>527ca9e6=:?kn1m>527cg9e6=:?kl1m>527b29e6=:?j;1m>527`a9e6=:?hn1m>527`g9e6=:?hl1m>527c29e6=:?k;1m>527c09e6=:?k91m>527c69e6=:?k?1m>527c59e6=:?k21m>527c;9e6=:?kk1m>5274f9e6=:?5274d9e6=:0=n1m>5285g9e6=:0<31m>5284g9e6=:0528729e6=:0?;1m>528709e6=:0?91m>528769e6=:0=l1m>528429e6=:0<;1m>528409e6=:0<91m>528469e6=:0528449e6=:0<=1m>5284:9e6=:05284`9e6=:05284f9e6=:0>n1m>5286g9e6=:0131m>5289g9e6=:01l1m>528829e6=:00;1m>528809e6=:0091m>528869e6=:0>l1m>528929e6=:01;1m>528909e6=:0191m>528969e6=:01?1m>528949e6=:01=1m>5289:9e6=:01k1m>5289`9e6=:01i1m>5289f9e6=:0::1m>528239e6=:0:81m>529329e6=:1;;1m>5293a9e6=:1:;1m>529209e6=:1:91m>529269e6=:1:?1m>529249e6=:1:=1m>529309e6=:1;91m>529369e6=:1;?1m>529349e6=:1;=1m>5293:9e6=:1;31m>5293c9e6=:1;h1m>5293f9e6=:1;o1m>5293d9e6=:1::1m>529429e6=:1<;1m>5294a9e6=:1?;1m>529709e6=:1?91m>529769e6=:1??1m>529749e6=:1?=1m>529409e6=:1<91m>529469e6=:1529449e6=:1<=1m>5294:9e6=:1<31m>5294c9e6=:15294f9e6=:15294d9e6=:1?:1m>529119e6=:19>1m>529179e6=:1o91m>529g69e6=:1ol1m>52a169e6=:i9?1m>52a149e6=:i9=1m>52a1:9e6=:i931m>52a1c9e6=:1o?1m>529g49e6=:1o=1m>529g:9e6=:1o31m>529gc9e6=:1oh1m>529ga9e6=:1on1m>529gg9e6=:i9:1m>52a139e6=:i981m>52a119e6=:i;91m>52a369e6=:i;l1m>52a269e6=:i:?1m>52a249e6=:i:=1m>52a2:9e6=:i:31m>52a2c9e6=:i;?1m>52a349e6=:i;=1m>52a3:9e6=:i;31m>52a3c9e6=:i;h1m>52a3a9e6=:i;n1m>52a3g9e6=:i::1m>52a239e6=:i:81m>52a219e6=:1m<1m>529e59e6=:1m21m>52c019e6=:jo:1m>52bd19e6=:jmi1m>52be79e6=:jji1m>52bb19e6=:jko1m>52bc59e6=:k;=1m>52c329e6=:<:81m>524219e6=:<1;1m>524909e6=:<191m>524969e6=:<1?1m>524949e6=:<1=1m>5249:9e6=:5247c9e6=:5247a9e6=:5247g9e6=:524629e6=:<1o1m>5244g9e6=:<524729e6=:<131m>5252f9e6=:=:o1m>525579e6=:==<1m>525559e6=:==21m>5255;9e6=:==k1m>5255`9e6=:==i1m>5252d9e6=:==:1m>525539e6=:==81m>525519e6=:==>1m>525379e6=:=;<1m>525359e6=:=;21m>5253;9e6=:=;k1m>5253`9e6=:=;i1m>524e49e6=:524g39e6=:1m>524g79e6=:524g59e6=:524g;9e6=:524g`9e6=:524g19e6=:=881m>525179e6=:=981m>524gd9e6=:523g59641<5=:=6l=4=5:`>d5<5=?;6l=4=56f>d5<5<=<6l=4=501>d5<5=8;6l=4=52;>d5<5?<26l=4=7:a>d5<5??h6l=4=744>d5<5>9n6l=4=643>d5<5>9:6l=4=61`>d5<51;:6l=4=910>d5<51:?6l=4=92e>d5<51o?6l=4=825>d5<51n<6l=4=9g1>d5<50h<6l=4=8f:>d5<50kj6l=4=8`6>d5<5kl>6l=4=56;>d5<5=>j6l=4=532>d5<5?om6l=4=6a1>d5<513>6l=4=84;>d5<5h9i6l=4=76;>d5<5?>=6l=4=746>d5<5?<86l=4=742>d5<5???6l=4=771>d5<5?>n6l=4=76`>d5<5??j6l=4=77;>d5<5>;o6l=4=63a>d5<5>9j6l=4=61;>d5<5>9=6l=4=60:>d5<5>8<6l=4=600>d5<5>8:6l=4=60e>d5<5>8o6l=4=6d3>d5<5>on6l=4=92g>d5<51:i6l=4=92:>d5<5>lh6l=4=6db>d5<5>l=6l=4=6d7>d5<51:96l=4=923>d5<51i86l=4=9a2>d5<51o;6l=4=9ff>d5<51nh6l=4=9ae>d5<51io6l=4=9a:>d5<51i<6l=4=9f6>d5<51n86l=4=8;5>d5<503?6l=4=8`0>d5<50h:6l=4=8ce>d5<50k96l=4=8c3>d5<503h6l=4=8;b>d5<50k36l=4=8c5>d5<5ko:6l=4=cgb>d5<5j;n6l=4=cgg>d5<5j8:6l=4=b0;>d5<5kh36l=4=c`e>d5<5ki?6l=4=cag>d5<5kn=6l=4=cfg>d5<5ko?6l=4=cd2>d5<5j;=6l=4=c:;>d5<5?d5<51;86l=4=9g5>d5<50h26l=4=c:b>d5<5k2?6l=4=e7;>d5<5m986l=4=e2f>d5<5jo26l=4=ba7>d5<5k336l=4=c`5>d5<5k3j6l=4=b36>d5<5kn96l=4=b3b>d5<5knj6l=4=cg;>d5<5khh6l=4=ca;>d5<5m?h6l=4=e14>d5<5m;96l=4=bgg>d5<5ji36l=4=b06>d5<5j:>6l=4=b2g>d5<5klo6l=4=b2:>d5<5kl<6l=4=b22>d5<5k2n6l=4=c45>d5<5kd5<5k=>6l=4=c50>d5<5k=:6l=4=c4e>d5<5kd5<5k<26l=4=c40>d5<5k<:6l=4=45;>d5<5m>:6l=4=e1e>d5<5m9j6l=4=bd4>d5<5jl>6l=4=bd3>d5<5jn96l=4=bf3>d5<5jii6l=4=c;`>d5<5k3=6l=4=e45>d5<5md5<5m;h6l=4=e3b>d5<5m;>6l=4=e4g>d5<5m<36l=4=e6;>d5<5m>86l=4=e00>d5<5m;n6l=4=bdf>d5<5jl26l=4=bf:>d5<5jn?6l=4=e4b>d5<5m<:6l=4=e66>d5<5m9h6l=4=e03>d5<5m;<6l=4=bda>d5<5jl96l=4=bf5>d5<5jio6l=4=c61>d5<5k>;6l=4=c70>d5<5k?:6l=4=c6e>d5<5k>o6l=4=c6a>d5<5k>26l=4=c64>d5<5k>>6l=4=c1e>d5<5k9o6l=4=564>dc<5=8h6l=4}rf;7?6=;r7o594=869>6=5=9=>01u2d8196=1<5;286<::;<3;2?73<2wxh5?50;6x9a?52;2<70?74;370>;2?00:8;52297951254z?g=4<50>16=5<51568901>28>370<75;371>{tl>l1<7:t=e;3>7>034;3<7?;4:?63<<6<016>5;515:8yvb0m3:18v3k8g81<2=::1?1=984=05f>4233483:7?;4:p`=e=839p1i7l:3:4?84?<3;?m63>998201=z{m2i6=4<{489>5<0=9=>0q~j7a;297~;c1h094:52296951><583?6<:;;|qg<<<72:q6h475295897>328>=70?62;370>{tl121<7=t=e;;>7>0348387?;4:?2=5<6<=1vi68:1808b>?383;63=858200=:91o1=9:4}rf;2?6=;r7o5;4=869>6=5=9=k01<6l:067?xuc0<0;6>u2d8796=1<5;286<:6;<3;e?73<2wxh5:50;1x9a>b2;2<70<73;37<>;6010:895rse5g>5<4s4n3h7<77:?1<6<6v3k7`8g2>;6<;09=:5rs456>5<3s4n47|5m2o6lo4=e:f>dg<5m3>6lo4=e;5>dg<5m3<6lo4=e;;>dg<5m326lo4=e;b>dg<5m3i6lo4=e;`>dg<5m2m6lo4=e;3>dg<5m3:6lo4=e;1>dg<5m386lo4=e;7>dg<5;2>6??8;|q62c<72lq69=70;93;37=>;2>10:8452575951?<5<<26<:6;<75f?73127>:;4>489>13g=9=3018;n:06:?83283;?563:5b820<=:=<<1=974=47;>42>3ty>:h4?:7y>07>=:13019<6:3::?825i383563:1c8152=:<;;1=9:4=500>4233ty>:i4?:2y>14?=:8=019<>:065?825;3;?:6s|56294?0|5=2o6??8;<735?73027>4`9>140=9=k018?::06;?83603;?:6s|49g94?g|5=2n6?68;<711?gf34?9:7on;<713?gf34?947on;<71=?gf34?9m7on;<71f?gf34?9o7on;<6;f?7302wx8;?50;1x910>2;2<70:9a;f5?835=3n=7p};6383>6}:594=54a>a0<5<8=6i84}r657?6=;r7?:o4=869>03e=l?169?95d79~w1032908w0:9c;0;3>;3>m0o:63:298g2>{t7>034>=i7j9;<71=?b13ty?:;4?:2y>03c=:1=0198i:e48904f2m<0q~:97;297~;3>o094:524629`3=:=;h1h;5rs54;>5<5s4><<7<77:?66f2wx99j50;0x905c2m<0188=:334?xu2=<0;6?u252g9`3=:=<<1><94}r763?6=:r7>884k6:?61=<59>1v8;6:181833>3n=70;:a;023>{t=a0<5135=:8=0q~;:e;296~;2<00o:63:658152=z{7:p136=838p18:m:e4890012;;<7p}:6083>7}:==i1h;52575964152z?67c27>:54=169~w02a2909w0;;0;f5?832838:;6s|54394?4|5<>:6i84=44:>7703ty>9?4?:3y>114=l?169;o52058yv32;3:1>v3:428g2>;2>k09=:5rs477>5<5s4??87j9;<75g?46?2wx8<94}r1e5?6=:r78i44me:?0b4<59>1v>kl:18085bi3k?70=jc;023>;4ml0:8>5rs2d1>5<5s49n47lj;<1e6?46?2wx>5k50;0x9a>c2m<01<7m:334?xu51?0;6?u2d9g9`3=:9h91><94}r0:1v?7m:1818b>>3n=70?n5;023>{t:0i1<7a0<58k=6??8;|q1=a<72;q6h465d79>5d1=:8=0q~<6e;296~;c100o:63>a98152=z{;3m6=4={7:p6d6=838p1i7m:e4894gf2;;<7p}=a083>7}:l0i1h;521``964152z?g27:5n4=169~w7?62909w0j60;f5?87>l38:;6s|28094?4|5m3:6i84=0;f>7703ty95>4?:3y>`<4=l?16=4h52058yv4><3:1>v3k928g2>;6i909=:5rs3;6>5<5s4n287j9;<3b5?46?2wx8?<50;7x914328>?70;?f;37=>;3:;094:524339513<5=886<::;|q765<72370:=0;0;3>;3:80:8552431951>52z?76=27?=o4=169~w7?02909w0:=9;f5?826k38:;6s|28;94?4|5=8j6i84=3;b>7703ty?=h4?:9y>6`>=:;?01<9l:021?85bi3kj70=ja;f;?85b1384653ty?=i4?:42x97c0289m70?8c;c`?87>j3kh70?77;336>;6000:=;<3;b?77:27:5<4>039>5<5=99801<7::021?87>?3;;>63>7e8247=:9>l1==<4=0:2>46534;3?7??2:?2<0<68;16?ho5d69>7`g=:1301>kn:3c1?85b13;;:635?4=2g:>7>c349n47??6:?0a=<50916?h65293896c?2;2o70o8c;336>;f?l0:=;039>e=5=99801l6;:021?8g?=3;;>63n878247=:i>n1==<4=`61>de<5h?26lm4=`66>46534k?;7??2:?b0<<68;16m9l511089d2c28:970o;f;336>;f=80:6<>=;039>e`4=998019ol:`a891e42hi019oi:021?82e93;;>63;b28247=:46534>i57??2:?7fg<68;168oj5110891da28:970:nd;336>;4i;0:8>523`39515<5:k;6<:<;<1:b?73;2785h4>429>77l:060?823?3=m70:;7;:3?823?32:70:;7;:1?8b>m3;??63ka18206=z{8326=4<{<34g?4f:27:5o4>679>55<4s4;3;7<>7:?2<<u219`9641<582o6lm4=0c5>de53z?216=5h5ab9>5d1=ij1v<6i:18087?n38:;63>908bg>;6i10jo6s|18394?5|583:6??8;<3:7?gd34;j57ol;|q2=6<72:q6=4=5205894?22hi01=3:1?v3>948152=:90=1mn521``9ef=z{83<6=4<{<3:3?46?27:;i4nc:?2=fl3kh7p}>7g83>6}:9>l1><94=0:2>de<583n6lm4}r3;5?6=;r7:4<4=169>5=5=ij16=4h5ab9~w4>42908w0?73;023>;60<0jo63>a18bg>{t91?1<777034;j=7ol;|q760<728:p19<7:3:4?826k3;?963:688203=:=?<1=984=3;b>42334>:n7?;3:?626<6<1169;6515:8900028>370;9b;37<>;2>h0:855254c951><59;4>479>10>=9=20q~:=6;2955}:<;31>594=441>42234>:o7?;4:?622<6=70;:0;372>;51h0:88525719510<5<<36<:9;<75=?73027>:;4>499>13g=9=<018;l:065?832>3;?463:598203=z{=8<6=4j{<61e?4??27>:>4>449>13g=9=?018;l:066?832>3;?963:598200=::0k1=984=44;>42234?=;7?;5:?62<<6<<169;l51578900128>>70;:a;371>;2=90:885rs45a>5<5s4?nj7<>7:?ff?73;2wx95<50;0x90ge2;;<70h=:060?xu20:0;6?u25c69641<5o91=9=4}r7;0?6=:r7>ni4=169>b1<6<:1v86::18183d>38:;63i5;377>{t=1<1<777034l=6<:<;|q6<2<72;q69il520589c1=9=90q~;78;296~;2m?09=:52f98206=z{<226=4={<7f`?46?27m57?;3:p1=g=838p18h::334?8`f28>87p}:7b83>7}:=on1><94=da951552z?542<59>16ii4>429~w01b2909w08?f;023>;bm3;??6s|56d94?4|5<3?6??8;4243ty>4=4?:3y>151518yv3?93:1>v3:a38152=:n80:8>5rs4:a>5<41r7h;=4=169>1<3=9=>01877:067?83>;3;?86390g8201=:>9=1=9:4=4c1>42334?nm7?;4:?6aa<6<=169k=5156890`228>j70;kf;37<>;2m90:8;525d09510<527>h94>499>1a3=9=<018j8:065?83c13;?463:d`8203=:=j31=964=4ab>42134?ho7?;6:?6g`<6<1169nh5154890b628>370;k2;372>;2lj0:8;525eg951><5o=4>479>1f4=9=<018m;:06;?83d=3;?:63:b7820==:=k=1=984=4`:>42134?in7?;8:?6ff<6=70;m0;372>;2j;0:85525c19510<527>m:4>479>1d?=9=2018on:065?83a03;?:63:f0820<=:=oo1=9o4=4de>42134?mn7?;9:?6bf<6<11vl=k:18`8e1038:;639138203=:>8:1=974=72b>42134<;>7?;6:?6=a<63708>b;370>;1900:84525d3951?<5?:i6<:;;|qb7`<72jq6o;752058937528>>708>0;37<>;18h0:88526109513<5<3o6<::;<421?73>27==>4>479>24d=9=301;?6:067?83b93;?46390c8200=z{h9m6=4i{449>246=9=<01;>k:065?807m3;?4639048203=:>9<1=964=4c3>42134?j=7?;8:?550<6<=16:<=515;8937e28>=708>9;372>;2m80:895261`951>5fz?`2g<59>16:<651548937728>>708?d;371>;18l0:84526179513<5?:=6<:6;<7b4?73=27>m<4>489>243=9=301;?<:067?806j3;?463918820==:=l;1=9;4=72a>42>3tyj8<4?:`y>g3e=:8=01;?=:067?80603;?8639118201=:>8?1=9;4=730>42234<:n7?;5:?55<<6<<169h?51548936e28>=7p}6b883>42|502=6<::;<;;b?73<27i4n4>479>f=0=9=<014l7:066?83b:3;?963:d68200=:=ji1=9;4=4f`>42234?h>7?;5:?6f<<6<<169o>5157890g028>>70;67;372>;21;0:8;525da951><5499>f<7=9=>0q~6j6;2954}:0k91=9;4=9``>423342n97?;5:?6a0<6<<169io5157890ea28>>70;j7;371>;2k<0:88525ca9513<5479>252=9=<0187i:065?8>b>383;63m918200=:0l=1=964}r:27?6=98q6;h>5157892c>28>?706>2;371>;2m90:88525e79513<5o=4>449>1g1=9=?018oj:066?83f=3;?963:e88203=:=o21=964=4d5>42>342:?7<77:?a=5<6<=164<:51548yv1383:1=?u271f9513<5>;=6<:;;<`:4?73>27449>1`2=9=?018j6:066?83dm3;?963:dd8200=:=j>1=9;4=4`a>42234?i>7?;5:?6e<<6<<16:=751548936628>=70;6c;372>;2n80:855275296=1<5>>:6<:7;|q52g<72oq6:>651578932628>?7089a;371>;2lo0:88525e69513<5nk4>449>1g0=9=?018ok:066?83f<3;?963:ed820==:>?h1>594=c:e>42234<=o7?;6:p1=e=83;:w0;7d;023>;a938hn63i0;0`f>;bn38hn63je;0`f>;bl38hn63jc;0`f>;ai38hn63i9;0`f>;a038hn63i7;0`f>;a>38hn63i5;0`f>;a<38hn63i3;0`f>;a:38hn63jb;0`f>{t<9o1<7;t=52a>42?34>;=7?;8:?742<6?7p};0e83>3}:<8:1>594=520>42334>;n7?;6:?744<6<=168=951578917528>>7p};0b83>2}:<9l1>594=520>42234>;n7?;5:?744<6<<168=951568917528>=70:?2;377>{t<9?1<7a0<5=:86??8;|q741<72;q68=h5d79>054=:8=0q~:?0;297~;38o09445240296=?<5=::6??8;|q756<72:2p19?8:3::?8260383563;3381<<=:<:91>574=5:2>7>>34>3>7<79:?7<6<5001685:529;891>22;2270:76;0;=>;30>09445249:96=?<5=<26?66;<65e?4?127?:o4=889>03e=:130198k:3::?821m383563;6g81<<=:<>:1>574=5:f>7>>34>>i7<79:?71c<500168;>529;891>>2;2270;;2;l09445255796=?<5<>=6?66;<773?4?127>854=889>11?=:13018:n:3::?833j383563:4b81<<=:=:l1>574=463>7>>34??=7<79:?607<5001699=529;890232;2270;=5;0;=>;2:?09445253596=?<5<836?66;<71=?4?127>>l4=889>17d=:13018383563:1381<<=:=9?1>574=421>7>>34>mj7<79:?7bf<500168<:52058yv5am3:1>v3;188g2>;4no09=:5rs52b>5<5s4>;n7<>7:?74<<6<=1v9>9:180827>383;63;06820<=:l0o1>nl4}r62=?6=?r7?=44=869>05`=ih168<>5a`9>05d=9=3019>>:065?827?3;?463;13820==z{=:36=4<{<63449>`d6=:jh0q~:>6;296~;391094:523gd9512:97>53z?752<50>168<65d79>7c`=9=?0q~8<7;29<~;1;109=:5258;951?<5<3?6<:6;<43e?73127=489>13708=6;37<>;1;80:88526209513<5?986<::;<400?73=27=>k4>449>266=9=?01;:<1=9;4=73e>42234<9=7?;5:?565<6=708=8;372>;1:00:8;5263c9510<5?8i6<:9;<41g?73>27==h4>479>26`=9=201;=k:066?80a83;?46398b8200=z{?7z?5<<<50>16:?=51548934328>=708=5;372>;1:?0:8;52623951><5?996<:7;<407?73027=?94>499>27`=9=<01;=?:065?805l3;?:6392d8203=:>:?1=984=715>42134<:j7?;6:?564<6=708;19m0:89526g2951?<5?2h6<:n;|q52a<728lp1;67:3:4?805;3;?9639258200=:>;?1=9;4=705>42234<8=7?;6:?577<6=51548935328>=708=f;37<>;1;90:855263f951><5?8n6<:7;<401?73027=?;4>499>24`=9=201;<>:06;?80583;?9639238200=:>;=1=9;4=70;>42234<957?;5:?56d<6<<16:?l51578934d28>>708>e;370>;1;m0:855260f9513<5?l;6<::;<4;g?73127==n4>429~w35e2909w087a;f5?806m38:;6s|62c94?4|5?226i84=73g>7703ty=?44?:3y>2=>=l?16:;1000jm6398`8be>;1<:09=:5rs763>5<61r7=h84na:?5`3;1i?0jm639b08be>;1j?0jm639b68be>;1j10jm639b88be>;1jh0jm639bc8be>;1jj0jm639a68be>;1i10jm639a88be>;1ih0jm639ac8be>;1ij0jm639ae8be>;1il0jm639ag8be>;1j90jm639b38be>;1j:0jm639b58be>;1j<0jm6393g8152=z{?oo6=4>1z?5a`<59>16of`4=9=k01okm:06b?801k3;?m63840820d=:08>1=9o4=9g4>42f343im7?;a:?a`g<6j70lk1;37e>;d9o0:8l52bcf951g<5j8=6<:n;<`:5?73i2wx::>50;0x93g22m<01;;81><94}r4;4?6=:r7=n<4k6:?562<59>1v;6>:18180e>3n=708=8;023>{t>181<7a0<5?826??8;|q5<6<72;q6:o65d79>27g=:8=0q~874;296~;1j00o:6392c8152=z{?2>6=4={<4ae?b134<9o7<>7:p2=0=838p1;lm:e48934c2;;<7p}98683>7}:>ki1h;5263g964152z?5e227=>k4=169~w3152909w08n8;f5?804838:;6s|66194?4|5?k26i84=712>7703ty=;94?:3y>2dg=l?16:><52058yv00=3:1>v39ac8g2>;1;:09=:5rs755>5<5s4:<1><94}r44=?6=:r7=mk4k6:?55c<59>1v;9n:18180e83n=708=1;023>{t>>i1<7a0<5?886??8;|q53a<72;q6:o=5d79>272=:8=0q~88e;296~;1j=0o:639248152=z{?=m6=4={<4a1?b134<9:7<>7:p26e=83>p1;67:3::?80?138356398`81<<=:>:n1><94}r4a`?6=:r7=h84=869>1a7=9=30q~8l8;296~;1l?094:525`6951?52z?5a4<50>169lj515;8yv0dm3:1>v39e781<2=:=k<1=974}r4`b?6=:r7=i:4=869>1g`=9=30q~8k0;296~;1m1094:525b;951?52z?5a<<50>169i:515;8yv0c:3:1>v39e`81<2=:=ml1=974}r4g7?6=:r7=io4=869>1`c=9=?0q~8k4;296~;1mj094:525g7951>52z?5`2<50>169kh51578yv0en3:1>v39d981<2=:>981=9o4}r4`4?6=:r7=h44=869>25g=9=k0q~8l1;296~;1lh094:52586951g7>52z?5`g<50>16947515c8yv0d;3:1>v39db81<2=:=0n1=9o4}r4`0?6=:r7=hi4=869>1a7=9=k0q~8l5;296~;1ll094:525`6951g52z?5`c<50>169lj515c8yv0d?3:1>v39e181<2=:=k<1=9o4}r4`=?6=:r7=i?4=869>1g`=9=k0q~8la;296~;1m:094:525b;951g52z?5a1<50>169i:515c8yv0dk3:1>v39e481<2=:=ml1=9o4}r4;`?6=;r7=m84=869>2a3=l?16:?>51568yv0>03:1?v39a781<2=:>m<1h;52630951253z?5f4<50>16:h?5d79>271=9=>0q~86e;297~;1j?094:526d49`3=:>;21=9:4}r4:b?6=;r7=n:4=869>2`1=l?16:?751568yv0f83:1?v39b981<2=:>l21h;5263c951253z?5f<<50>16:h75d79>27d=9=>0q~8n2;297~;1jh094:526dc9`3=:>;i1=9:4}r4b7?6=;r7=no4=869>2`d=l?16:?j51568yv0f<3:1?v39bb81<2=:>li1h;5263g951253z?5e2<50>16:i95d79>27`=9=>0q~87f;297~;1i1094:526e:9`3=:>::1=9:4}r4:4?6=;r7=m44=869>2a?=l?16:>?51568yv0>93:1?v39a`81<2=:>mk1h;5262095127>53z?5eg<50>16:il5d79>265=9=>0q~863;297~;1ij094:526ea9`3=:>:>1=9:4}r4:0?6=;r7=mi4=869>2ab=l?16:>;51568yv0>=3:1?v39ad81<2=:>mo1h;52624951253z?5ec<50>16:ih5d79>24`=9=>0q~867;297~;1j9094:526d29`3=:>;;1=9:4}r4:=?6=;r7=n?4=869>2`4=l?16:?=51568yv0>i3:1?v39b281<2=:>l91h;52636951253z?5f1<50>16:h:5d79>273=9=>0q~86c;297~;1j<094:526d79`3=:>;<1=9:4}r45=?6=kr7=?54>459>23?=:1=018ji:067?83c<3;?863:c88201=:=m;1=9:4=4`e>42334?i:7?;4:?6ea<6<=169l:5156890cb28>=7089c;371>{t>ll1<7;t=71e>42234<8h7?;6:?506<6<=16:hh5295893`728>?7p}96183>6}:>42234<=97<77:p20c=838p1;8>:3:4?801<3;?96s|64d94?2|5??o6<::;<454=869>230=9=?0q~8;5;293~;1::0:8452623951?<5?8o6<:6;<401?73127=>=4>499>27?=9=201;:7:3:4?xu1<=0;6?u265496=1<5?>26<:9;|q5e2;2<7089c;370>{t>7>034?=1>594=7d3>42134<3o7?;4:p201=83=p1;<9:06:?804<3;?563931820<=:>;;1=974=70;>42?34<9o7?;8:?51d<50>1v;;9:1818020383;6395c8203=z{??:6=4:{<411?73127=?>4>489>27`=9=301;?i:06:?802<383;6s|64294?2|5?8<6<:7;<41f?73027=9?4=869>203=9=<0q~8;b;293~;1:=0:8452620951?<5?8n6<:6;<402?73127=>?4>499>27g=9=201;:j:3:4?xu1m6<:9;|q44f<72j70;61;37e>;2mk0:84525gg951>51dy>30`=:1=01;h7:06;?80a13;?4639f`820==:>oh1=964=625>42234=;;7?;5:?44=<6<<16;=751578926328>>709?5;371>;08;0:88527119513<5>:j6<::;<53f?73=27=j94>449>2c0=9=?01;h::065?80a?3;?:639fb8203=:>on1=984=7df>42134=709>4;37<>;09;0:88527b1951><5><:6<::;|q406<728=p1:;j:3:4?80a03;?:639f88203=:>ok1=984=7da>42134=;:7?;8:?442<6<116;=6515:8926>28>3709?4;372>;08<0:8;527109510<5>:86<:9;<53e?73>27<479>2c2=9=<01;h9:065?80a;3;?9638158203=:?881=974=7d1>42334=h?7?;9:?424<6449>350=9=<01:>8:065?81703;?:638088203=:?9>1=964=626>42?34=;>7?;8:?446<6<116;=o515:8926e28>3708i4;37<>;1n?0:85526g79513<5?l<6<::;<4eg?73=27=ji4>449>2cc=9=?01;hi:066?81783;?9638008200=:>o91=9:4=631>42?347?;5:?4g6<6<<16;;?515;893`628>87p}81183>7}:?52z?41`27=j?4=169~w26b2909w09:d;f5?80a938:;6s|70594?2|5>?o6lo4=67f>dg<5>?m6lo4=63;>7703ty<=84?:0;x92gf2hk01:om:`c892d12hk01:lm:`c892dd2hk01:lk:`c892db2hk01:li:`c892e72hk01:m>:`c892gd2hk01:ok:`c892gb2hk01:oi:`c892d72hk01:l>:`c892d52hk01:l<:`c892d32hk01:l::`c892d02hk01:l7:`c892d>2hk01:ln:`c892712;;<7p}81283>4?|5>=j6lo4=65a>dg<5>2=6lo4=6:a>dg<5>2h6lo4=6:g>dg<5>2n6lo4=6:e>dg<5>3;6lo4=6;2>dg<5>=h6lo4=65g>dg<5>=n6lo4=65e>dg<5>2;6lo4=6:2>dg<5>296lo4=6:0>dg<5>2?6lo4=6:6>dg<5>2<6lo4=6:;>dg<5>226lo4=6:b>dg<5>;?6??8;|q400<72;q6;:o5d79>2c3=:8=0q~9:0;296~;0?k0o:639f68152=z{>?>6=4={<5;2?b1347:p300=838p1:6m:e4893`c2;;<7p}85683>7}:?1i1h;526gg964147>52z?427=jk4=169~w23>2909w097e;f5?817838:;6s|74c94?4|5>2m6i84=622>7703ty<9o4?:3y>3<6=l?16;=<52058yv12k3:1>v38908g2>;08:09=:5rs665>5<5s4=::334?xu0<10;6?u276g9`3=:?9<1><94}r57=?6=:r7<;k4k6:?442<59>1v::n:18181?83n=709?8;023>{t?=h1<7a0<5>:26??8;|q40f<72;q6;5<5d79>35g=:8=0q~9;d;296~;00:0o:6380c8152=z{>>n6=4={<5;0?b1347:p31`=838p1:6::e4893`12;;<7p}85083>7}:?1=1h;526g:9641>7>52z?4<=27=j44=169~w2342909w0979;f5?80ai38:;6s|74694?4|5>2j6i84=7da>7703ty<=<4?:5y>30b=:1301:;j:3::?812n3835638138152=z{>396=4={<5be?4??27>hh4>489~w2?c2909w09nb;0;3>;2i00:845rs6c1>5<5s4=i:7<77:?6f7<6<01v:o<:18181ej383;63:bc820<=z{>k?6=4={<5ag?4??27>o94>489~w2g22909w09md;0;3>;2kl0:845rs6c5>5<5s4=ii7<77:?6`<<6<01v:o8:18181en383;63:e5820<=z{>k36=4={<5`4?4??27>io4>4`9~w2g>2909w09l1;0;3>;2n80:885rs6;0>5<5s4=jo7<77:?6b`<6<01v:7;:18181fl383;639008201=z{>3>6=4={<5ba?4??27=<44>459~w2?12909w09nf;0;3>;2180:845rs6;4>5<5s4=i<7<77:?6=3<6<01v:77:18181e9383;63:9b8201=z{>326=4={<5a6?4??27>hh4>4`9~w2?f2909w09m3;0;3>;2i00:8l5rs6;a>5<5s4=i87<77:?6f7<63n6=4={<5a3?4??27>o94>4`9~w2?a2909w09m8;0;3>;2kl0:8l5rs6c3>5<5s4=i57<77:?6`<<6:18181ei383;63:e5820d=z{><96=4<{<54e?4??27;1n>0:895rs651>5<4s4=3:7<77:?4f327=jn4>459~w2142908w097b;0;3>;0jk0o:639fe8201=z{>=?6=4<{<5;g?4??27;1no0:895rs655>5<4s4=3i7<77:?4f`27<<=4>459~w2102908w097f;0;3>;0jo0o:638008201=z{>=36=4<{<5:4?4??279383;638c08g2>;08:0:895rs640>5<4s4=27<<94>459~w2032908w098d;0;3>;0im0o:638048201=z{><>6=4<{<54a?4??27;08>0:895rs644>5<4s4=3<7<77:?4f527<<54>459~w20?2908w0971;0;3>;0j80o:638088201=z{><26=4<{<5;6?4??27;08k0:895rs64a>5<4s4=387<77:?4f127=j94>459~w20d2908w0975;0;3>;0j<0o:639f78201=z{>;1n00:895rs653>5<4s4=357<77:?4f<27=jl4>459~w2162908w097a;0;3>;0jh0o:639fc8201=z{>9n6=4i{<53`?73<271`2=9=>018j6:067?83dm3;?863:dd8201=:=j>1=9:4=4`a>42334?i>7?;4:?6e<<6<=16:=751578936628>>70;6c;371>;2n80:8;5275395137>55z?451<6<<16;<<51548927?28>?709l2;0;3>;0k:0:895rs616>5<4s4=8>7?;8:?47a<6<<16;>o52958yv14;3:1>v383781<2=:?:31=9;4}r500?6=449>36b=9=201:=7:3:4?814j3;?96s|70c94?1|5?l36<:6;<532?73127<489>35g=9=301;h::06;?80am3;?46381e81<2=z{>;26=4={<52f?4??27<=h4>479~w2072908w09>6;371>;0>9094:52753951252z?474<50>16;n=515c8yv14k3:1:v38158201=:?881=9:4=63;>42234=8o7<77:?4g6<642>347}:?;n1>594=613>4213ty<>;4?:4y>2cg=9=301:>7:06:?817<3;?5639f5820<=:?;31>594}r511?6=499>356=9=201:<8:3:4?815i3;?:6s|73294?1|5?l26<:6;<533?73127<<>4>489>35d=9=301;h8:06;?80an3;?46382281<2=z{>;m6=4={<515?4??27<>94>479~w2ba290=w09j0;023>;21?0:8;525839510<5?:o6<:6;<431?73127>m=4>489~w=70290:iv373381<2=:?jh1=964=6a`>42?34=hh7?;8:?4g`<6<116;i75157892bf28>>709kb;371>;0lj0:88527e59513<5>n36<::;<5g1?73=27449>3ab=9=?01:jj:066?81d?3;?9638c88200=:?j21=984=6ab>42134=hj7?;6:?4`5<6=709k3;372>;0l=0:8;527b49510<5>o<6<:7;<5f1?73=2735;4>499><62=9=?0q~6>6;2952}:0:;1>594=6aa>42134=ho7?;6:?4ga<628>3709ka;37<>;0lk0:85527ea951><5>n<6<:9;<5g27479>3a0=9=<01:jk:065?81cm3;?:638c68203=:?j31=984=6a5>42234=n;7?;6:?4a0<6<016;n;515689=?128>2706<4;37e>{t08?1<7?i{<:04?4??27449>3fe=9=?01:mk:066?81dm3;?9638d88203=:?mk1=984=6fa>42134=oo7?;6:?4`2<6<116;i6515:892b228>3709k6;37<>;0lm0:85527eg951><5>i<6<:7;<5`=?73027449>3fg=9=?01:mi:066?81c83;?9638d08200=:?m81=9;4=6f0>42234=o87?;5:?4g3<6<=16;h;515:892e228>>70666;371>;?;=0:84527b6951552z?;77277703ty<66=ih164>?5a`9><64=ih16;hl52058yv1b03:1=4u286f9ed=:0>o1ml5289;9ed=:01o1ml5289d9ed=:00:1ml528839ed=:0081ml528819ed=:00>1ml5286d9ed=:01:1ml528939ed=:0181ml528919ed=:01>1ml528979ed=:01<1ml528959ed=:0121ml5289c9ed=:01h1ml5289a9ed=:01n1ml527d;9641518y><1b=ih1649k5a`9><0?=ih1648k5a`9><0`=ih164;>5a`9><37=ih164;<5a`9><35=ih164;:5a`9><1`=ih1648>5a`9><07=ih1648<5a`9><05=ih1648:5a`9><03=ih164885a`9><01=ih164865a`9><0g=ih1648l5a`9><0e=ih1648j5a`9>3`1=:8=0q~6>8;296~;?7:p<7>=838p15;6:e4892ea2;;<7p}72883>7}:052z?;1c277703ty3>i4?:3y><34=l?16;i:52058yv>5m3:1>v37628g2>;0l<09=:5rs90e>5<5s42=87j9;<5g2?46?2wx4<750;0x9=2a2m<01:j8:334?xu?9h0;6?u28429`3=:?m21><94}r:2f?6=:r739<4k6:?4`<<59>1v5?l:1818>2:3n=709ka;023>{t08n1<7a0<5>ni6??8;|q;5`<72;q648:5d79>3ae=:8=0q~6>f;296~;?=<0o:638de8152=z{18;6=4={<:62?b134=oi7<>7:p<77=838p15;8:e4892e02;;<7p}72383>7}:0<21h;527b;964152z?;1d277703ty3>:4?:3y><0b=l?16;nk52058yv1b<3:18v373181<<=:0:;1>574=911>7>>34=n97<>7:p<33=838p159k:3:4?83c:3;?46s|86294?4|51=n6?68;<7b1?7302wx4:;50;0x9=>>2;2<70;ne;37<>{t0><1<77>034?i;7?;8:p<21=838p156i:3:4?83d83;?46s|86:94?4|513;6?68;<7`e?7302wx4:750;0x9=?62;2<70;k5;37<>{t0>k1<77>034?n<7?;8:p<2d=838p157<:3:4?83b13;?86s|86a94?4|513?6?68;<7e2?73>2wx4;850;0x9=1a2;2<70;i8;371>{t0?=1<77>034<;97?;a:p<3>=838p156>:3:4?807l3;?m6s|87;94?4|51296?68;<7:5?7302wx4;o50;0x9=>42;2<70;66;37<>{t0?h1<77>034?j<7?;a:p<3e=838p156::3:4?83c:3;?56s|87f94?4|512=6?68;<7b1?7312wx4;k50;0x9=>02;2<70;ne;37=>{t0?l1<77>034?i;7?;9:p<27=838p156n:3:4?83d83;?56s|86094?4|512i6?68;<7`e?7312wx4:=50;0x9=>d2;2<70;k5;37=>{t0>>1<77>034?n<7?;9:p<63=839p15:k:3:4?8>0l3n=709l8;370>{t0=:1<7=t=96f>7>03422;2<70679;f5?81dn3;?86s|85494?5|51?n6?68;<:;a?b134=o<7?;4:p<11=839p15;i:3:4?8>?n3n=709k1;370>{t0=21<7=t=943>7>03422<7j9;<5g6?73<2wx49750;1x9=062;2<70661;f5?81c;3;?86s|85c94?5|51<96?68;<::6?b134=o87?;4:p<1d=839p158<:3:4?8>>;3n=709k5;370>{t0=i1<7=t=947>7>0342287j9;<5g2?73<2wx4>850;1x9=2a2;2<7068f;f5?81c?3;?86s|82594?5|51?;6?68;<:;4?b134=o47?;4:p<6>=839p15;>:3:4?8>?93n=709k9;370>{t0:31<7=t=971>7>03423>7j9;<5ge?73<2wx4>o50;1x9=342;2<70673;f5?81cj3;?86s|82`94?5|51??6?68;<:;0?b134=oo7?;4:p<6e=839p15;::3:4?8>?=3n=709kd;370>{t0:n1<7=t=975>7>03423:7j9;<5ga?73<2wx4>k50;1x9=302;2<70677;f5?81d?3;?86s|82d94?5|51?36?68;<:;?i3n=709lb;370>{t0=81<7=t=97a>7>03423n7j9;<5`g?73<2wx49=50;1x9=3d2;2<7067c;f5?81dl3;?86s|85694?5|51?o6?68;<:;`?b134=hi7?;4:p<47=83op1:k?:067?8>69383;63:e18201=:=m?1=9:4=4ab>42334?o>7?;4:?6g5<6<=169o95156890gb28>?70;n5;370>;2m00:88525g:951?<5>709j5;372>;0mk0:895288796=1<513=6<:;;|q;4=<72:q64=;515:89=7728>>706?d;0;3>{t09<1<77>0342;o7?;5:p<51=83>p15>::066?8>683;?46370c81<2=:09o1=9;4}r5f`?6=?r7489>3a?=9=301:j::06:?81cl3;?5638c9820==:?m;1=964=6d3>7>03ty3`c=:1=01:h>:065?xu?;:0;6>u27d;9513<51986?68;<:20?73<2wx4=:50;0x9=632;2<70666;37e>{t09l1<78t=6g4>42334=n97?;4:?4ag<6<<164=h529589=?128>=706<4;370>{t?ol1<79t=6af>42>34=oo7?;9:?4`=<6<016;n7515;892b728>3709k4;37<>;?8;094:5rs6df>5<5s42;<7<77:?;46<642>34=mo7<77:p3c>=83>p1:mi:06;?81c;3;?4638f`81<2=:?on1=984}r5e7?6=?r7489>3ag=9=301:j9:06:?81cm3;?5638c`820==:?m81=964=6d5>7>03ty3c2=:1=01:h8:065?xu?j;0;6;u28c19641<5<336<::;<7:7?73=27>io4>449>1c5=9=2018hl:065?xu?mh0;67>03422i7?;8:?;=c<6<1164l>515:89=g628>3706nc;371>;?im0:88528`g9513<51km6<::;<:be?73=273mo4>449>=9=?015o6:066?8>e83;?9637b08200=:00k1=9;4=9;`>4223422n7?;6:?;=a<6=706n4;372>;?i<0:8;528`49510<51k<6<:9;<::=?73>273nl4>499>=9=?01486:06;?8?7?3;?96s|8d;94?70s43;87<77:?;=`<6=706n1;372>;?ij0:85528`f951><51kn6<:7;<:bb?730273ml4>479>f13;?:637b18203=:0k;1=984=9;b>4213422o7?;6:?;=<<6<<164oo515489=d?28>270668;370>;>>00:8452915951g51gy>=55=:1=0157j:066?8>>n3;?9637a18200=:0h;1=9;4=9c`>421342jh7?;6:?;e`<63706nb;37<>;?i10:85528`;951><51h;6<:7;<:a5?7302735l4>499><>l3;?9637a38200=:0h91=9;4=9c7>422342j97?;5:?;e3<6<<164l9515789=?>28>?706m8;37<>;?110:885297;9513<50:<6<:6;<::3?73;2wx4o850;0x9<622m<01576:334?xu?j<0;6?u29169`3=:0021><94}r:a0?6=:r72<>4k6:?;=2<59>1v5lk:1878?7;3kj707?4;cb?8?7=3kj706me;023>{t0kh1<7?6{<;64?gf343>=7on;<;6g?gf343==7on;<;56?gf343=?7on;<;50?gf343=97on;<;52?gf343=;7on;<;66?gf343>?7on;<;60?gf343>97on;<;62?gf343>;7on;<;657on;<;6e?gf343>n7on;<;6`?gf343>i7on;<;6b?gf343=<7on;<:ag?46?2wx4o750;3:8?583kj707=1;cb?8?5k3kj707<1;cb?8?4:3kj707<3;cb?8?4<3kj707<5;cb?8?4>3kj707<7;cb?8?5:3kj707=3;cb?8?5<3kj707=5;cb?8?5>3kj707=7;cb?8?503kj707=9;cb?8?5i3kj707=b;cb?8?5l3kj707=e;cb?8?5n3kj707<0;cb?8>ei38:;6s|8d`94?4|508;6i84=9;a>7703ty3j;4?:3y>=77=l?1644j52058yv>aj3:1>v362b8g2>;?i;09=:5rs9d`>5<5s438=7j9;<:b7?46?2wx4kj50;0x9<552m<015o;:334?xu?nl0;6?u29219`3=:0h?1><94}r:eb?6=:r72?94k6:?;e3<59>1v4>?:1818?4=3n=706n7;023>{t19;1<7a0<51k36??8;|q:47<72;q65>95d79>:;0o:637a`8152=z{1oo6=4={<;17?b1342jn7<>7:p<`c=838p14<;:e489=gd2;;<7p}7eg83>7}:1;?1h;528`f964152z?:63273mh4=169~w=`62909w07=7;f5?8>fn38:;6s|8g094?4|50836i84=9`3>7703ty3j>4?:3y>=7?=l?164o?52058yv>a<3:1>v362`8g2>;?1h09=:5rs9d6>5<5s439n7j9;<::g?46?2wx4k950;0x9<4c2m<0157j:334?xu?n10;6?u293g9`3=:00l1><94}r:e=?6=:r72>k4k6:?;e5<59>1v5hn:1818?483n=706n1;023>{t0k=1<7:t=820>7>>343;87<79:?:40<500164o652058yv?403:1>v365181<2=:=l=1=964}r;77?6=:r729<4=869>1dg=9=20q~7;8;296~;>=j094:525c1951>52z?:24<50>169om515:8yv?3i3:1>v366381<2=:=j?1=964}r;7f?6=:r72:>4=869>1f`=9=20q~7;c;296~;>>=094:525ec951>52z?:20<50>169h;515:8yv?3m3:1>v366781<2=:=lh1=984}r;7b?6=:r72::4=869>1c5=9=30q~7<9;296~;>=;094:525ga951352z?:16<50>16:=:51568yv?4j3:1>v365581<2=:>9i1=9:4}r;0g?6=:r72984=869>1<5=9=<0q~7=?094:5258:951052z?:12<50>1694h51568yv?4n3:1>v365981<2=:=l=1=974}r;74?6=:r72944=869>1dg=9=30q~7;1;296~;>=h094:525c1951?7>52z?:1g<50>169om515;8yv?3<3:1>v365e81<2=:=j?1=974}r;71?6=:r729h4=869>1f`=9=30q~7;6;296~;>=o094:525ec951?52z?:25<50>169h;515;8yv?703:1?v362181<2=:1<:1h;5288`951253z?:64<50>1658?5d79><0q~7>8;297~;>:j094:5294a9`3=:0h81=9:4}r;2=?6=;r72?<4=869>=37=l?164l=51568yv?6i3:1?v363381<2=:1?81h;528`6951253z?:76<50>165;=5d79>0q~7>c;297~;>;=094:529769`3=:0h<1=9:4}r;2`?6=;r72?84=869>=33=l?164l951568yv?6m3:1?v363781<2=:1?<1h;528`:951253z?:72<50>165;95d79>0q~7?9;297~;>:;094:529409`3=:0hk1=9:4}r;3e?6=;r72>>4=869>=05=l?164ll51568yv?7j3:1?v362581<2=:1<>1h;528`a951253z?:60<50>1658;5d79>0q~7?d;297~;>:?094:529449`3=:0ho1=9:4}r;3a?6=;r72>:4=869>=01=l?164lh51568yv?7n3:1?v362981<2=:1<21h;528c2951253z?:6<<50>165875d79>0q~7>1;297~;>:h094:5294c9`3=:00k1=9:4}r;26?6=;r72>o4=869>=0d=l?1644m51568yv?6<3:1?v362e81<2=:153z?:6`<50>1658k5d79><<`=9=>0q~7>6;297~;>:o094:5294d9`3=:0h:1=9:4}r;23?6=;r72?=4=869>=36=l?164l?51568yv>b<3:1iv37b28201=:0l>1>594=4g6>42334?om7?;4:?6gc<6<=169h95156890e228>?70;mc;370>;2j:0:89525`c9512<5?:h6<::;<430?73=27>5k4>449><`1=9=?0q~798;291~;?jh0:88528c:9510<51hn6<:;;<;5459~w=be2908w06k8;37<>;?m:0:88528d296=152z?;`f<50>164ih51578yv>ci3:18v37d98200=:0l91=964=9ff>7>0342n=7?;5:pfk3;?5637a9820<=:0k:1=974=9;a>42?342j87?;8:?;g6<50>1v5li:1818>d9383;637c58203=z{0:=6=4<{<:ag?73=272<;4=869><`1=9=>0q~6k7;296~;?l>094:5297;951g7>56z?;fd<6<=164o6515689=db28>>706j2;0;3>;>>00:8;5291595127>57z?;e4<6<0164lh515;89=ge28>27066c;37=>;?i:0:85528`5951><51n>6?68;|q;`4<72;q64i=529589=b128>=7p}7cb83>0}:0h:1=974=9cf>42>342jm7?;9:?;=d<6<0164nh52958yv>dj3:18v37a3820==:0h<1=964=9ag>7>0342o<7?;6:pfl3;?5637a8820<=:0k;1=974=9;g>42?342j97?;8:?;g<<50>1v5m::1818>d?383;637c`8203=z{02>6=4:{<;;2?46?27=

449>250=9=?018o>:066?83aj3;?:6s|9cf94?7bs43o47<77:?:34<6<1165:<515:89<1428>370784;37<>;>?o0:88529929513<502:6<::;<;;6?73=272;i4>449>=2c=9=?0149m:066?8?0k3;?9636828200=:11>1=9;4=84g>422343=j7?;5:?:2`<6515489<1228>=70786;372>;>?>0:8;5296:9510<50=26<:9;<;4e?73>272:n4>479>==b=9=20146m:066?8g4k3;?4636d`8200=z{0hh6=4>7z?:`2<50>165:?515489<1528>=70783;372>;>?=0:8;5296d951><502;6<:7;<;;5?7302724?4>499>=2b=9=<0149j:065?8?0j3;?:6367b8203=:1191=984=8:7>421343=h7?;6:?:2c<6c28>=7077b;37=>;>>k0:8952a2a951?<50nj6<:n;|q:fg<728lp14j9:3:4?8?093;?9636738200=:1>91=9;4=857>422343528>=7078d;37<>;>?l0:855296`951><50=h6<:7;<;;7?730272494>499>=3b=9=20148i:06;?8?1m3;?9636718200=:1>?1=9;4=855>422343<;7?;5:?:3=<6<<165:7515789<1f28>>7079c;370>;>0k0:855297`9513<5h9h6<::;<;ge?731272:l4>429~w<>>2909w07k8;f5?8?1k38:;6s|99:94?4|50n<6i84=84a>7703ty24:4?:3y>=a0=l?165;o52058yv?>83:18v36d78be>;>l>0jm636d98be>;>1809=:5rs8:f>5<61r7j>>4na:?b61k4na:?b7184na:?b63:4na:?b6=44na:?b6do4na:?b6fi4na:?b6`d290:5v36f28be>;>n=0jm636fg8be>;f8=0jm63n048be>;f8?0jm63n068be>;f810jm63n088be>;f8h0jm636f48be>;>n?0jm636f68be>;>n10jm636f88be>;>nh0jm636fc8be>;>nj0jm636fe8be>;>nl0jm63n018be>;f880jm63n038be>;f8:0jm6368e8152=z{0hn6=4={<;e7?b1343=i7<>7:p=f?=838p14h;:e489<172;;<7p}6cd83>7}:1ol1h;52967964152z?b41272;;4=169~w7703ty2h?4?:3y>e51=l?165:752058yv?c;3:1>v3n098g2>;>?h09=:5rs8f7>5<5s4k;57j9;<;4f?46?2wx5i;50;0x9d6f2m<0149l:334?xu>jo0;6?u29g79`3=:1>n1><94}r;`4?6=:r72j;4k6:?:3`<59>1v4m>:1818?a?3n=7078f;023>{t1j81<7a0<502;6??8;|q:g6<72;q65k75d79>==7=:8=0q~7l4;296~;>nh0o:636838152=z{0i>6=4={<;ef?b13433?7<>7:p=f0=838p14hl:e489<>32;;<7p}6c683>7}:1on1h;5297f964152z?:b`272:k4=169~w7703ty2on4?:3y>e54=l?165:=52058yv?dl3:1>v3n028g2>;>?=09=:5rs8:b>5<3s43o:7<79:?:`2<500165i6529;89<>e2;;<7p}n0c83>7}:i;91>594=4f`>42?3tyj=;4?:3y>e72=:1=018o8:06;?xuf9k0;6?u2a3d96=1<5:5295890d>28>37p}n1e83>7}:i:?1>594=4a1>42?3tyj=h4?:3y>e60=:1=018ml:06;?xuf9o0;6?u2a2596=1<565295890c528>37p}n2083>7}:i:31>594=4g`>4223tyj>?4?:3y>e6g=:1=018h;:06;?xuf8j0;6?u2a3796=1<5=7p}n0d83>7}:i;=1>594=72f>4213tyje7>=:1=0187=:067?xuf990;6?u2a3;96=1<5<3<6<:;;|qb54<72;q6m?o5295890g628>=7p}n1383>7}:i;h1>594=4f`>42>3tyj=>4?:3y>e7e=:1=018o8:06:?xuf9=0;6?u2a3f96=1<528>27p}n1683>7}:i::1>594=4a1>42>3tyj=54?:3y>e67=:1=018ml:06:?xuf900;6?u2a2096=1<5=5295890c528>27p}6dc83>6}:1o91>594=`00>a0<50mk0;6>u29gd96=1<5h8m6i84=856>4233ty2in4?:2y>e52=:1=01l=;:e489<1128>?7p}6ee83>6}:i9?1>594=`16>a0<50=<6<:;;|q:a`<72:q6m=8529589d512m<01497:067?xu>mo0;6>u2a1596=1<5h9<6i84=85:>4233ty2j=4?:2y>e5>=:1=01l=7:e489<1f28>?7p}6f083>6}:i931>594=`1:>a0<50=i6<:;;|q:b7<72:q6m=o529589d5f2m<0149l:067?xu>lj0;6>u29g796=1<5h8>6i84=85g>4233ty2hi4?:2y>=c0=:1=01l<9:e489<1b28>?7p}6dd83>6}:1o=1>594=`04>a0<50=m6<:;;|q:`c<72:q65k6529589d4?2m<0146?:067?xu>m90;6>u29g;96=1<5h826i84=8:2>4233ty2i<4?:2y>=cg=:1=01l528>?7p}6e383>6}:1oh1>594=`0a>a0<50286<:;;|q:a6<72:q65km529589d4d2m<0146;:067?xu>m=0;6>u29gf96=1<5h8o6i84=84g>4233ty2i84?:2y>=cc=:1=01l?7p}6e683>6}:i9:1>594=`13>a0<50=:6<:;;|q:a=<72:q6m=?529589d562m<0149=:067?xu>m00;6>u2a1096=1<5h996i84=850>4233ty2il4?:2y>e55=:1=01l=<:e489<1328>?7p}6b683>c}:11<1=9:4=8`4>7>034?n>7?;4:?6`2<6<=169nm5156890bd28>?70;l2;370>;2j00:89525c29512<55?4>449>1`e=9=<018h;:066?8?ei3;?96s|a2`94?3|502o6<::;<;;f?73>2725<4>459>e6d=:1=01l=l:067?xu>il0;6>u29``951><50h=6<::;<;a7?4??2wx5lm50;0x9{t1hn1<7:t=8ca>422343i:7?;8:?:f4<50>165o:51578yv?>;3:1;v3670820<=:1>l1=974=85a>42>3433?7?;9:?:2`<6<1165:9515:897}:10>1>594=8;4>4213ty2h44?:2y>==`=9=?014j6:3:4?8?ei3;?86s|9`c94?4|50kj6?68;c28>?7077b;370>;>180:88529c796=1<5h9h6<:9;<;ge?73<2wx5l;50;5x9<1328>270772;37=>;>?l0:845297d951?<50==6<:7;<;4e?730272m54=869~w;>i00:8;5rs8;e>5<2s432707n2;0;3>{t10o1<7:t=856>42?343<57?;8:?:e5<50>165l=51548yv?>13:1;v3673820<=:11:1=974=85`>42>343387?;9:?:35<6<1165:6515:897}:10k1>594=8;g>4213tyhmi4?:5y>e3`=9=301noj:334?8ee;3;?563k63820==z{jk96=4;{4=169>gd>=9=301i=k:06;?xud1>0;69u2a7`951?<5j336??8;499~wf>d290?w0o99;37=>;d0m09=:52c80951?<5jl86<:7;|q`<4<72=q6m;9515;89f>52;;<70m77;37=>;dkl0:855rs``1>5<4s4ki?7<>7:?afa<6<116o?8515:8yvg>n3:1hv3na`820<=:ih31=974=`c;>42>34kj;7?;9:?be3<6<016ml;515;89dg328>270on3;37=>;fi;0:8452a`3951?<5hkh6<:6;;d0>0:8552c2d951g<5ji26<:9;|q`00<72=q6o98520589f?528>370m;7;37e>;dml0:8;5rsb6g>5<3s4i?i7<>7:?`=a<6<116o9h515c89a7428>=7p}l5483>1}:k<<1><94=bc;>42?34i>;7?;a:?g7=<64213tyh>n4?:ey>g66=:8=01n=;:06;?8e493;?463l33820==:k:91=964=b16>42?34i8:7?;8:?`72<6<116o>6515:89f5>28>370m;d;k0:8552c2a951>7>52z?ba7<59>16mll515c8yvgbj3:1?v3nec8152=:il81mn52ag49ef=z{hoj6=4<{;fn=09=:5rs`g:>5<4s4kn57<>7:?bad;fn;09=:5rs`g4>5<4s4kn;7<>7:?ba=50;1x9dc12;k970oia;352>;fn909=:5rs`g6>5<4s4kn97<>7:?ba3;fml09=:5rs`g0>5<4s4kn?7<>7:?ba1;fm:0jo63nf68bg>{tili1<7=t=`g2>7g534km97?96:?baf<59>1vlj::1818gb93;;>63nd48152=z{m?96=4:{<`a4?73<27jh94>479>`3b=:1=01i8j:06;?8ee=3;?96s|d4394?3|5kh;6<::;27o:l4=869>gg3=9=>01i8m:067?xuf>l0;6>u2a7d9641<5jh>6<:6;50;fx9d0a28>?70lnf;371>;fkl0:8;52d4`951><5jh;6<:7;499>gg5=9=>01n;i:067?8e1;3;?863l658201=:kk81=964=bc`>4223tyo8k4?:dy>e3`=9=?01ooi:065?8gdj3;?:63k5c8203=:kk;1=9:4=b`3>42>34ijo7?;6:?g23<50>16h;9515:89fd428>>70m:f;371>;d>:0:8;52c769510<5jh96<:6;|qg0`<72jq6m;h515489gga28>270ol8;372>;ej80:8952d4`9513<5jkm6<:;;`33=9=201nl;:066?8ee:3;?:63lb18203=z{m>o6=47{499>ef3=9=<01i8>:3:4?8ee<3;?863k638201=:kk81=9:4=b`3>4223tyo8n4?:9y>fg6=9=<01lm=:065?8ee:3;?963lb18201=:khh1=9:4=e7e>7>034n=<7?;8:?`e`<6<=1vi:m:18`8efm3;?963mag820==:iko1=984=c`2>42234i=<7?;4:?`27<5i;16h8l515689fd628>270mnf;37=>;dik0:8552d4a96=1<5j<:6<:;;|qg0d<72mq6nlh515689ddf28>=70lm1;372>;d>90:8852d4;9512<5jh:6<::;479>`0>=:1=01noj:065?8e193;?963l628200=:k?>1=9;4}rf1`?6==r7imn4>459>ea2=9=?01i:7:3:4?8b313;?463la`8200=z{m8h6=4:{<`bg?73=27jh<4>449>`13=:1=01non:067?8b3>3;?86s|a7a94?5|5h489~wa4e290ow0o9d;370>;eik0:8852abg9513<5m9=6<:7;4=869>`12=9=201no7:067?8e2?3;?863l5c8201=:k42?34ij=7?;5:p`7g=83op1l8k:066?8dfj3;?:63ncc8200=:l:<1=984=bc5>42334ij97?;9:?`e4<6370mn8;371>;d=>0:8852c4`9510<5j?h6<:9;=70lnb;37=>;fk10:8852b`f9512<5m9=6<::;459>`6`=:1=01i:?:06;?8ef13;?963la68203=:kh?1=984}rf1499>fde=9=201lm::066?8b4k383;63la88201=:l:n1=9:4=bc4>42334ij97?;5:p`71=832p1ool:065?8gd:3;?963la68200=:kh?1=9:4=bc3>42334n8m7<77:?g7g<6<116ol=51568yvb5>3:1ov3la28200=:jhh1=964=``f>42234hjh7?;5:?`1=<6<=16o8o52`089a5128>?70mn6;37=>;di=0:8452c`2951><5m9<6?68;?70oma;371>;eim0:8;52c4:9513<5m9?6<:;;449>gd6=9=<01i=<:3:4?8ef;3;?:63l588200=:k4223tyo<54?:4y>fd>=9=>01lj<:065?8b5;383;63k25820==:k0l1=9;4}rf33?6==r7im54>449>ea6=9=<01in3;?863k208201=z{h489>`77=9=30q~j?6;29`~;f>k0:8952b`59513<5hio6<:9;499>`4c=:1=01i?i:06;?8e>l3;?863l4g8201=:k<91=9:4=b77>42334i2o7?;8:?`=3<6<<1vi>::18f8g1j3;?963ma68203=:ijk1=984=e32>42134i2n7?;4:?`=d<6<016o48515489a7d2;2<70j>d;37<>;d1m0:8852c5d9513<5j?86<:9;27h5n4>489~wa63290hw0o9b;372>;ei>0:8452ab59510<5kk26<:;;459>g<0=9=>01i?n:3:4?8b6j3;?463l9d8200=:k0i1=984=b;b>4213tyo<>4?:9y>e3d=9=201oo7:06;?8gd<3;?:63k1681<2=:k0o1=9:4=e3;>42334i2o7?;4:?`=d<6<<1vi>=:18;8df03;?:63nc08203=:k0i1=9;4=b;b>42334i297?;4:?g50<50>16h<8515:89f??28>?7p}k0083>f}:k021=9;4=cc4>42?34kih7?;6:?ae<<6<<16o8>515689f352;k970j>1;370>;d1k0:8452c8;951?<5j3>6<:7;459~wa67290ow0ln7;370>;fj00:8;52b`;9510<5j?;6<::;449>g42234i>87?;5:pg`5=83?p1oo;:067?8gc;3;?963lfd81<2=:kol1=964=b;7>4223tyhi?4?:4y>fd2=9=?01lj?:066?8eaj383;63l958201=:koi1=9:4}rc5g<2=9=301nhl:06:?xudm80;6iu2a7;9512<5kk86<::;499>g=`=9=201nh6:3:4?8eai3;?463l938201=:k==1=9:4=b6a>42334i?o7?;4:?`=4<6<116o5l51578yveb83:1iv3n688200=:jh91=984=`ab>42234ino7?;6:?`=5<6<=16o5h515;89f>e28>=70mi7;0;3>;dn10:8552c809513<5j><6<::;27h8n4>479>g<7=9=30q~mkf;29g~;f>00:8;52b`1951?<5hi<6<::;<`b1?73<27hin4>449>g=c=9=>01n6m:067?8ea=383;63lf7820==:k091=9;4=b;2>42134i3j7?;6:pgac=832p1l86:06;?8df<3;?463nc58200=:ko81>594=b;0>42334im?7?;4:?`=4<6<=16o5h51578yvecl3:14v3ma58203=:ij;1=9;4=b;2>42234i3j7?;4:?`529589f`628>370m7d;370>{tkmi1<7mt=b:g>42234hj?7?;8:?bfa<6<<16nl;515789f2?28>?70m;a;0b6>;dmj0:8952c82951?<5j2n6<:6;g1?=9=>0q~mkb;29`~;ei:0:8952ac;9513<5kk>6<:9;459>g<6=9=?01n6j:066?8e?i3;?:63le881<2=:k1n1=984=b6:>42234i?n7?;5:?`0f<6<<1vl:<:1818g3;38:;63n5c8bg>{ti77034k??7ol;4?:2y>e05=:8=01l;::`a89d022hi0q~o:1;297~;f=809=:52a419ef=:i?>1mn5rs`6e>5<4s4k?j7<>7:?b144nc:pe1b=839p1l:k:334?8g3n3kh70o92;c`?xufu2a5`9641<5h>o6lm4=`42>de53z?b0<<59>16m9l5ab9>e36=ij1vl:8:1808g3?38:;63n488bg>;f=o0jo6s|a5794?5|5h>>6??8;i7ol;|qb07<72:q6m9<520589d222hi01l;k:`a8yvg2?3:1?v3n4381e7=:i<31=;84=`7;>7703tyi584?:00x9gca28>270l?0;370>;d::0:8452c3c951?<5khj6<:6;<``5?73127io;4>489>ff`=9=301oj7:06:?8dcn3;?563me7820<=:jo91=974=b3;>42>34h;n7?;4:?a4a<6<=16n4m529589gb428>=70l?3;370>{tj0>1<7?:{<`fb?73027h>>4>499>g7g=9=201oln:06;?8dd93;?463mc7820==:jjl1=964=cf;>42?34hoj7?;8:?aa3<6<116nk=515:89f7?28>370l?b;371>;d??0:8952b1f9513<5j=>6<:;;<`:e?4??27i<=4>449>fa5=9=201o><:066?8e0<3;?46s|b8194?71s4hnj7?;6:?`66<6=70ll1;372>;ek?0:8;52bbd9510<5kn36<:9;<`gb?73>27ii;4>479>fc5=9=<01n?7:065?8d7j3;?:63md58201=:j991=984=b55>42234h;h7?;6:?`30<6<<16n46529589g6728>=70l?2;371>;d?=0:845rsc;1>5<6>r7iik4>449>g75=9=?01n42234ho47?;5:?a`c<6<<16nh8515789g`428>>70m>8;371>;e8k0:8552be69513<5j==6<:9;<`3`?73027h;84>479>f<0=:1=01o>?:06;?8d7;3;?463m038201=:k>>1=9o4}raaa?6==r7jmi4>489>fd6=9=>01nj6:3:4?8eci3;?463l888200=z{jho6=4:{449>ga0=:1=01n66:067?8ec?3;?86s|a7494?5|5h<<6??8;489~wfdd290ow0om6;37=>;f>>0:8952b8d9513<5j2?6<:7;499>g=1=9=>01n=i:067?8e3;3;?863l458201=:kj=1=9;4=b:5>42?34i3<7?;5:pggd=83op1l88:066?8ge=3;?463m9g8203=:k=91=9;4=b:6>42334i387?;9:?`<5<6370m77;371>;d;o0:8852c569513<5ji<6<:7;=70om1;37=>;e1o0:8452b`39512<5j286<:;;ga7=9=201n67:066?8ed?3;?863l878203=:k1>1=984}raa=?6=0r7j::4>499>eg6=9=201oo?:06;?8edl383;63l898201=:kjo1=9:4=b:5>42334i387?;5:pgg>=832p1loi:06:?8df83;?:63l878200=:k1>1=9:4=b5e>42334ihn7<77:?`gf<6<116o5<51568yvee?3:1hv3l838200=:j0l1=964=cc2>42234i?<7?;4:?`07<5i;16o5;515;89f>428>270m8f;37<>;fmm0:8852ae49513<5ji36?68;479~wfd1290nw0l6f;370>;ei80:8;52c529513<5ji>6<:;;4>449>g2`=9=<01lkl:066?8gc=3;?963lc581<2=:k181=984=b62>42234i??7?;6:?`01<6l:18`8e6;383563l2681<<=:jk=1>574=c`f>7>>34hh?7<79:?agf<50016ni;529;89gbd2;2270lj3;0;=>;d:9094452bg296=?<5k:o6??8;|qb<<<72;q6m4;517489d>>2;;<7p}n7283>7}:i0?1><94=c44>42?3tyj;i4?:3y>e2b=:8=01l7::`a8yvg?03:1?v3n7e81e7=:i0>1=;84=`:;>7703tyj;?4?:3y>e<2=:8=01o8::06;?xuf0?0;6>u2a949641<5h=o6lm4=`;7>de7>53z?b<3<5i;16m4k517489d?52;;<7p}n7c83>7}:i0o1><94=c5;>42?3tyj484?:2y>e=3=:8=01l69:`a89d?b2hi0q~o61;297~;f0<09m?52a8f9530<5h3:6??8;|qb3d<72;q6m4j520589g1128>37p}n8583>6}:i1>1><94=`:6>de<5h3o6lm4}rc:4?6=;r7j494=a39>e32hi01l7l:`a8yvg?n3:1?v3n8281e7=:i0h1=;84=`:e>7703tyj;54?:3y>eu2a909641<5h286lm4=`;a>de53z?b<7<5i;16m4o517489d>b2;;<7p}n7683>7}:i0k1><94=c53>42?3tyj4<4?:2y>e=7=:8=01l6=:`a89d?f2hi0q~o7d;297~;f0809m?52a8;9530<5h2o6??8;|qb33<72;q6m47520589g0b28>37p}n8183>6}:i1:1><94=`:2>de<5h326lm4}rc;g?6=;r7j4=4=a39>e<>=9?<01l6l:334?xuf?<0;6?u2a8:9641<5k72hi01l77:`a8yvg?j3:1?v3n7g81e7=:i0=1=;84=`:a>7703tyj;94?:3y>e<1=:8=01o8n:06;?xuf?l0;6>u2a6g9641<5h=m6lm4=`;4>de53z?b3`<5i;16m48517489d>f2;;<7p}n7083>7}:i0<1><94=c4;>42?3tyj;n4?:2y>e2e=:8=01l9j:`a89d?12hi0q~o77;297~;f?j09m?52a819530<5h2<6??8;|qb35<72;q6m4=520589g0528>37p}m1`83>a}:j8h1><94=c44>42>34h=97?;9:?a3=<6<016n:8515;89g1328>270l82;37=>;e?90:8452b7g951?<5k489>f34=9=30q~m>5;292~;d><0:8;52c6f951?<5j<<6<:7;<`e2?73127h=84=869>g4`=9=>0q~m=1;291~;d:90o:63l228201=:k?i1=964=b02>7>034i=1=984=b06>7>034i<87?;5:?`63<6<=1vn<7:1858e5?3n=70m=a;370>;d>j0:8952c3:96=1<5j594=b5b>4213tyinn4?:7y>fgg=9=k01n8m:065?8e5m3;?463mbb81<2=:k>81=984=c`g>4233tyink4?:7y>fgc=l?16nn?515689f0e28>?70m80;37=>;ejo094:52c6;951055z?ag627io;4>459>g3g=9=201om;:3:4?8e013;?86s|bb:94?3|5ki=6<:n;27io54=869>g24=9=>01omm:067?xuekm0;6;u2bba9`3=:jjl1=9:4=b4b>42334hhh7<77:?`2c<6<<16o:7515;8yvdc>3:1:v3md48g2>;el10:8952c7;951><5j8n6<:;;;d>00:8;52c3g9513<5j=:6<::;4=a39>fag=:1=01ojm:067?xuelm0;6;u2bea9`3=:jml1=9:4=b4:>42334i=i7?;6:?a`a<50>16o:7515c8yvdb<3:19v3me28g2>;em?0:8952c7:951><5j=26<::;<`f0?4??2wxnh650;5x9gc128>j70m98;372>;d?80:8;52c65951?<5ko36?68;459~wgcf2903w0m95;370>;ekh0:8952c749510<5koj6?68;27h449>fgb=9=?01n<9:066?xuen80;6;u2bg29`3=:jo91=9:4=b4;>42334hm=7<77:?`2c<6<=16o:o51578yvda=3:1;v3meg820d=:k>o1=9:4=b5g>42334i=:7?;5:?ab0<50>1695j515689f4a28>?7p}mf683>=}:joh1=9:4=b0b>42f34i=o7?;a:?`2`<6<116o:?515:89f1028>370li7;0;3>;d?=0:8;5rscdg>5<1s4hmj7?;4:?ag4<6=70lid;0;3>;d?;0:845rsb22>5<1s4i;?7?;4:?agc<6270m?1;0;3>;d?;0:8l5rsb26>5270m87;370>;d8<094:52c7d951?<5k::6<:;;|q`4<<72?q6o=l515689g`428>j70m98;37e>;d>l0:8952c1;96=1<5j=96<:7;|q`4a<72>q6o;;515;89f0028>?70lia;370>;d8o0:8952bg4951><5hk;6<:6;42f34i:o7?;5:?`54<6<<16n9=515789g2628>>70l:4;371>;e=;0:8852b429513<5k>n6<::;<`7g?73=27i8l4>449>f1>=9=?01o:9:066?8d3<3;?963m3d8200=z{kn86=4={<`g7?46?27iin4>479~wgcb2909w0ljf;023>;d9=0:8;5rsb01>5<5s4i9?7<>7:?`61<6479~wge72909w0ll1;023>;ek;0:8;5rsca6>5<5s4hh:7<>7:?ag2<6479~wgbb2909w0lkf;023>;em90:8;5rscg6>5<5s4hn:7<>7:?aa2<6479~wg`b2909w0lif;023>;d890:8;5rsb21>5<5s4i;?7<>7:?`41<69:1818e7?38:;63l098203=z{j:j6=4={479~wf6b2909w0m>1;023>;d9;0:8;5rsb34>5<5s4i:47<>7:?`5<<6g55=9=k01n>m:06b?8daj3;?m63mfg820d=z{ki26=4;{<``e?46?27iho4>499>f`?=9=201omm:06;?xue0<0;6?u2b949641<5k2<6<:9;|qac28>=7p}m2183>=}:k<94=e44>42134n=97?;6:?g25<628>=70j9b;37e>;c>;0:8l5rsc3e>5;7<>7:?g07<6515489a5e28>=70j;9;372>;c<=0:8;52d54951g<5m9o6<:n;|qa5`<721q6o9h520589a7c28>=70j>b;372>;c9?0:8;52d369510<5m;m6<:9;4`9~wg7c2903w0m;7;023>;dn10:8;52cg49510<5jl:6<:9;27hjl4>479>gce=9=k01nh<:06b?xue9j0;65u2c2d9641<5jn86<:9;27hon4>479>gag=9=<01nj::065?8ec?3;?m63lcd820d=z{kh96=4={<`37?46?27i5:4>479~wgd42909w0l?2;023>;e100:8;5rsc`7>5<5s4h;=7<>7:?a=g<64`9~wg1e2909w0oi7;023>;fi00:8l5rsc5`>5<5s4km47<>7:?be=<64`9~wg1a2909w0oib;023>;fi<0:8l5rsc:3>5<5s4kmo7<>7:?be1<6:1818gal38:;63na2820d=z{k296=4={4`9~wg>42909w0oif;023>;fi80:8l5rsc5b>5<5s4km:7<>7:?bef<6489~wa322909w0mm1;023>;c>>0:845rse75>5<5s4ii?7<>7:?g2<<6<01vi;8:1818ee=38:;63k6d820<=z{m8n6=4={489~wa4a2909w0mn4;023>;c<90:845rse13>5<5s4ij:7<>7:?g07<6<01vi=>:1818ef038:;63k45820<=z{m996=4={489~wa6>2909w0m65;023>;c9?0:845rse2b>5<5s4i257<>7:?g5g<6<01vi>m:1818e>j38:;63k1e820<=z{m:h6=4={489~wa6c2909w0m6f;023>;c:=0:845rsbg7>5<5s4i3m7<>7:?`b4<6<01vnk::1818e?m38:;63lf7820<=z{jo=6=4={489~wfc02909w0m62;023>;dnh0:845rsbg;>5<5s4i287<>7:?`bc<6<01vnli:1818e0n38:;63lcb820<=z{ji;6=4={489~wfe62909w0m75;023>;dl:0:845rsba1>5<5s4i3;7<>7:?``0<6<01vnm<:1818e?138:;63ld`820<=z{k886=4k{27jm44>479>ed>=9=<01lo8:065?8gf>3;?:63na48203=:ih>1=984=`c0>42134kj>7?;6:?be4<6=70m8c;023>{tj;>1<7kt=`cb>42334kj57?;4:?be=<6<=16ml9515689dg128>?70on5;370>;fi=0:8952a`19512<5hk96<:;;459>edd=9=>01n9k:334?8gf83;?86s|b3094?5|5k:;6<:n;4>4`9~wg462908w0m81;023>;e890:8452b11951?55z?aec<59>16oo=515c89fd228>j70j9b;37<>;c>;0:845rsccb>5<2s4hjn7<>7:?`e=<6370j{tjh<1<7;t=cc4>77034i2h7?;a:?`=c<627p}ma383>0}:jh91><94=b;1>42f34i287?;a:?`bf<6<116ok=515;8yvd>m3:19v3m9g8152=:k1=1=9o4=b::>42f34io;7?;8:?`g`<6<01vo>n:18`8d7j38:;63l02820<=:k9h1=974=cda>42>34hmj7?;9:?`42<6<016o270lj9;37=>;ekk0:8452bcf951?<5j8=6<:6;|qb`c<72;q6mol520589dcd28>j7p}ne183>7}:ikl1><94=`gg>42f3tyjh:4?:2y>e`2=99801loi:334?8gbm3;?86s|ae:94?5|5ho>6<>=;459~wdb>2908w0oj6;336>;fj809=:52ag2951253z?ba2<68;16mo;520589d`628>?7p}ndc83>6}:il21==<4=``5>77034km>7?;4:peae=839p1lk6:021?8ge?38:;63nf28201=z{hno6=4<{ec2=9=>0q~oke;297~;fmk09m?52agd9530<5hkn6??8;|q`5d<72?q6o<6515c89f1d28>>70on0;372>;d9h094:52c609513<5j;h6<:;;|qa55<72;q6o>=520589g5b28>j7p}m0g83>7}:k:81><94=c67>42f3tyig67=:8=01o:9:06b?xue900;6?u2c2a9641<5k>36<:n;|qa5=<72;q6o>l520589g2f28>j7p}m1683>7}:k:k1><94=c6`>42f3tyi=;4?:3y>g6?=:8=01o:j:06b?xue9<0;6?u2c2:9641<5k?;6<:n;|qa51<72;q6o>9520589g3528>j7p}m1283>7}:k:<1><94=c77>42f3tyi=?4?:3y>g63=:8=01o:>:06b?xue980;6?u2c269641<5k>86<:n;|q`53<72>q6o<=5d79>g4>=9=>01n9l:067?8gf83;?963l1781<2=:k;l1=9;4=b5b>42>3tyiii4?:8y>f``=9=>01n9j:066?8e0l3;?463l2g820==:jm91=9:4=b45>42?34?3h7?;5:?aaa<50>16o:o515c8yvd493:1>v3n588152=:k:91=9:4}r`00?6=:r7j9i4=169>g64=9=>0q~l<5;296~;f=l09=:52c23951252z?b1c<59>16o>m51568yvd4?3:1>v3n618152=:k:h1=9:4}r`0g6g=9=>0q~l<9;296~;f>;09=:52c2;951252z?b26<59>16o>651568yvd4j3:1>v3n658152=:k:=1=9:4}r`0g?6=:r7j:84=169>g60=9=>0q~l<2;296~;f=h09=:52c27951252z?b1g<59>16o>:51568yvd?m3:1>=u2ac49512<5hh<6<:;;449>f=0=9=?01loj:067?8gfn3;?863nb08201=:ik:1=9:4=``6>42334i:<7?;4:?bfc<6<=16mol515689dd328>=70oi4;371>;fn:0:8852ag09513<5hl:6<::;449>e`c=9=?01lkk:06;?8gbk3;?463nd7820==:im?1=964=c:f>7>034h2=7?;5:?a<<<6<116mo=515689gef28>>70l7f;372>;enh0:8l5rsc:b>5<5nr7jn;4>499>eg1=9=201lok:06;?8d?k3;?463m87820==:joh1=964=`cf>42234kjj7?;5:?bf4<6<116mo>515789dd228>=70m>0;37<>;fl:0:8952ae69512<5hn;6<:;;459>efc=9=>01lmn:067?8gdj3;?863nc68201=:ij21=9:4=`a7>42334kh97?;4:?bg4<6<=16mn<515689ddc28>?70ome;370>;fj00:8952acc9512<5hoo6<:;;459>ea3=9=>01o6n:3:4?8d?13;?563l02820==:k9h1=964=cde>42?34i;;7?;8:?a515;89gbe28>=70lj9;372>;ekk0:8;52bcf9510<5j8=6<:9;|qa<=<72;42f34hmj7?;6:?be`<6>70om0;37=>;fj<0:8452b9d951?<5hhm6<:9;27jn94>449>ec2=9=201lh<:06;?8ga:3;?463nf0820==:io:1=964=`ge>42?34kni7?;8:?baa<6=70ok5;372>;e01094:52b9;9510<5j:86<:9;27ijo4>479>eg5=9=<01n>8:065?8e683;?:63m91820==:jmh1=9;4=cg:>42234hhn7?;5:pf=2=8388w0om6;372>;fj>0:8;52a`f9510<5k2h6<:;;<`;2?73<27jmh4>499>ed`=9=201ll>:065?8ge83;?:63nb48200=:ikl1=9;4=``a>42234ki87?;4:?bb1<6=70oi1;372>;fn90:8;52add9510<5hon6<:9;489>ea0=9=301lj::06:?8d?<383;63m908203=:k991=9;4=b2a>42234hmn7?;5:?abc<6<<16mo=515789f6028>>70lla;372>;d990:8852b9d951>513y>g76=:1=01n;j:066?8e1k3;?563l2d820d=:kk;1=984=bce>42134ijn7?;9:?:f=<6<016nh<515:89fd228>370j9b;372>;c>;0:8;52c72951><5j<:6<:7;489>`0?=9=<014ln:065?xud:>0;67>034i>i7?;4:?`2f<6<<16o:>515789fd628>370mnf;37<>;dik0:8852bd`951><5j8m6<:6;27o:o4>449>`34=9=?01n8?:065?8e193;?:63l62820==:k?>1=964=e7:>4223tyin:4?:03x9gd02;2<70m:6;371>;d>k0:8452c`49510<5jk?6<:9;499>gdg=9=201i:9:065?8b4l3;?:63l59820==:k<31=964=b7a>42>34i>o7?;9:?g71<6=7p}mbd83>46|5khn6?68;449>g26=9=>01no9:06;?8ef<3;?463la18200=:jlh1=984=bcb>42134n?:7?;5:?g7a<6<<16o86515489f3>28>=70m:b;37<>;d=j0:8552d269513513y>ff5=:1=01n:j:066?8e1i3;?563l2d820<=:k0h1=984=b;:>42134i297?;9:?;57<6<116o4h515:89a4628>=70j>8;372>;d=90:8552c43951><5j?86<:6;479>f`4=9=3015?;:06;?xuekj0;67>034i?i7?;4:?`2d<6<<16o:>515:89f5728>?70m6b;37<>;d100:8552c879513<5j3m6<:9;449>g06=9=<01n;>:065?8e2;3;?463l55820==:l9l1=9;4=cga>42>3tyih84?:03x9gb22;2<70m;6;371>;d>00:8452c829510<5j2n6<:9;499>g<2=9=201nhl:065?8ea;3;?:63l49820==:k=31=964=b6a>42>34i?o7?;9:?`ad<6=7p}mdb83>46|5knh6?68;449>g3c=9=?01n7?:06;?8e?m3;?463l8`8200=:k0>1=984=bd`>42234im?7?;5:?`0=<6370m;c;37<>;dmh0:8852bd`9512513y>f`5=:1=01n=j:066?8e103;?563l318200=:k1?1=984=b:0>42134i=70mle;372>;d<90:8552c53951><5j>86<:6;479>f`4=9=<01;8l:06;?xuen90;6<>t=cd3>7>034i8i7?;4:?`2=<6<<16o5;515:89f>428>370m8f;371>;d>o0:8l52c9;9510<5jn<6<::;479>g17=9=<01n:<:06;?8e3<3;?463lc48200=:jlh1=9;4}r`a2?6=9?q6m:m5ab9>e<5=ij16o:k515:89f1c28>>70m96;37=>;e9k0:8952a959512<5h2j6<:;;459>e=b=9=>01l6j:067?8g?n3;?863n918201=:i0;1=9:4=`;1>42334k347?;4:?b<<<6<=1695j515:89gd12;2<70m>f;371>;el80:885rsb3f>5<4=r7h:84>499>g31=9=?01;8n:06:?814n3;?563713820<=:0l?1=974=8`;>42?34kj<7?;a:?`5`<50>16n=70m;d<>0:8;52c5d9510<5j?<6<:9;27h:=4>489>g0>=9=301n;?:06:?8e303;?563l41820<=:k?91=9o4=b47>42f34i>n7?;a:?`1f<6j70m;b;37e>;d?6<:n;499>`5`=9=201nkn:06;?8ed=3;?46396b820<=:?=;1=974=937>42>342n;7?;9:?:fd<6<016n9=515489g2628>=70l:4;372>;e=;0:8;52b429510<5k>n6<:9;<`7g?73>27i8l4>479>f1>=9=<01o:9:065?8d3<3;?:63m3d8203=z{ko:6=48{<`;g?73127i4;4>489>g33=9=?01o6i:067?8e1?3;?:63me081<2=:k8;1=9:4}r`11?6==r7jml4>499>f53=9=?01o=k:3:4?8g203;??63m3d8201=z{k836=4:{459>e10=9=>01o=i:3:4?8d3<3;?86s|b3;94?3|5hk36<:7;<`32?73=27j854>459>f13=:1=01o:9:067?xue:h0;68u2a`5951><5k:=6<:;;f1>=9=>0q~l=b;291~;fi?0:8552b149510<5h>h6<:;;<`7=?4??27i8l4>459~wg4d290>w0on5;37<>;e8?0:8552a5g9512<5k>i6?68;<`7g?73<2wxn?j50;7x9dg328>370l?5;37<>;f=90:8952b5f96=1<5k>n6<:;;|qa6`<72=70o:2;370>;e55z?be7<6<116n=;515c89d3328>?70l:1;0;3>;e=;0:895rsc13>5<2s4kj=7?;8:?a43<6<016m88515689g342;2<70l:4;370>{tj;<1<7;t=`c`>42?34h;:7?;a:?b01<6<=16n9>529589g2628>?7p}m2683>0}:ihh1=964=c26>42>34k>o7?;4:?a07<50>16n9=51568yvd2=3:19v3na`8200=:j921=9;4=`:4>42234h==7<77:?a27<6<=1vo;7:1868gf13;?963m098201=:i1k1=9;4=c40>7>034h=47?;4:pf0?=83?p1lo7:066?8d713;?963n8c8200=:j?31>594=c4b>4233tyi9l4?:4y>ed1=9=?01o>6:067?8g?k3;?963m6c81<2=:j?i1=9:4}r`6f?6==r7jm;4>449>f5?=9=<01l6k:066?8d1l383;63m6d8201=z{k?h6=4:{499>e=c=9=?01o8i:3:4?8d083;?86s|b4f94?3|5hk?6<::;<`3449>f27=:1=01o9=:067?xue=l0;68u2a`19513<5k:36<:9;4=869>f22=9=>0q~l:f;291~;fi;0:8852b1:951g<5h3:6<::;<`41?4??27i;;4>459~wg07290>w0on1;371>;e800:8452a809513<5k=<6?68;<`4>70l?9;37e>;f010:8852b7696=1<5k<>6<:;;|qa12<72270o79;371>;e>?094:52b75951257z?557<59>16:=j51568936b28>j708?5;370>;18?0:8l525`29512<5?708?2;370>;21m0:895rs4;b>5<68r7>i;4>499>1ad=9=2018j?:06;?83bn3;?463:c7820==:=kn1=964=4`7>42?34?jn7?;8:?6==<6<11694=515:890ce28>?70;65;37<>;2mh0:8;525g19510<5?;86??8;<7ee?4f:2wx95h50;3083>03;?m63:92820d=:=li1=9:4=733>77034?n?7?;5:?6`=<6<<169nj5157890bc28>>70;l3;371>;2jh0:88525c39513<527=

459>250=9=>018o>:067?83bi3;?963:f58203=:=oh1=9;4}r42e?6=90q69h85156890be28>?70;k0;370>;2mo0:89525b49512<5mo4>459>1<3=9=?01876:067?83><3;?863:e`820<=:=ln1=9;4=4d6>42334<:n7<>7:?6`c<628>=70;k1;372>;2jo0:8;525c49510<527>ih4>459>1cb=:h80q~8>6;295<}:=l91=9:4=4f;>42334?hh7?;4:?6`a<6<=169n=5156890df28>?70;m1;370>;2i10:8952587951g<5<3=6<:;;<7:5?73<27>io4>499>1`b=9=301;?6:334?83b<3;?:63:d88203=:=jo1=984=4ff>42134?h87?;6:?6fg<628>=70;i1;370>;2n?0:89525gg9512512y>1`5=9=3018j7:06:?83dl3;?563:de820<=:=j91=974=4`b>42>34?i=7?;9:?6e=<6<01694;515;890?128>>70;61;371>;2mh0:85525g19513<5?;>6??8;<7ej<4>4`9>1c0=9=?018hm:067?83ak3;?86s|44c94?3|5=<;6?68;<603?73=27??94>479>07`=9=>019;8:065?xu3=00;6;u244d96=1<5=9=6<:9;<603?73027??94>499>07`=9=?019;8:06;?xu3=10;6:u244g96=1<5=9=6<::;<603?73>27??84>449>062=9=>019459>151=9=?018??:066?837<3;?:63;fd8201=z{=2h6=48{<6;f?73=27?4i4>449>0=e=:1=018??:065?837<3;?463;fd8203=:=9=1=9:4}r6;e?6=:r7?444k6:?71v9;=:187822m3kj70::f;cb?82183kj70::3;023>{t<<>1<77t=54:>dg<5=dg<5=dg<5=dg<5==;6lo4=576>7703ty?9;4?:8y>0=7=ih1685<5a`9>0=5=ih1685:5a`9>0=3=ih168585a`9>0=1=ih168565a`9>001=:8=0q~:=e;29=~;3;10:895243d9641<5=926<:;;<60f?73<27??i4>459>06`=9=>019:>:067?823;3;?863;448201=z{=?i6=4={<66a?b134>897<>7:p00e=838p19;i:e4891512;;<7p};5e83>7}:9h7>52z?7<`27??94=169~w1162909w0:71;0;3>;3;00:8l5rs551>5<5s4>3>7<77:?77g<64`9~w1122909w0:75;0;3>;3<80:8l5rs555>5<5s4>3:7<77:?706<6459>063=9=>019=;:06:?822;3;?863;548201=:<<=1=9:4=50`>7>03ty?8i4?:2y>006=:1=019:6:067?823j3;?:6s|45a94?2|5=>n6?68;<67=?73>27?8o4>459>007=9=?0q~:<1;296~;3;:094:5247;9`3=z{=9;6=4={<606?4??27??>4k6:p01>=838p19:7:3:4?822?3;?96s|45c94?3|5=9?6<::;<6;f?73>27?9>4>449>003=9=?019:n:3:4?xu3?00;6?u24939`3=:<:21><94}r64e?6=:r7?4?4k6:?77<<59>1v99m:18182?;3n=70:{t<>i1<7a0<5=9o6??8;|q73a<72;q685;5d79>06`=:8=0q~:8e;296~;30?0o:63;408152=z{==m6=4={<6;3?b134>??7<>7:p0=6=838p1967:e4891222;;<7p}:0983>6}:=931><94=42f>42134?:97?;a:p0<2=83np197::334?82fj3;?563;a8820<=:42>34>j?7?;9:?7e4<6<01684h515;891?c28>270:6b;37=>;3100:8452485951?<5=396<:6;|q7`1<720q68>6515;891b22;;<70:<9;372>;3;k0:8;5242f9510<5=9m6<:9;<675?73>27?8>4>479>013=9=<0q~:k2;296~;3;10:8;524e196412>7>5dz?7=6<59>168ll515:891g>28>370:n7;37<>;3i<0:85524`1951><5=k:6<:7;<6:b?73027?5i4>499>0?3;?463;93820==z{=ko6=4={<6b`?46?27?o84nc:p0g`=839p19li:334?82fl3kh70:l4;c`?xu3jm0;6>u24cf9641<5=hm6lm4=5ae>dein7>53z?7fg<59>168oj5ab9>0fc=ij1v9l6:18082e138:;63;bc8bg>;3km0jo6s|4c594?5|5=h<6??8;<6a=?gd34>ho7ol;|q7f0<72:q68o;5205891d02hi019mm:`a8yv2e;3:1?v3;b28152=:4nc:?7g<6}:<94=5ce>de<5=i<6lm4}r6`5?6=;r7?mn4=a39>0f5=9?<019m=:334?xu3nm0;6?u24ga9`3=:<94}r734?6=:r7?jk4k6:?644<59>1v8><:181837:3n=70;?4;023>{t=9<1<7a0<5<;:6??8;|q656<72;q69<<5d79>14>=:8=0q~:61;296~;3n90o:63;938152=z{=3j6=4={<6e5?b134>2n7<>7:p07}:j<7>52z?7b327?m<4=169~w1g52909w0:i7;f5?82f;38:;6s|4`694?4|5=l36i84=5c6>7703ty?m;4?:3y>0c?=l?168l952058yv2f03:1>v3;f`8g2>;3i009=:5rs5cb>5<5s4>mn7j9;<6bf?46?2wx84850;0x91`52m<01978:334?xu3110;6?u24g19`3=:<031><94}r6g3?6=:r7?h;4k6:?7`5<59>1v96i:1825~;2;m0jm63:3d8be>;2<<0jm63:478be>;2<>0jm63:498be>;2<00jm63:4`8be>;2;2;o0jm63:418be>;2<80jm63:438be>;2<:0jm63:458be>;31909=:5rs5g7>5<5s4>h?7<>7:?7=7<6479~w1c>2909w0:l9;023>;31o0:8;5rs5gb>5<5s4>hm7<>7:?7e4<6479~w1cc2909w0:ld;023>;3i>0:8;5rs5gf>5<5s4>hi7<>7:?7e<<66=4={<6`0?46?27?5:4>479~w1c12909w0:l5;023>;3100:8;5rs431>5<6mr7>=?4=869>14?=9=>018?m:065?82>=3;?463:00820<=:=8i1=9;4=5;0>42?34>2<7?;6:?7`0<6?70:;3;j0:8;5242g9510<5=>;6<:9;<676?73>27?894>479>010=9=<018??:06;?831=3;?:63:65820==:=?i1=984=427>42>34>mi7?;8:?65d<6<=169=o515;8907?28>?70;?7;372>;enh0:8852c1d951051gy>153=:1=018?6:066?836j3;?463;948203=:=9;1=984=5;0>42334>2<7?;8:?7`0<6<<168i=51578907128>370;>7;370>;28>0:8552bgc951><5=9j6<:7;<60g?73027??h4>499>016=9=2019:=:06;?823<3;?463;47820==:=??1=964=447>42>34?=o7?;8:?641<6<=168kk51578907f28>=70;>c;372>;28h0:8l525039513<5<;>6<:9;42>34?;=7?;5:?7=6<6<<1684>5156891b228>?70:k3;370>;28o0:88525079513<5<;<6<::;<72499>06g=9=>019=l:067?824m3;?863;418201=:<=81=9:4=567>42334>?:7?;4:?655<6<=169;;515;8900328>=70;9c;37=>;28=0:88524gg951?<5<;j6<::;<72g?73027><:4>489>fcg=9=<0q~:if;295c}:594=43:>42?34?:n7?;5:?7=0<6<<169=?5156891?428>=70:60;371>;3l<0:85524e19510<5<:m6<:9;<722?73<27>=:4>479>06g=9=?019=l:066?824m3;?963;418200=:<=81=9;4=567>42234>?:7?;5:?620<6<<169;:51578900d28>>70;?4;37e>;3nl0:8l5250c951><5<;h6<:6;<73g?73>27>=<4>459>143=9=>01ohn:06:?8e7n3;?m6s|4ga94?7cs4>mo7<77:?77=<6<<169?70:<9;371>;3;k0:885242f9513<5=9m6<::;<675?73=27?8>4>449>013=9=?018>j:067?836>3;?963:64820d=:=?>1=9:4=44`>42f34?:o7?;4:?7eg<6<<168l75157891g028>>70:n5;371>;3i:0:88524`39513<5=3m6<::;<6:`?73=27?5o4>449>0:3;?96s|4e:94?3|5=l;6?68;<73=?73027>479>0f4=9=90197=:067?xu3lk0;68u24g396=1<5<:26<:6;<6a4?73<27?5o4>459>15g=9=?0q~:kc;292~;3n=094:5251f951><5<;?6<:n;<6a6?73<27?5i4>459>15g=9=20q~:kd;292~;3n<094:5251f951?<5<;?6<:7;<6a0?73<27?5k4>459>15d=9=<0q~:ke;291~;3n?094:5251;951g<5=h=6<:;;<6b5?73<27>459~w1ba290>w0:i7;0;3>;2800:8;524c:9512<5=k86<:;;<73f?73=2wx8h>50;4x91`?2;2<70;?d;372>;29=0:84524cc9512<5=k>6<:;;<73f?7302wx8h?50;4x91`>2;2<70;?d;371>;29=0:89524ca9512<5=k<6<:;;<73f?73i2wx8h<50;4x91`f2;2<70;?d;370>;29=0:88524cg9512<5=k26<:;;<73f?7312wx8h=50;7x91`e2;2<70;?9;370>;3k90:89524``9512<5<:j6<:;;|q7`<<7228>>70:ne;370>;31>0:895251a9512om7>56z?7b6<50>169=j515c8907328>=70:l6;370>;3100:895251a9513o:7>53z?7`3<50>169<85154891b728>?7p}:1e83>7}:=;?1>594=5f3>4223ty>=h4?:3y>170=:1=019j?:06b?xu29o0;6?u253596=1<5=n;6<:7;|q665<72;q69?65295891b728>=7p}:2083>7}:=;31>594=5f2>42?3ty>>?4?:3y>17g=:1=019j>:066?xu2::0;6?u253`96=1<5=n:6<:;;|q661<72;q69?m5295891b628>=7p}:3c83>7}:==h1>594=51;>42?3ty>?n4?:3y>11e=:1=019=n:06:?xu2:l0;6?u252d96=1<5=9h6<:6;|q66c<72;q699>52958915b28>27p}:3183>7}:==;1>594=563>42>3ty>?<4?:3y>114=:1=019:=:06:?xu2;;0;6?u255196=1<5=>?6<:6;|q676<72;q699:52958912128>27p}:2e83>7}:=:n1>594=51;>42f3ty>?94?:3y>16c=:1=019=6:06;?xu2;<0;6?u255796=1<5=9i6<:7;|q673<72;q699852958915c28>37p}:3683>7}:===1>594=51e>42?3ty>?54?:3y>11>=:1=019:>:06;?xu2;00;6?u255;96=1<5=>86<:7;|q67d<72;q699o52958912228>37p}=8283>6}::191><94=45:>422348397?;9:p6=2=839p1?6;:334?83013;?863=84820d=z{j479~wf4c2908w0m9f;023>;d?90:8l52c22951>52z?`6`<59>16o>>515;8yve5n3:1>v3l2g8152=:k::1=984}r3b`?6=:r7ih94=169>fa5=9=30q~?k8;296~;dj=09=:52cc1951052z?`e<<59>16ol651548yv47j3:1>v3l9d8152=:k0n1=984}r03`?6=:r7h5>4=169>g<4=9=<0q~?ne;296~;d0109=:52c95951052z?`4c<59>16ov3l118152=:k8;1=974}r3bb?6=0r7in=4=169>fd`=9=k01n;i:06;?8b2l3;?863k58820<=:kk81=9o4=b`3>42f34ijo7?;8:p5g5=832p1ool:334?8dfj3;?m63l56820==:l:21=9:4=e17>42>34ij;7?;a:?`e0<642?34n:?7?;4:?g4c<6<016o4m515c89f?f28>j70m66;37<>{t9j31<76t=cc7>77034hj?7?;a:?`02<6<116ohk515689fcf28>270m61;37e>;d0o0:8l52c9`951>58z?ae5<59>16n4h515c89f5a28>370ml9;370>;dk<0:8452c94951g<5j2?6<:n;a2;;<70l61;37=>{tj0:1<777034h2=7?;8:pea4=838p1lj<:334?8ga<3;?m6s|ae194?4|5hn?6??8;{tim:1<777034km?7?;9:pefe=838p1lmk:334?8ga:3;?m6s|abf94?4|5hin6??8;{tijk1<777034km=7?;9:pef0=838p1lm8:334?8ga83;?m6s|ab594?4|5hi36??8;{tij>1<777034knj7?;9:pef6=838p1lm>:334?8gbm3;?m6s|ab394?4|5hi96??8;{tikn1<777034kij7?;8:peg>=838p1ll6:334?8gej3;?56s|ac;94?4|5hhj6??8;{t9ll1<7:t=b51>77034i<=7?;a:?a44<6<<16n=<515:8yve003:18v3l788152=:k>h1=9:4=c22>42134h;>7?;9:pg2?=83>p1n9n:334?8e0j3;?963m00820==:j981=9o4}r`30?6=nr7i<84=169>g62=9=<01n=>:065?8e4:3;?:63l328203=:k:?1=984=b15>42134i8;7?;6:?`7=<67515489f5f28>=70m;d;j0:8;52c0a951><5j;:6<:7;|qa40<72oq6n=8520589f7d28>270m<4;371>;d;80:8852c209513<5j986<::;449>g61=9=?01n=7:066?8e413;?963l3`8200=:k:h1=9;4=b1`>42234i:=7?;6:pf51=83>p1o>7:334?8d6j3;?463md0820==:k8l1=964}r`3f4d=9=<01oj>:065?8e6n3;?:6s|5eg94?4|5j70;j0;023>{t=l;1<742134?n>7<>7:p1`4=838p18k<:334?83b>3;?96s|5d194?4|5=70;j5;023>{t=m91<742f34?o87<>7:p1a2=838p18j7:06b?83c=38:;6s|5e494?4|5{t=m21<742>34?o57<>7:p1a?=838p18jm:065?83ci38:;6s|5b:94?4|5j70;la;023>{t=jh1<742134?ho7<>7:p1fe=838p18mk:334?83c83;?96s|5bf94?4|5=70;lf;023>{t=j=1<742f34?o=7<>7:p1a7=838p18jk:06b?83c:38:;6s|5ea94?4|5{t=l=1<742>34?oi7<>7:p1`>=838p18ki:065?83b?38:;6s|5cg94?4|5j70;l0;023>{t=j;1<742134?h>7<>7:p1f4=838p18m<:334?83d>3;?96s|5b194?4|5=70;l5;023>{t=k?1<742f34?i:7<>7:p1g0=838p18ln:06b?83e?38:;6s|5c:94?4|5{t=kk1<742>34?in7<>7:p1gd=838p18lk:065?83ek38:;6s|5`a94?4|5j70;ne;023>{t=hl1<742134?i<7<>7:p1g6=838p18l>:334?83e<3;?96s|5c394?4|5=70;m3;023>{t=h91<742f34?j87<>7:p1d2=838p18o7:06b?83f=38:;6s|5`794??|5nl4>499>1f5=9=2018mk:06;?83c03;?463:e2820==:=mn1=964=4g2>7703ty>m;4?:3y>1d>=9=<018o8:334?xu2i>0;6?u25`:9641<52;;<7p}:a883>7}:=hh1=984=4cb>7703ty>584?:3y>1<0=:8=01876:066?xu21?0;6?u258:951?<5<3<6??8;|q6=2<72;q69465205890?>28>=7p}:8d83>6}:=0>1=964=4;6>77034?257?;8:p1<6=838p187>:334?83><3;?96s|58394?4|5<386<:6;<7:6?46?2wx94<50;0x90?42;;<70;64;372>{t>921<742?34<;57<>7:p25?=838p1;>n:334?807n3;?56s|61`94?4|5?:o6<:7;<43g?46?2wx:=m50;0x936c2;;<708?f;37<>{t>9n1<777034<;j7?;6:p256=838p1;>=:06;?807938:;6s|61394?4|5?:96??8;<433?7312wx:==50;0x936228>3708?4;023>{t>9>1<777034<;;7?;8:p253=838p1;>9:334?807?3;?:6s|58`94?4|5<3o6<:7;<7:g?46?2wx94m50;0x90?c2;;<70;n2;37=>{t=0n1<7:t=4c1>42234<;;7?;5:?54c<6<<16:=l52058yv3>m3:1>v3:a1820==:=0l1><94}r7:b?6=:r7>m=4=169>1d4=9=20q~;n0;296~;2i809=:525`0951052z?6ad<6v3:e`8152=:=ln1=964}r7ff?6=:r7>io4=169>1`c=9=30q~;jc;296~;2mj09=:525dg951g52z?6b=<59>169kk51578yv3a13:1>v3:fd8203=:=ok1><94}r7e4?6=:r7>j84>479>1c7=:8=0q~;i2;296~;2n:09=:525g4951g52z?77<<6<0168>o52058yv7a93:1>v3;3c820<=:<:i1><94}r3e6?6=:r7??i4>489>06c=:8=0q~?i3;296~;3;o0:8452452964152z?704<6<01689<52058yv7a=3:1>v3;42820<=:<=>1><94}r3e2?6=:r7?884>489>010=:8=0q~;?7;296~;28j0:8l52515964152z?64d<59>169=m515;8yv37j3:1>v3:0c8152=:=9i1=964}r73g?6=:r7>147=9=k0q~;?d;296~;28m09=:5251g951352z?64`<59>169v3:0g8152=:=8;1=964}r724?6=:r7>==4=169>147=9=<0q~;>4;296~;29=09=:52507951?52z?650<59>169<6515c8yv36>3:1>v3:178152=:=821=974}r723?6=:r7>=:4=169>14>=9=20q~?i8;296~;3l809=:524e2951?52z?0a<429~w6`72909w0=j9;ce?85a93;??6s|3d`94?4|5:o36oh4=2g`>4243ty8ik4?:3y>7`>=io16?k<51518yv5e;3:1?vP7g1=9=901>l<:352?xu4j80;6>uQ3c3896d128>870=m1;045>{t;k:1<7=t^2`3?85e=3;??63;4j=0:8>523`d962753z\0ff=:;j:1=9=4=2``>7163ty8nl4?:2y]7gg<5:hm6<:<;<1ae?4092wx?o750;1xZ6d>349ii7?;3:?0f<<5?81v>l7:180[5e0278ni4>429>7g>=:>;0q~=l5;297~X4k<16?n75151896e22;=:7p}6}Y;j901>m7:060?85d;38<=6s|3b094?5|V:i970=l7;377>;4k;09;<5rs2a2>5<4sW9h=63:?4}r1`a?6=;rT8oh523e09515<5:in6?9>;|q0gf<72:qU?nm4=2f2>424349ho7<81:p7fd=839pR>mm;<1g4?73;278oo4=709~w6ef2908wS=la:?0gc<6<:16?no52638yv5c?3:1?vP7ad=9=901>j8:352?xu4l<0;6>uQ3e7896bf28>870=k5;045>{t;m>1<7=t^2f7?85c13;??63;4l10:8>523e1962753z\636=:=>>1=9=4=450>7163tyo;94?:2y]`22<5m=>6<:<;jj:180[5cm278hk4>429>7ac=:>;0q~=j0;297~X4m916?h?5151896c72;=:7p}6}Y;l801>k<:060?85b:38<=6s|3d694?5|V:o?70=j5;377>;4m=09;<5rs055>5<4sW;<:63>768206=:9><1>:?4}r0b0?6=;rT9m9522`79515<5;k?6?9>;|q`g?6=;rTho63ld;377>;dk38<=6s|1g;94?4|5<7703ty:jl4?:3y>13d=9=>01k>52058yv7aj3:1>v3:6`8201=:mo09=:5rs0d`>5<5s4?=57?;4:?fa?46?2wx=kj50;0x903728>?70kk:334?xu6nl0;6?u257:9512<5li1><94}r3eb?6=:r7>::4>459>bd<59>1v?>?:181831>3;?863i9;023>{t:9;1<742334l36??8;|q147<72;q69;:515c89c1=:8=0q~:0:8952f78152=z{;:?6=4={<76g?73<27m97<>7:p653=838p18;n:067?8`32;;<7p}=0783>7}:=<21=9:4=g1964152z?613<6<=16j?4=169~w76?2909w0;92;370>;bj38:;6s|21;94?4|5=;<6i84=e;f>7703ty905?=9=<01io?:334?xu40o0;6>uQ39d896g52;;<70=7f;045>{t;1n1<7=t^2:g?85f938:;63<8e8134=z{:2h6=4<{_1;g>;4i909=:5239a962753z\0<94=2:a>7163ty84l4?:2y]7=g<5:3n6??8;<1;e?4092wx?5750;1xZ6>>3492h7<>7:?0<<<5?81v>67:180[5?02785n4=169>7=>=:>;0q~=77;297~X40>16?4l5205896>02;=:7p}<8783>6}Y;1<01>7n:334?85?>38<=6s|38:94?5|V:3370=nb;023>;41109;<5rs2;4>5<4sW92;63:?4}r1:2?6=;rT85;523`;9641<5:3=6?9>;|q0=0<72:qU?4;4=2c;>770349297<81:p7<2=839pR>7;;<1b3?46?278594=709~w6?42908wS=63:?0e3<59>16?4=52638yv5>:3:1?vP<939>7d3=:8=01>7=:352?xu4180;6>uQ383896g32;;<70=61;045>{t;0:1<7=t^2;3?85f;38:;63<918134=z{:2>6=4<{_1;1>;41009=:52397962753z\ge==:lh31><94=ec;>7163tyom;4?:2y]`d0<5mk<6??8;7<>7:?634<5?81vi9=:180[b0:27o;>4=169>`24=:>;0q~?84;297~X6?=16=:;5205894132;=:7p}6}Y;o>01>h::334?85a<38<=6s|41594?4|5=:<6??8;<632?b13ty?9<4?:3y>006=l?1688?52058yv23n3:1>v3;4d8g2>;35<4s4;347<>7:?2<2<5i;16=l=51748yv7?i3:1?v3>8`8152=:9131>l<4=0c7>4013ty:4n4?:2y>5=e=:8=01<6m:3c1?87f=3;=:6s|19g94?5|582n6??8;<3;`?4f:27:m;4>679~w4?72908w0?60;023>;60o09m?521`595307>53z?2=7<59>16=4?52`0894g?28<=7p}>9583>6}:90>1><94=0;0>7g534;j57?96:p5<0=839p1<79:334?87>=38j>63>a`8223=z{8336=4<{<3:5dd=9?<0q~?8e;297~;6?l09=:5216f96d4<583h6<89;|q2<5<72:q6=5>52058941a2;k970?6d;352>{t9181<7=t=0:1>77034;3=7?1v<6;:18087?<38:;63>8281e7=:90l1=;84}r3;2?6=;r7:4;4=169>5=3=:h801u2ac69641<5ho96?o=;2wxm9:50;1x9d232;;<70o;3;0b6>;f=h0::;5rs`75>5<4s4k>:7<>7:?b10<5i;16m;;51748yvg2<3:1?v3n558152=:i<91>l<4=`47>4013tyj9?4?:2y>e04=:8=01l;>:3c1?8g1;3;=:6s|a4294?5|5h?;6??8;679~wd2b2908w0o;e;023>;f53z?b0f<59>16m9l52`089d0728<=7p}n4`83>6}:i=k1><94=`6:>7g534k>j7?96:pe1>=839p1l:7:334?8g3?38j>63n5d8223=z{h>=6=4<{e0b=9?<0q~:ne;297~;3il09=:524`f96d4<5=i?6<89;|q7g5<72:q68n>5205891da2;k970:lf;352>{t77034>ih7?1v9ll:18082ek38:;63;bc81e7=:0g?=:h8019ml:045?xu3j10;6>u24c:9641<5=h<6?o=;<6`f?71>2wx8o850;1x91d12;;<70:m5;0b6>;3kh0::;5rs5`7>5<4s4>i87<>7:?7f6<5i;168n751748yv2e:3:1?v3;b38152=:l<4=5a;>4013ty?n=4?:2y>0g6=:8=019oi:3c1?82d?3;=:6s|1`094?4|58k96??8;<3b5?71>2wxm8m50;0x9d3d2;;<70o:b;352>{t77034>h97?96:p12?=839p1898:e48901>2;;<70;88;f5?xu3::0;6?u24309`3=:<;91><94}r615?6=:r7?>=4k6:?764<59>1v9>6:18182703n=70:?9;023>{t>?k1<7a0<5?2=e=:8=0q~8:d;296~;1=j0o:6395e8152=z{?<36=4={<453?b134<=47<>7:p36`=838p1:=j:e48925a2;;<7p}86083>7}:??:1h;5277396417>52z?474277703ty3?94?:3y><65=l?164>:52058yv>7=3:1>v37058g2>;?8<09=:5rs933>5<5s42;j7j9;<:24?46?2wx4h;50;0x9=c32m<015k::334?xu>8>0;6?u29149`3=:19=1><94}r:g1v5k<:1818>b:3n=706j3;023>{t1k21<7a0<50h36??8;|q:`d<72;q65i75d79>=ag=:8=0q~7nb;296~;>ih0o:636ac8152=z{0h=6=4={<;a1?b1343i:7<>7:pfc0=838p1oh::e489g`12;;<7p};4883>7}:<=21h;5245;9641?n7>52z?70d27?8o4=169~w1752909w0:>1;f5?826:38:;6s|6g294?4|5?om6i84=7d3>7703ty4?:3y>3f4=l?16;n=52058yv>>>3:1>v37948g2>;?1?09=:5rs84:>5<5s43=47j9;<;5=?46?2wxm>m50;0x9d5e2m<01l=l:334?xuc=00;6?u2d4;9641<5m?j6<:9;|qg71<72;q6h>:520589a5228>=7p}k0g83>7}:l9l1><94=e33>4213tyhil4?:3y>g`g=:8=01nkm:065?xudk<0;6?u2cb79641<5ji=6<:9;|qg2g<72;q6h;l520589a0d28>=7p}k6383>7}:l?81><94=e40>4213tyo9i4?:3y>`0b=:8=01i;j:065?xuc<6<:9;|qg7a<72;q6h>j520589a5b28>=7p}k3983>7}:l:21><94=e1:>4213tyo><4?:3y>`77=:8=01i<=:065?xuc910;6?u2d0:9641<5m;26<:9;|qg56<72;q6h<=520589a7328>=7p}lfb83>7}:koi1><94=bdg>4213tyhj>4?:3y>gc5=:8=01nh;:065?xudml0;6?u2cdg9641<5jom6<:9;|q``2<72;q6oi9520589fb?28>=7p}lcd83>7}:kjo1><94=bae>4213tyho44?:3y>gf?=:8=01nmn:065?xu58j0;6>u2b`39641<5j9m6<:6;=k50;1x9gg22;;<70m;7;37=>;dml0:885rs32e>5<4s4hj57<>7:?`0c<6<016h<=51578yv4683:1?v3mae8152=:k<=1=974=e1;>4223ty9=<4?:2y>fg7=:8=01n;i:06:?8b2l3;?96s|20094?4|5;2=6??8;<74=?73i2wx=o>50;0x9g6628>j70m83;023>{t9k;1<777034h;=7?;9:p5g4=838p1n98:334?8d7:3;?:6s|1c694?4|5j<;6??8;{t9k<1<777034n>h7?;a:p5g1=838p1n;7:334?8b403;?56s|1c;94?4|5j?26??8;{t9kh1<777034n:?7?;9:p5ge=838p1n;>:334?8b6;3;?46s|1cf94?4|5j?96??8;{t9kl1<777034ini7?;8:p5f6=838p1n:n:334?8ebm3;?m6s|1b394?4|5j>;6??8;{t9j91<777034ih57?;a:p5f2=838p1n9;:334?8e0;3;?86s|1b794?4|5j=>6??8;{t9j=1<777034i=>7?;5:p5f>=838p1n;m:334?8e2i3;?86s|1bc94?4|5j?h6??8;{t9ji1<777034i>>7?;5:p5fb=838p1n:m:334?8e3i3;?86s|1bg94?4|5j>h6??8;{t9m:1<777034i?>7?;5:p5a7=838p1i;m:334?8b213;?m6s|1e094?4|5m9=6??8;{t9m>1<777034inm7?;a:p5a3=838p1nm8:334?8ed=3;?m6s|1e494?4|5j70;i4;023>{t9mk1<742234?m:7<>7:p5ad=838p1nl=:334?8ee93;?m6s|1ea94?4|5jk<6??8;{t9mo1<777034i2<7?;a:p5a`=838p1n69:334?8e?=3;?m6s|1d294?4|5jh;6??8;{t9l81<777034i257?;a:p5`5=838p1n6i:334?8e?m3;?m6s|1d794?4|5j2?6??8;72;;<70m8f;37e>{t9l=1<777034i3m7?;a:p5`>=838p1n79:334?8e>=3;?m6s|1d;94?4|5jk:6??8;{t>=31<7a0<5?>26??8;|q502<72;q6:985d79>211=:8=0q~896;296~;1><0o:639678152=z{?7:p234=838p1;8>:e4893052;;<7p}95483>7}:><>1h;526479641?7>52z?51727=9>4=169~w32a2909w08;e;f5?803n38:;6s|65f94?4|5?>h6i84=76g>7703ty=9o4?:3y>20g=l?16:8l52058yv0213:1>v39598g2>;1=009=:5rs63f>5<5s4=:h7j9;<52a?46?2wx;<94}r50=?6=:r71v:=8:181814>3n=709<7;023>{t?;k1<7a0<5>8j6??8;|q46=<72;q6;?95d79>37>=:8=0q~9=4;296~;0::0o:638258152=z{>896=4={<515?b134=9>7<>7:p366=838p1:7}:?;n1h;5273g964152z?4b5277703ty3<5d=l?164=m52058yv>7i3:1>v37088g2>;?8h09=:5rs6dg>5<5s4=mo7j9;<5e`?46?2wx;kl50;0x92`f2m<01:hm:334?xu0n>0;6?u27g49`3=:?o=1><94}r5e1?6=:r71v5><:1818>7:3n=706?3;023>{t09;1<7a0<51::6??8;|q;g1<72;q64n=5d79>7:p7}:0mi1h;528ef964152z?;gc273h=4=169~w=eb2909w06ld;f5?8>dm38:;6s|8bc94?4|51i26i84=9ab>7703ty3o54?:3y>c>3:1>v37d48g2>;?l?09=:5rs9f7>5<5s42o?7j9;<:g0?46?2wx54950;0x91<0;6?u29869`3=:10?1><94}r;a0?6=:r72n>4k6:?:f1<59>1v4l=:1818?e93n=707m2;023>{t1k:1<7a0<50h;6??8;|q:e6<72;q65l<5d79>=d5=:8=0q~7n1;296~;>i90o:636a08152=z{03o6=4={<;:g?b13432h7<>7:p=7}:1h21h;529`;964152z?:e3272m:4=169~wgc52909w0lj1;f5?8db:38:;6s|bd`94?4|5koj6i84=cga>7703tyh=94?:3y>f`b=l?16o<:52058yve5<3:1>v3l208g2>;d:=09=:5rsb0a>5<5s4i947j9;<94}r``3?6=:r7io94k6:?ag2<59>1voj?:1818ddl3n=70lk0;023>{tjm31<7a0<5kn26??8;|qaa5<72;q6nij5d79>f`6=:8=0q~lj7;296~;em=0o:63me68152=z{kl?6=4={<`e5?b134hm87<>7:pg4?=838p1n?9:e489f7>2;;<7p}m8883>7}:j121h;52b9;964152z?52g27=:n4=169~w2262909w09;0;f5?813938:;6s|80694?4|51;86i84=937>7703ty3i:4?:3y><`0=l?164h952058yv?ei3:1>v36b88g2>;>jh09=:5rsc:g>5<5s4h3m7j9;<`;`?46?2wxn5950;0x9g>32m<01o68:334?xuc=h0;6?u2d4:9`3=:l<94}rf01?6=:r7o?>4k6:?g70<59>1vi??:1818b7m3n=70j>0;023>{tklh1<7a0<5joi6??8;|q`g3<72;q6on:5d79>gf0=:8=0q~l69;296~;e110o:63m988152=z{kn:6=4={<`a2?b134ho=7<>7:pf7}:k8?1h;52c0d964152z?a`727iin4=169~wf7c2909w0m>a;f5?8e6l38:;6s|be`94?4|5knj6i84=cfa>7703tyii44?:3y>f`>=l?16nh752058yvdel3:1>v3mbb8g2>;ejm09=:5rscaa>5<5s4hh47j9;<``f?46?2wxh8k50;0x9a3d2m<01i;j:334?xuc;00;6?u2d259`3=:l:31><94}rf20?6=:r7o=?4k6:?g51<59>1vnki:1818ebl3n=70mjf;023>{tkjk1<7a0<5jij6??8;|q`63<72;q6o?;5d79>g70=:8=0q~m?8;296~;d8<0o:63l098152=z{j;96=4={7<>7:pg56=838p1ohk:e489f672;;<7p}l0b83>7}:k931h;52c1a964152z?ab227ijn4=169~wf632909w0m?1;f5?8e7<38:;6s|b8394?4|5k2n6i84=c;2>7703tyi::4?:3y>f30=l?16n;952058yvd1=3:1>v3m658g2>;e><09=:5rsc5;>5<5s4h<;7j9;<`4>1><94}r`46?6=:r7i;<4k6:?a37<59>1vo9?:1818d1n3n=70l80;023>{tj?o1<7a0<5kf3e=:8=0q~l9a;296~;e>00o:63m6`8152=z{k<36=4={<`57?b134h=47<>7:pf34=838p1o8>:e489g052;;<7p}:7983>459>211=9=>01;;::067?802;3;?86394g8201=:>=n1=9:4=77a>42334<>57?;4:?45`<6<=16;?709=8;370>;0:=0:89527309512<5>9;6<:;;<51a?73<27459>3``=9=>01:hk:067?81aj3;?8638f68201=:?o?1=9:4=920>423342;=7?;4:?;g1<6<=164n<515689=b728>?706le;370>;?kh0:89528b:9512<51n=6<:;;<:g0?73<2725:4>459>=<3=9=>014o<:067?8?f93;?86369e8201=:10h1=9:4=8c:>423343j;7?;4:?523<6<=16:;:51568930528>?709;0;00:89527259512<51:n6<:;;<:3g?73<273459><`7=9=>015ji:067?8>cl3;?8636b58201=:1k81=9:4=8`3>42334i:87?;4:?`61<6<=16o?l515689gde28>?70ll2;370>;ek>0:8952be29512<5kn26<:;;<`f4?73<27ii:4>459>fc2=9=>01n?6:067?8d?l3;?863m868201=:l42334n:<7?;4:?`ag<6<=16on8515689g?>28>?70l6b;370>;emj0:8952c0f9512<5m?n6<:;;459>g``=9=>01nmn:067?8e703;?863l138201=:k9:1=9:4=b2`>42334hmo7?;4:?`41<6<=16n4j515689g?028>?70l79;370>;c<;0:8952cg:9512<5jn86<:;;459>`16=9=>01i=m:067?8ea>3;?863lf08201=:km;1=9:4=ba`>42334n=97?;4:?g25<6<=16h?70j9e;370>;c>00:8952d5;9512<5m>?6<:;;459>gc`=9=>01nhn:067?8eci3;?863ld48201=:l?i1=9:4=e40>42334n?;7?;4:?g7`<6<=16h?<515689a7>28>?70mid;370>;dn=0:8952ce:9512<5jim6<:;;<`53?73=27i:84>449>f2>=9=?01o99:066?8d0<3;?963m738200=:j>:1=9;4=c4f>42234h=o7?;5:?a2d<6<<16n;6515789g0528>>70l;3;37<>;e<80:8552b46951><5k?96<:7;<`64?73027i8h4>499>f1e=9=201o:n:06;?8d303;?463m47820==:j=>1=964=c1f>42?3tyo8?4?:3y>`17=l?16h9<52058yvb383:1>v3k3g8g2>;c<909=:5rse1a>5<5s4n8m7j9;<94}rae5?6=:r7hj=4k6:?`b4<59>1vnj<:1818ec:3n=70mk3;023>{tkm;1<7a0<5jn:6??8;|q`gf<72;q6onl5d79>gfe=:8=0q~l6d;296~;e1j0o:63m9e8152=z{k3<6=4={<`:2?b134h2;7<>7:p`31=838p1i89:e489a002;;<7p}k6483>7}:l?>1h;52d77964152z?g1c27o:=4=169~wa7c2909w0j>c;f5?8b6l38:;6s|d0`94?4|5m;j6i84=e3a>7703tyo=;4?:3y>`43=l?16h<852058yvb1m3:1>v3k6e8g2>;c>l09=:5rse4:>5<5s4n=47j9;1><94}rf10?6=:r7o>>4k6:?g61<59>1vi?i:1818b6m3n=70j>f;023>{tkol1<7a0<5jlm6??8;|q`bd<72;q6ok75d79>gcg=:8=0q~mka;296~;dl00o:63ld`8152=z{jn>6=4={7:p`3e=838p1i8n:e489a0d2;;<7p}k6283>7}:l?;1h;52d71964152z?g0027o8:4=169~wa5b2909w0j7703tyo=44?:3y>`41=l?16h<752058yveal3:1>v3lfc8g2>;dnm09=:5rsbd7>5<5s4im>7j9;<94}r`77?6=:r7i8?4k6:?a06<59>1vo:>:1818d383n=70l;1;023>{tj<>1<7a0<5k??6??8;|qa17<72;q6n8?5d79>f04=:8=0q~l:0;296~;en6=4={<`7`?b134h?i7<>7:pf1e=838p1o:m:e489g2d2;;<7p}m4`83>7}:j=31h;52b5c964152z?a0227i854=169~wg212909w0l;5;f5?8d3>38:;6s|b5694?4|5k9m6i84=c67>7703tyi?h4?:3y>f6b=l?16n>k52058yv7bj3:1>v3:fd8152=:=on1=9:4}r3fg?6=:r7>jk4=169>1cb=9=?0q~?jd;296~;2nk09=:525gc951252z?6bf<59>169ko51578yv23?3:1>v3;4681<2=:<;i1h;5r}o0ag7<728qC>ij4}o0ag6<728qC>ij4}o0ag1<728qC>ij4}o0ag0<728qC>ij4}o0ag3<728qC>ij4}o0ag2<728qC>ij4}o0ag=<728qC>ij4}o0ag<<728qC>ij4}o0agd<728qC>ij4}o0agg<728qC>ij4}o0agf<728qC>ij4}o0aga<728qC>ij4}o0ag`<728qC>ij4}o0agc<728qC>ij4}o0a`5<728qC>ij4}o0a`4<728qC>ij4}o0a`7<728qC>ij4}o0a`6<728qC>ij4}o0a`1<728qC>ij4}o0a`0<728qC>ij4}o0a`3<728qC>ij4}o0a`2<728qC>ij4}o0a`=<728qC>ij4I352>4}62tP:?h4<{047>40>2;im6pT=cg826c12twe>oj6:182M4cl2we>ojn:182M4cl2we>ojm:182M4cl2we>ojl:182M4cl2we>ojk:182M4cl2we>ojj:182M4cl2we>oji:182M4cl2we>ok?:182M4cl2we>ok>:182M4cl2we>ok=:182M4cl2we>ok<:182M4cl2we>ok;:182M4cl2we>ok::182M4cl2we>ok9:182M4cl2we>ok8:182M4cl2we>ok7:182M4cl2we>ok6:182M4cl2we>okn:182M4cl2we>okm:182M4cl2we>okl:182M4cl2we>okk:181M4cl2C9;<4<{582>6u>65822<<59k0vV??m:3y217<5:90vqc7}O:mn0E?9>:2y7>4<42tP:?h4<{047>40>2;;i6pT=1c814352;8;6psa2cge>5<5sA8oh6G=70801<62:0vV<=j:2y221<6>009=o4rZ33a>7}6=;09>=4r}o0ab5<72;qC>ij4I352>6}328086pT>3d8040328<26??m:|X15g<5s8?96?4rZ01f>6}6>=0::44=1c8~^77e2;q:9?4=218~yk4en;0;6?uG2ef8M7162:q?6<4<:|X27`<4s8x\59k09w<;=:303>x{i:kl86=4={I0g`>O5?808w94>:28~^45b2:q::94>68815g538165u;:080>x\6;l08w<8;:04:>77e2tP9=o4={071>7472twe>oh::181M4cl2C9;<4<{582>6u>65822<<59k0vV??m:3y217<5:90vqc7}O:mn0E?9>:2y7>4<42tP:?h4<{047>40>2;;i6pT=1c814352;8;6psa2cd4>5<5sA8oh6G=70801<62:0vV<=j:2y221<6>009=o4rZ33a>7}6=;09>=4r}o0ab=<72;qC>ij4I352>6}328086pT>3d8040328<26??m:|X15g<5s8?96?2909wE4rZ01f>6}6>=0::44=1c8~^77e2;q:9?4=218~yk4enh0;6?uG2ef8M7162:q?6<4<:|X27`<4s8x\59k09w<;=:303>x{i:kli6=4={I0g`>O5?808w94>:28~^45b2:q::94>68815g538165u;:080>x\6;l08w<8;:04:>77e2tP9=o4={071>7472twe>ohk:182M4cl2we>ohj:182M4cl2we>ohi:182M4cl2we>n>?:182M4cl2we>n>>:182M4cl2we>n>=:182M4cl2we>n><:182M4cl2we>n>;:182M4cl2we>n>::182M4cl2we>n>9:182M4cl2we>n>8:182M4cl2we>n>7:181M4cl2C9;<4<{582>6u>65822<<59k0vV??m:3y217<5:90vqc7}O:mn0E?9>:2y7>4<42tP:?h4<{047>40>2;;i6pT=1c814352;8;6psa2b2b>5<6sA8oh6sa2b2a>5<6sA8oh6sa2b2`>5<6sA8oh6sa2b2g>5<6sA8oh6sa2b2f>5<6sA8oh6sa2b2e>5<6sA8oh6sa2b33>5<6sA8oh6sa2b32>5<6sA8oh6sa2b31>5<6sA8oh6sa2b30>5<6sA8oh6sa2b37>5<6sA8oh6sa2b36>5<6sA8oh6sa2b35>5<6sA8oh6sa2b34>5<6sA8oh6sa2b3;>5<6sA8oh6sa2b3:>5<6sA8oh6sa2b3b>5<6sA8oh6sa2b3a>5<6sA8oh6sa2b3`>5<6sA8oh6sa2b3g>5<6sA8oh6sa2b3f>5<6sA8oh6sa2b3e>5<6sA8oh6sa2b03>5<6sA8oh6sa2b02>5<6sA8oh6sa2b01>5<6sA8oh6sa2b00>5<6sA8oh6sa2b07>5<6sA8oh6sa2b06>5<6sA8oh6sa2b05>5<6sA8oh6sa2b04>5<6sA8oh6sa2b0;>5<6sA8oh6sa2b0:>5<6sA8oh6sa2b0b>5<6sA8oh6sa2b0a>5<6sA8oh6sa2b0`>5<6sA8oh6sa2b0g>5<6sA8oh6sa2b0f>5<6sA8oh6sa2b0e>5<6sA8oh6sa2b13>5<6sA8oh6sa2b12>5<6sA8oh6sa2b11>5<6sA8oh6sa2b10>5<6sA8oh6sa2b17>5<6sA8oh6sa2b16>5<6sA8oh6sa2b15>5<6sA8oh6sa2b14>5<6sA8oh6sa2b1;>5<6sA8oh6sa2b1:>5<6sA8oh6sa2b1b>5<6sA8oh6sa2b1a>5<6sA8oh6sa2b1`>5<6sA8oh6sa2b1g>5<6sA8oh6sa2b1f>5<6sA8oh6sa2b1e>5<6sA8oh6sa2b63>5<6sA8oh6sa2b62>5<6sA8oh6sa2b61>5<6sA8oh6sa2b60>5<6sA8oh6sa2b67>5<6sA8oh6sa2b66>5<6sA8oh6sa2b65>5<6sA8oh6sa2b64>5<6sA8oh6sa2b6;>5<6sA8oh6sa2b6:>5<6sA8oh6sa2b6b>5<6sA8oh6sa2b6a>5<6sA8oh6sa2b6`>5<6sA8oh6sa2b6g>5<6sA8oh6sa2b6f>5<6sA8oh6sa2b6e>5<6sA8oh6sa2b73>5<6sA8oh6sa2b72>5<6sA8oh6sa2b71>5<6sA8oh6sa2b70>5<6sA8oh6sa2b77>5<6sA8oh6sa2b76>5<6sA8oh6sa2b75>5<6sA8oh6sa2b74>5<4sA8oh6sa2b7;>5<6sA8oh6sa2b7:>5<6sA8oh6sa2b7b>5<6sA8oh6sa2b7a>5<6sA8oh6sa2b7`>5<6sA8oh6sa2b7g>5<6sA8oh6sa2b7f>5<6sA8oh6sa2b7e>5<6sA8oh6sa2b43>5<6sA8oh6sa2b42>5<6sA8oh6sa2b41>5<6sA8oh6sa2b40>5<6sA8oh6sa2b47>5<6sA8oh6sa2b46>5<6sA8oh6sa2b45>5<6sA8oh6sa2b44>5<6sA8oh6sa2b4;>5<6sA8oh6sa2b4:>5<6sA8oh6sa2b4b>5<6sA8oh6sa2b4a>5<5sA8oh6sa2b4`>5<5sA8oh6sa2b4g>5<5sA8oh6sa2b4f>5<5sA8oh6sa2b4e>5<5sA8oh6sa2b53>5<5sA8oh6sa2b52>5<5sA8oh6sa2b51>5<5sA8oh6sa2b50>5<5sA8oh6sa2b57>5<5sA8oh6sa2b56>5<5sA8oh6sa2b55>5<5sA8oh6sa2b54>5<5sA8oh6sa2b5;>5<5sA8oh6sa2b5:>5<5sA8oh6sa2b5b>5<5sA8oh6sa2b5a>5<6sA8oh6sa2b5`>5<6sA8oh6sa2b5g>5<6sA8oh6sa2b5f>5<6sA8oh6sa2b5e>5<6sA8oh6sa2b:3>5<6sA8oh6sa2b:2>5<6sA8oh6sa2b:1>5<6sA8oh6sa2b:0>5<6sA8oh6sa2b:7>5<6sA8oh6sa2b:6>5<6sA8oh6sa2b:5>5<69rB9hi5rn3a;3?6=9rB9hi5rn3a;b;3x504=utd851zJ1`a=N:>;1=v?5}[30a?5|9?>1=;7520`9y_46j3;p=8:5}|l04`0=83;pD?jk;H045?7|93wQ=>k53z350?71138:n7sU20`95~72<3wvb>>j7;295~N5lm1B>:?51z39y_74m39p=;:517;964d=uS8:n7?t1469yxh48l21<7?tH3fg?L4093;p=7sU12g97~71<3;=57<>b;Y64d=9r;>87srn22f=?6=9rB9hi5F26395~7=uS;8i7=t176953?=:8h1qW<>b;3x504=utd851zJ1`a=N:>;1=v?5}[30a?5|9?>1=;7520`9y_46j3;p=8<5}|l04`c=83;pD?jk;H045?7|93wQ=>k53z350?71138:n7sU20`95~72:3wvb>>jf;295~N5lm1B>:?51z39y_74m39p=;:517;964d=uS8:n7?t1409yxh48o:1<7?tH3fg?L4093;p=7sU12g97~71<3;=57<>b;Y64d=9r;>>7srn22e5?6=9rB9hi5F26395~7=uS;8i7=t176953?=:8h1qW<>b;3x504=utd851zJ1`a=N:>;1=v?5}[30a?5|9?>1=;7520`9y_46j3;p=8<5}|l04c0=83;pD?jk;H045?7|93wQ=>k53z350?71138:n7sU20`95~72:3wvb>>i7;295~N5lm1B>:?51z39y_74m39p=;:517;964d=uS8:n7?t1469yxh48o21<7?tH3fg?L4093;p=7sU12g97~71<3;=57<>b;Y64d=9r;>>7srn22e=?6=9rB9hi5F26395~7=uS;8i7=t176953?=:8h1qW<>b;3x504=utd851zJ1`a=N:>;1=v?5}[30a?5|9?>1=;7520`9y_46j3;p=8=5}|l04cc=83;pD?jk;H045?7|93wQ=>k53z350?71138:n7sU20`95~7293wvb>>if;296~N5lm1vb>??0;296~N5lm1vb>??1;296~N5lm1vb>??2;296~N5lm1vb>??3;296~N5lm1vb>??4;296~N5lm1vb>??5;296~N5lm1vb>??6;296~N5lm1vb>??7;296~N5lm1vb>??8;296~N5lm1vb>??9;296~N5lm1vb>??a;296~N5lm1vb>??b;296~N5lm1vb>??c;296~N5lm1vb>??d;296~N5lm1vb>??e;296~N5lm1vb>??f;296~N5lm1vb>?>0;296~N5lm1vb>?>1;295~N5lm1B>:?51z09y_74m38p=;:517;9yxh49881<7?tH3fg?L4093;p>7sU12g96~71<3;=57srn2327?6=9rB9hi5F26395~4=uS;8i7v?94;35=?{zf:;:;7>51zJ1`a=N:>;1=v<5}[30a?4|9?>1=;75}|l054>=83;pD?jk;H045?7|:3wQ=>k52z350?7113wvb>?>9;295~N5lm1B>:?51z09y_74m38p=;:517;9yxh498k1<7?tH3fg?L4093;p>7sU12g96~71<3;=57srn232f?6=9rB9hi5F26395~4=uS;8i7v?94;35=?{zf:;:j7>51zJ1`a=N:>;1=v<5}[30a?4|9?>1=;75}|l0576=83;pD?jk;H045?7|:3wQ=>k52z350?7113wvb>?=1;295~N5lm1B>:?51z09y_74m38p=;:517;9yxh49;81<7?tH3fg?L4093;p>7sU12g96~71<3;=57srn2317?6=9rB9hi5F26395~4=uS;8i751zJ1`a=N:>;1=v<5}[30a?2|9?>1>o=517;964d=uS8:n7?t2329yxh49;21<7?tH3fg?L4093;p>7sU12g90~71<38i?7?99;02f?{]:8h1=v<>f;~j67513:1=vF=de9J627=9r81qW???5}|l057g=83;pD?jk;|l057d=83;pD?jk;|l057e=83;pD?jk;|l057b=83;pD?jk;|l057c=83;pD?jk;|l057`=83;pD?jk;|l0566=83;pD?jk;|l0567=83;pD?jk;|l0564=83;pD?jk;|l0565=83;pD?jk;|l0562=83;pD?jk;|l0563=83;pD?jk;|l0560=83;pD?jk;|l0561=83;pD?jk;|l056>=83;pD?jk;|l056?=83;pD?jk;|l056g=83;pD?jk;|l056d=83;pD?jk;|l056e=83;pD?jk;|l056b=83;pD?jk;|l056c=83;pD?jk;|l056`=83;pD?jk;|l0516=83;pD?jk;|l0517=83;pD?jk;|l0514=83;pD?jk;|l0515=83;pD?jk;|l0512=83;pD?jk;|l0513=83;pD?jk;|l0510=83;pD?jk;|l0511=83;pD?jk;|l051>=83;pD?jk;|l051?=83;pD?jk;|l051g=83;pD?jk;|l051d=83;pD?jk;|l051e=83;pD?jk;|l051b=83;pD?jk;|l051c=83;pD?jk;|l051`=83;pD?jk;|l0506=83;pD?jk;|l0507=83;pD?jk;|l0504=83;pD?jk;|l0505=83;pD?jk;|l0502=83;pD?jk;|l0503=83;pD?jk;|l0500=83;pD?jk;|l0501=83;pD?jk;|l050>=83;pD?jk;|l050?=83;pD?jk;|l050g=83;pD?jk;|l050d=83;pD?jk;|l050e=83;pD?jk;|l050b=83;pD?jk;|l050c=83;pD?jk;|l050`=83;pD?jk;|l0536=83;pD?jk;|l0537=83;pD?jk;|l0534=83;pD?jk;|l0535=83;pD?jk;|l0532=83;pD?jk;|l0533=83;pD?jk;|l0530=83;pD?jk;|l0531=83;pD?jk;|l053>=83;pD?jk;|l053?=83;pD?jk;|l053g=83;pD?jk;|l053d=83;pD?jk;|l053e=83;pD?jk;|l053b=83;pD?jk;|l053c=83;pD?jk;|l053`=83;pD?jk;|l0526=83;pD?jk;|l0527=83;pD?jk;|l0524=83;pD?jk;|l0525=83;pD?jk;|l0522=83;pD?jk;|l0523=83;pD?jk;|l0520=83;pD?jk;|l0521=83;pD?jk;|l052>=83;pD?jk;|l052?=83;pD?jk;|l052g=83;pD?jk;|l052d=83;pD?jk;|l052e=83;pD?jk;|l052b=83;pD?jk;|l052c=83;pD?jk;|l052`=83;pD?jk;|l05=6=83;pD?jk;|l05=7=83;pD?jk;|l05=4=83;pD?jk;|l05=5=83;pD?jk;|l05=2=83;pD?jk;|l05=3=83;pD?jk;|l05=0=83;pD?jk;|l05=1=83;pD?jk;|l05=>=83;pD?jk;|l05=?=83;pD?jk;|l05=g=83;pD?jk;|l05=d=83;pD?jk;|l05=e=83;pD?jk;|l05=b=83;pD?jk;|l05=c=83;pD?jk;|l05=`=83;pD?jk;|l05<6=83;pD?jk;|l05<7=83;pD?jk;|l05<4=83;pD?jk;|l05<5=83;pD?jk;|l05<2=83;pD?jk;|l05<3=83;pD?jk;|l05<0=83;pD?jk;|l05<1=83;pD?jk;|l05<>=83;pD?jk;|l05=83;pD?jk;|l05d?=83;pD?jk;|l05dg=83;pD?jk;|l05dd=83;pD?jk;|l05de=83;pD?jk;|l05db=83;pD?jk;|l05dc=83;pD?jk;|l05d`=83;pD?jk;|l05g6=83;pD?jk;|l05g7=83;pD?jk;|l05g4=83;pD?jk;|l05g5=83;pD?jk;|l05g2=83;pD?jk;|l05g3=83;pD?jk;|l05g0=83;pD?jk;|l05g1=83;pD?jk;|l05g>=83;pD?jk;|l05g?=83;pD?jk;|l05gg=83;pD?jk;|l05gd=83;pD?jk;|l05ge=83;pD?jk;|l05gb=83;pD?jk;|l05gc=83;pD?jk;|l05g`=83;pD?jk;|l05f6=83;pD?jk;|l05f7=83;pD?jk;|l05f4=83;pD?jk;|l05f5=83;pD?jk;|l05f2=83;pD?jk;|l05f3=83;pD?jk;|l05f0=83;pD?jk;|l05f1=83;pD?jk;|l05f>=83;pD?jk;|l05f?=83;pD?jk;|l05fg=83;pD?jk;|l05fd=83;pD?jk;|l05fe=83;pD?jk;|l05fb=83;pD?jk;|l05fc=83;pD?jk;|l05f`=83;pD?jk;|l05a6=83;pD?jk;|l05a7=83;pD?jk;|l05a4=83;pD?jk;|l05a5=83;pD?jk;|l05a2=83;pD?jk;|l05a3=83;pD?jk;|l05a0=83;pD?jk;|l05a1=83;pD?jk;|l05a>=83;pD?jk;|l05a?=83;pD?jk;|l05ag=83;pD?jk;|l05ad=83;pD?jk;|l05ae=83;pD?jk;|l05ab=83;pD?jk;|l05ac=83;pD?jk;|l05a`=83;pD?jk;|l05`6=83;pD?jk;|l05`7=83;pD?jk;|l05`4=83;pD?jk;|l05`5=83;pD?jk;|l05`2=83;pD?jk;|l05`3=83;pD?jk;|l05`0=83;pD?jk;|l05`1=83;pD?jk;|l05`>=83;pD?jk;|l05`?=83;pD?jk;|l05`g=83;pD?jk;|l05`d=83;pD?jk;|l05`e=83;pD?jk;|l05`b=83;pD?jk;|l05`c=83;pD?jk;|l05``=83;pD?jk;|l05c6=83;pD?jk;|l05c7=83;pD?jk;|l05c4=83;pD?jk;|l05c5=83;pD?jk;|l05c2=83;pD?jk;|l05c3=83;pD?jk;|l05c0=83;pD?jk;|l05c1=83;pD?jk;|l05c>=83;pD?jk;|l05c?=83;pD?jk;|l05cg=83;pD?jk;|l05cd=83;pD?jk;|l05ce=83;pD?jk;|l05cb=83;pD?jk;|l05cc=83;pD?jk;|l05c`=83;pD?jk;|l0656=83;pD?jk;|l0657=83;pD?jk;|l0654=83;pD?jk;|l0655=83;pD?jk;|l0652=83;pD?jk;|l0653=83;pD?jk;|l0650=83;pD?jk;|l0651=83;pD?jk;|l065>=83;pD?jk;|l065?=83;pD?jk;|l065g=83;pD?jk;|l065d=83;pD?jk;|l065e=83;pD?jk;|l065b=83;pD?jk;|l065c=83;pD?jk;|l065`=83;pD?jk;|l0646=83;pD?jk;|l0647=83;pD?jk;|l0644=83;pD?jk;|l0645=83;pD?jk;|l0642=83;pD?jk;|l0643=83;pD?jk;|l0640=83;pD?jk;|l0641=83;pD?jk;|l064>=83;pD?jk;|l064?=83;pD?jk;|l064g=83;pD?jk;|l064d=83;pD?jk;|l064e=83;pD?jk;|l064b=83;pD?jk;|l064c=83;pD?jk;|l064`=83;pD?jk;|l0676=83;pD?jk;|l0677=83;pD?jk;|l0674=83;pD?jk;|l0675=83;pD?jk;|l0672=83;pD?jk;|l0673=83;pD?jk;|l0670=83;pD?jk;|l0671=83;pD?jk;|l067>=83;pD?jk;|l067?=83;pD?jk;|l067g=83;pD?jk;|l067d=83;pD?jk;|l067e=83;pD?jk;|l067b=83;pD?jk;|l067c=83;pD?jk;|l067`=83;pD?jk;|l0666=83;pD?jk;|l0667=83;pD?jk;|l0664=83;pD?jk;|l0665=83;pD?jk;|l0662=83;pD?jk;|l0663=83;pD?jk;|l0660=83;pD?jk;|l0661=83;pD?jk;|l066>=83;pD?jk;|l066?=83;pD?jk;|l066g=83;pD?jk;|l066d=83;pD?jk;|l066e=83;pD?jk;|l066b=83;pD?jk;|l066c=83;pD?jk;|l066`=83;pD?jk;|l0616=83;pD?jk;|l0617=83;pD?jk;|l0614=83;pD?jk;|l0615=83;pD?jk;|l0612=83;pD?jk;|l0613=83;pD?jk;|l0610=83;pD?jk;|l0611=83;pD?jk;|l061>=83;pD?jk;|l061?=83;pD?jk;|l061g=83;pD?jk;|l061d=83;pD?jk;|l061e=83;pD?jk;|l061b=83;pD?jk;|l061c=83;pD?jk;|l061`=83;pD?jk;|l0606=83;pD?jk;|l0607=83;pD?jk;|l0604=83;pD?jk;|l0605=83;pD?jk;|l0602=83;pD?jk;|l0603=83;pD?jk;|l0600=83;pD?jk;|l0601=83;pD?jk;|l060>=83;pD?jk;|l060?=83;pD?jk;|l060g=83;pD?jk;|l060d=83;pD?jk;|l060e=83;pD?jk;|l060b=83;pD?jk;|l060c=83;pD?jk;|l060`=83;pD?jk;|l0636=83;pD?jk;|l0637=83;pD?jk;|l0634=83;pD?jk;|l0635=83;pD?jk;|l0632=83;pD?jk;|l0633=83;pD?jk;|l0630=83;pD?jk;|l0631=83;pD?jk;|l063>=83;pD?jk;|l063?=83;pD?jk;|l063g=83;pD?jk;|l063d=83;pD?jk;|l063e=83;pD?jk;|l063b=83;pD?jk;|l063c=83;pD?jk;|~yEFDs:3:o7?nf1`b2d{GHKq;qMN_{|BC \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngr =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngr b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngr new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ngr (revision 224) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$d;14=7991;<=>?0123456789;h7=>?01234567901;<=>?01220>678890<=?>;033?47789:;<<>?012347><9;0LBIQ;4^m\CKBX<=UdS~kcebv\52YH]]6:=7>115926?OIX\^1Hlzn0=32>586<2;96D@_UU8Geqg648;1<3?;;009MKVR\3NjxlO31083:42<9;0BB][[:EcweG:693:5=;5>2;MVPUSS2[oxyaz31083:c=6:3Ue<<7:<0394;`<9;0Tb=?68=32>58a3881Sc><26>2>4499k1:>7okds]fvdubWhsSd`{<0394;543881mij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQ:693:5?>5>2;cg`wYbzhynSl{w_hlw_47ZWNDOS?=Po^ov|Z5>WF__0>4138b`atXzmUjyuQfnuY25XY@FMU9?RaPaef\6=YH]]6:=7>13c926?gcl{UyhRozx^kmp^76UVkohQ}d^cv|Zoi|R;:QRczx^13[JSS48;1<3?=;009gjsi|Vzjo1?>:1<1e>752je~byQabY25XY@FMU?8RaPaef\57YH]]6:=7>12:362>71&?<<=>5>6;FBPDYNFF__0<;50?32?40=LH^JSB[[<0794;`<9?0OMYOPR=36>58a38<1HLZN_V>21?69:11::7IAD^07[jYpg{nTeczT14_\ip~X9VE^X1?::1<2=>712@DDYY4KAUC\MKIR\5;>6=0>5:35>LHW]]0OMYOPW=36>586<2;=6D@_UU8Geqg748?1<3?;;049MKVR\3Njxl?31483:42<9?0BB][[:EcweD:6=3:5=95>6;KMTPR=Lh~jN1?::1<2`>712@D[YY4kauc\l`gcm{Ubb1?::1<2e>712@D[YY4kauc\vdkXag6:97>113922?OIX\^1hdO31483:40<9?0BB][[:vmq`Zoi48?1<3?7;049KPRW]]0OMYOPOTV?50<768<0=;4@UURVP?BF\HUY0<;50?35?40=G\^[YY4]erwop972294:n6?9:NWWTPR=lh~jSeknddp?50<768k0=;4@UURVP?bf|hUc~~f<0794;7438<1CXZ_UU8gkD:6=3:5=95>6;fbpdYomhnn~1?::1<23>712mkmRfjaegq[lh;9<0;20:8536;fbpdYuidUbb1?::1<27>712mkmRz`sqk?50<768o0=;4}ergw[bci&jeexR028535;2=54=6>3}d~iQfnu>21?699=1:57GAPTV9@drf85;36=0>4:3:>LHW]]0Omyo><0:94;733831EC^ZT;FbpdG;910;2<:4188JJUSS2MkmO2>8;2=5<=613GfyuQPn11:0ZttWH6:47>11892=?Kj}qUTb==64^pp[G:603:5=45>9;Onq}YXf9929R||_@>2=VxxSO2>8;2=5<=613GfyuQPn11:2ZttWH6:47>11892=?Kj}qUTb==66^pp[G:603:5=45>9;Onq}YXf992;R||_@>2?VxxSO2>8;2=5<=613GfyuQPn11:11892=?Kj}qUTb==68^pp[G:603:5=45>9;Onq}YXf9925R||_@>21VxxSO2>8;2=5<=613GfyuQPn1634ZttWH6:47>11892=?Kj}qUTb=:?0^pp[G:603:5=45>9;Onq}YXf9>;=R||_@>28;2=5`=613GfyuQndep\swYf}qUyRO31983:4c<900FaxvPaefq[rtXi|rT~~QM<0:94;713831CXZ_UU8Qavsk|5;36=0>4:3:>JSSX\^1mij}<0:94;`<900Tb==62=3;>58a3831Sc><92>2=87n0=44ndep?5=<768>0=44ndep\swYf}q6:47>12:3[7>4)88;0>94KAUC\GM7;::0;2>4?>0386194KAUC\GM3;::0;2<94258JJUSS2MK_MRMG1=00>586?28?6D@_UU8GEQGXKA87>>4?>058614:07>LHW]]0Omyo?<3194;733;>1EC^ZT;Fbpd7;::0;2<84258LQQVR\3Xnxb{<3194;7>3;>1CXZ_UU8qavskWdof0?=50?d861522432Vd;==930;00:c=5<3Ue<<>7<1817;263;>1bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW875=87;:7?:5p`ah[fn64;91<3?>;369tdelWjb90?=50?32?72=xhi`Snf<<3194;763;>1|lmd_bj7875=87;:7?:5p`ah[fn24;91<3?=;369v`urdVgna1<<:1<2f>432{oxyaQbel]jjqcufhf7>>4?>0d861<:3icbRlftr]bgtYea};7=7>11991>fniWkcRolq^`jp7:6294:46<5cil\flrtWhizSog{3=394;723;0omyoPctp\wqgsm5;1<3?>;38ehdhdgVYH@1?50?a86?vw{Vx7=7>115906?OIX\^1Hlzn0=12>586<2996D@_UU8Geqg64:;1<3?9;209KPRW]]0Yi~{ct=12>58a3:81Sc>?22>2>649n2996R`?03;?5?556o18>7Qa011684<4:7l0??4Pn127597=;;4:=6==:vmq`Zoi|59:6=0>2:19MKVR\3Njxl>32;2=57=42@D[YY4Kauc287<76880?7GAPTV9@drfI581<3?=;28JJUSS2MkmO2=:1<26>5=AGZ^X7okdsC?6?699=186B[[PTV9V`urd}696=0>2:19flrXkfexh|32;2=6==42kcSnaznugq_7[XOGNT=5Q`_`fg[4YH]]696=0=8:19flrXkfexh|T2\]DJAY3;VeTmijP8^MVP94=87827>4miu]`kphsm{Q9QRIAD^60[jYj}qU:3:0ieyQlotlwaw]5UVMEHR:<_n]nq}Y6:VE^X1<50?33?695<:qvq[hcjS;WTKCJP44]l[dbcW;UDYY2=:1<2?1753=0BB][[:Ecwe5:4294:>6:5IORVP?Bf|h;7?7>11397>LHW]]0OmyoN<283:44<<3CE\XZ5D`vbF95=87;2794FNQWW>fniWkcRolq=194;7c3=0BB][[:bjm[gos{Vkh}Rmg1=194;7c3=0BB][[:bjm[gos{Vkh}Rmg2=194;7c3=0BB][[:bjm[gos{Vkh}Rmg3=194;7c3=0BB][[:bjm[gos{Vkh}Rmg4=194;7c3=0BB][[:bjm[gos{Vkh}Rmg5=194;733=0DYY^ZT;Pfwpjs4:0;2i5;:^l3176;93>5=55;:bj\gjhsWjyS~zntd>0>586;2>1oe`Pbhvp[dev4:0;2<944;akjZdn|zUjo|Qlh0>0>586?2>1oe`Pbhvp[devWjb90>4?>0580?eofVhbx~Qncp]`l6:4294:;6:5cil\flrtWhizSnf;<283:41<<3icbRlftr]bgtYd`<686=0>0:69gjsi|Vyg{1=50?0;?1POTV?7?69:11?6naznu]phr]4UVMEHR<<_n]nq}Y6?=UDYY2<:1<03>2=zhgTob`{r^avvZusi}oP9PQHNE]17ZiX`nnS`{w_056[JSS4:0;2<945;KMTPR=glUhdRxjcu>7>58612?1EC^ZT;uq[fnX~lic2;:1<2f>3=G\^[YY4ncj]`lZpbk}ey094?>0c81?IR\Y__6bkPci]uafrhz5>1<3?;;48bgnYd`V|noya}<583:47<=3enSnfPvdaw81<7688097|ky^ak[scd|5>1<3?m;48ptlYdgg~y`Rmzr^qweqc;<3:5=>5::vp\gmYqmj~d~1:50?3b?36=0k;7qo|?!>?=;98JJUSS2Mkm=28:1<26>>=AGZ^X7Jnt`3?3?699=136D@_UU8geqgXag6<6=0>7::9MKVR\3lyc`}i^km82<768>047AZTQWW>Wct}e~7;7>1159;>JSSX\^1_YO[UR>4>586>221CXZ_UU8geqgXg|~7;7>1179;>JSSX\^1{b|k_nww82<76m136R`?17;?5?>999136o{ifdp\mk:0294:=665btdeawYh}}6<6=0=a::9fp`am{UdyyU8]^fbpdYnfR=VS`{w_30\KPR;?3:5i665d`vb[lh;?3:5j665fsmn[vvn4>0;2e9;>qiX{yc7;7>1169;>riulVlyc`}i^kmp91=87l047y`re]lqq:0294:>675IORVP?Bf|h:747>1139:>LHW]]0Omyo><983:44<13CE\XZ5D`vbE9>=87;9744FNQWW>AgsiK636=0>4:;9KPRW]]0Yi~{ct=:94;71300yiaQor]`l4Ynf}636=0=d:;9v`jXxfyToe?PiovX_NWW8=<76:=057|jl^rlwZeo9VcexV6R_sgo[uitWjb:Sd`{[9_\ip~X=;UDYY27:1<22>?=zlfT|b}Pci0\mkr;03:5>i56:sgo[uitWjb9Sd`{[9_\CKBX::UdSljk_73\KPR;03:5?:56:sgo[uitWjb9Sd`{[9_\v`jXxfyToeRAZT=:94;50300yiaQor]`l7Ynf}Q3QR|jl^rlwZeo:VcexV6R_lw{[35XG\^747>1179:>wckWyexSnf<_hlw8=<76;n057|jl^rlwZeo;VcexV6R_FLG[75XgVkohR9=_NWW8=<76:=057|jl^rlwZeo;VcexV6R_sgo[uitWjb8Sd`{[9_\ip~X?:UDYY27:1<03>?=zlfT|b}Pci1\mkr\0TUyiaQor]`l6Ynf}Q3QRczx^57[JSS410;2<849;pfhZvh{Vic8Rgat=:94;4c300yiaQor]`l1Ynf}Q3QRIAD^00[jYflmU3?RAZT=:94;50300yiaQor]`l1Ynf}Q3QR|jl^rlwZeo1369:>wckWyexSnf;_hlw_=[XzlfT|b}Pci6\mkr\0TUfyuQ75^MVP9>=87;=744}em]skvYd`?=zlfT|b}Pci7\mkr\0TULBIQ=3^m\eabX1=UDYY27:1<03>?=zlfT|b}Pci7\mkr\0TUyiaQor]`l0Ynf}Q3QRczx^;6[JSS410;2>949;pfhZvh{Vic9RgatZ:^[wckWyexSnf:_hlw_=[Xe|rT5;Q@UU>;>5823HDO=;:4AOF12>GIL;H::6OAD3@10>GIL:<0MCJ2KEH9L>6:CM@1D5>2KEH9L<6:CM@1D3>2KEH8L=6:CM@0D4>2KEH8L;4:CM@32E=T\yn~~RIr`l`mvehTMehy`katr^EvdhdazidS_AE\Qplg`utagmNbjgae]Rqkocd|zVhdc;Pmdo\wuoXbfcexQYPR^PBIZEHF]XD@AK].wjg2`=D2U_xi}SFscmgludgUNdoxcjnuq_Bwgik`yhcR\@J]Rqkfct{`dlIcifnd^Svjlbk}yWoe`:_lgn[vvnWcebbyRlho7\i`kX{ycTfbgat/tk`3dE=T\yn~~RIr`l`mvehTMehy`katr^EvdhdazidS_AE\Qplg`utagmNbjgae]Rqkocd|zVhdc;Pmdo\wuoXbfcexQfjaegq[hcjg{s%zej80:A9XPubzzVM~l`liralXAid}doex~RIr`l`mvehW[EAP]|`cdqpmkaBfnceiQ^}okg`pvZd`g?TahcPsqk\njoi|Ubnmik}_rrj[lhsm{ljoh yhe47?F<[]zoyQH}aoajwfi[Lfi~ah`{s]Dqeken{jeT^BDSPsm`avunfnOekd`j\Qpln`es{Uicb8Qbel]ptlYmg`dPdh1H6Q[|esq_Bwgik`yhcQJ`ctofjqu[N{keod}lo^PLNYVugjoxd`hEoejj`ZWzf`noy}Scil6[hcjWzzbSgafnu^ptlYdgg~ycabjr/tk`22EKC0:>7NBDDWa8GIMC^VNBZDJJ5:AOOCD23JF@JU64CMIE\4>7k2IGGKVPDHTJ@@c2:AOOJSSWYBJ_HQ\HHDWg>EKCVLNIILNCJ;8GJKJA]^NH:5LRDCWAA4ETzV:>7N]}_058GimXNZG:7I<4D108@4d<1<`?AGSIVIC=1??>b9GEQGXKA;7=<0l;ECWEZEO95;92n5KAUC\GM7;9:4h7IO[A^AK59736j1OMYOPCI3?508d3MK_MRMG1=35:f=CI]KTOE?316<`?AGSIVIC=1?7>b9GEQGXKA;7=40m;ECWEZEO95;5o6JNT@]@L4:587i0HLZN_BJ28779k2NJXLQLH0>16;c<3194;e<31=f>BF\HUHD<2=>c9GEQGXKA;7?3l4D@VB[FN64=4i7IO[A^AK5939j2NJXLQLH0>5:g=CI]KTOE?37?`8@DRFWJB:050m;ECWEZEO9535n6JNT@]@L7:76j1OMYOPCI0?558d3MK_MRMG2=32:f=CI]KTOE<313<`?AGSIVIC>1?<>b9GEQGXKA87=90l;ECWEZEO:5;>2n5KAUC\GM4;9?4h7IO[A^AK69706j1OMYOPCI0?5=8d3MK_MRMG2=3::g=CI]KTOE<31?a8@DRFWJB90?>1c:FBPDYD@;69=3m4D@VB[FN54;85i6JNT@]@L7:5;3:5o6JNT@]@L7:5;7h0HLZN_BJ1878e3MK_MRMG2=1=f>BF\HUHD?2;>c9GEQGXKA8793l4D@VB[FN54?4i7IO[A^AK6919j2NJXLQLH3>;:g=CI]KTOE<39?`8@DRFWJB80=0l;ECWEZEO;5;;2n5KAUC\GM5;984h7IO[A^AK79756j1OMYOPCI1?568d3MK_MRMG3=37:f=CI]KTOE=314<`?AGSIVIC?1?9>b9GEQGXKA97=:0l;ECWEZEO;5;32n5KAUC\GM5;904i7IO[A^AK7979k2NJXLQLH2>14;eBF\HUHD>2=2?g8@DRFWJB80?=50?a8@DRFWJB80?=1b:FBPDYD@:692o5KAUC\GM5;;7h0HLZN_BJ0818e3MK_MRMG3=7=f>BF\HUHD>29>c9GEQGXKA97;3l4D@VB[FN4414i7IO[A^AK79?9j2NJXLQLH5>3:f=CI]KTOE:311<`?AGSIVIC81?>>b9GEQGXKA>7=?0l;ECWEZEO<5;82n5KAUC\GM2;9=4h7IO[A^AK09726j1OMYOPCI6?538d3MK_MRMG4=34:f=CI]KTOE:319<`?AGSIVIC81?6>c9GEQGXKA>7=3m4D@VB[FN34;:5o6JNT@]@L1:597i0HLZN_BJ78749m2NJXLQLH5>17?69k2NJXLQLH5>17;dBF\HUHD927>c9GEQGXKA>753l4D@VB[FN2494h7IO[A^AK19776j1OMYOPCI7?548d3MK_MRMG5=31:f=CI]KTOE;312<`?AGSIVIC91?;>b9GEQGXKA?7=80l;ECWEZEO=5;=2n5KAUC\GM3;9>4h7IO[A^AK197?6j1OMYOPCI7?5<8e3MK_MRMG5=3=g>BF\HUHD82=0?a8@DRFWJB>0??1c:FBPDYD@<69>3k4D@VB[FN24;91<3m4D@VB[FN24;95n6JNT@]@L0:56k1OMYOPCI7?7;dBF\HUBBB[[<1<23>BF\HUBBB[[<1<\@DRFW^6;2h5KAUC\MKIR\5;;2<74D@VB[LHH]]6:<3QKAUC\S9776l1OMYOPIOMVP9766830HLZN_HLLQQ:697UOMYOPW=32:`=CI]KTECAZT=31:4?26;YCI]KT[1?=>d9GEQGXAGE^X1?<>0;8@DRFW@DDYY2>3?]GEQGX_5;82h5KAUC\MKIR\5;?2<74D@VB[LHH]]6:83QKAUC\S97368:0HLZN_HLLQQ:6=3:5i6JNT@]JJJSS48?5=45KAUC\MKIR\5;>2RJNT@]T8439l2NJXLQFNNWW8486?2NJXLQFNNWW848XLH^JSZ2>>e9GEQGXAGE^X1<1169GEQGXAGE^X1<1_ECWEZQ;:7n0HLZN_HLLQQ:468=0HLZN_HLLQQ:46VNJXLQX<25h6JNT@]JJJSS4<4:;6JNT@]JJJSS4<4THLZN_V>6:a=CI]KTECAZT=4=52=CI]KTECAZT=4=[AGSIV]7:3j4D@VB[LHH]]6<2<94D@VB[LHH]]6<2RJNT@]T828c3MK_MRGAOTV?<;703MK_MRGAOTV?<;YCI]KT[161d:FBPDYNFF__040>7:FBPDYNFF__040PD@VB[R:>6k1OMYOPOTV?4;eBF\HUDYY2>1?a8@DRFWF__0<<1c:FBPDYH]]6:?3m4D@VB[JSS48>5i6JNT@]LQQ:6=3:5o6JNT@]LQQ:6=7h0HLZN_NWW848e3MK_MRAZT=0=f>BF\HUDYY2<>c9GEQGXG\^783l4D@VB[JSS4<4i7IO[A^MVP909j2NJXLQ@UU>4:g=CI]KTCXZ38?`8@DRFWF__0406;ECWEZT;87k0HLZN_S>24;g1?c8@DRFW[6:>3o4D@VB[W:6;7k0HLZN_S>20;e5;2=e>BF\HUY0<;19:FBPDYU48427IO[A^P?6;?89GEQGXZ5>556JNT@]Q808>3MK_MR\36?;8@DRFW[6<245KAUC\V9>912NJXLQ]<8<:?AGSIV]7<3o4D@VB[R:687k0HLZN_V>25;g2?c8@DRFW^6:?3o4D@VB[R:6<7i0HLZN_V>21?69i2NJXLQX<07==>BF\HU\0<06;ECWEZQ;:730HLZN_V>0:<=CI]KT[1:19:FBPDYP4<427IO[A^U?2;?89GEQGX_52556JNT@]T8<813MIFS^^m;EAN[VVXagyj6JLM^QS[lht|Vcexo5KCL]esaYnf}n0HI\PAMKDJHCI\>1OIKOZLUf8@@ULM^UJHG[\T008@CUXN[OZYH@LY^OL@@753MFGSK\JQTGMG\YJGMO27IAAT^ABV@3c9Geqg748;1<374D`vb4976601Omyo?<00==>Bf|h:7=>06;Ecwe5:6<7h0Hlzn0=36>58>3Mkm=2>5?;8@drf85;=245Kauc38419j2Njxl>31983:<=Ci}k;0<619:Fbpd6;90437Io{a1>2:<=Ci}k;0?>19:Fbpd6;:8427Io{a1>16;dBf|h:7>;06;Ecwe5:5?730Hlzn0=0;:<=Ci}k;0?71a:Fbpd6;:3:546Jnt`2?6;?c9Geqg74:;1<374D`vb49566h1Omyo?<283:==Ci}k;0>07;Ecwe5:3611Omyo?<4<;?Agsi96=2l5Kauc382<7611Omyo?<6Bf|h;7==0m;Ecwe4:693:556Jnt`3?548>3Mkm<2>2?;8@drf95;8245Kauc28429j2Njxl?31483:<=Ci}k:0<;19:Fbpd7;9?427Io{a0>23;d611Omyo><0<:?Agsi869<374D`vb5946601Omyo><30=f>Bf|h;7>>4?>89Geqg64;9556Jnt`3?618>3Mkm<2=5?;8@drf958=245Kauc2871912Njxl?329<:?Agsi86953o4D`vb594=8720Hlzn1=0==>Bf|h;7?=0m;Ecwe4:493:556Jnt`3?748f3Mkm<2<:1<;?Agsi868255Kauc2818?3Mkm<2:>99Geqg64?4j7Io{a0>4>58?3Mkm<28>`9Geqg6410;255Kauc28=8?3Mkm<26>49GeqgF02NjxlO30?;8@drfI5;;2o5KaucB847=8730HlznA=32:<=Ci}kJ0<<19:FbpdG;9:427Io{a@>20;dBf|hK7=54?>89GeqgF482546Jnt`C?5;g546Jnt`C?1;>24;d:1<:?AgsiK6:=374D`vbF975601OmyoM<01==>Bf|hH7=90m;EcweG:6=3:556Jnt`@?508>3MkmO2>6?;8@drfJ5;<2o5KaucA84>=8730HlznB=3;:==Ci}kI0<0n;EcweG:529437Io{aC>1:d=Ci}kI0>4?>99GeqgE4:437Io{aC>7:==Ci}kI0807;EcweG:1611OmyoM<6@CZL>0JI\\3:DGW1=ALZOj7K]B_BMGMKAe3OYFSCL\T@VFf>@TEVDIX_OAS09D7>AIL;:0KCJP19]l[cjffjeT_NBT1\]fupgkW>Ud>95HNE]2AILV89SbQkauc\gptX{}kiV?R_dsveiY53^m1=>AILV89SbQaic`oaZeh}g~n~V3?>9:EM@Z44WfULBIQ=3^m\KWY4=Ve:m6IAD^00[jY@FMU9?RaPOS]01Zi6901LBIQ=3^m\CKBX::UdSB\P45]l5d=@FMU9?RaPGOF\66YhWFXT89Q`10;8CKBX::UdSJ@K_31\kZIUW=?TcAILV88SbQ_WS]20ZiXG[U?;Ra>a:EM@Z44WfU[[_Q>4^m\KWY3?Ve:>l5HNE]17ZiXzhgTob`{r^avvZusi}oP9PQjqtco[73Xg;k0KCJP22]l[wgjWjeexQlus]ppdrbSAILV88SbQ}al]`kkruWjyS~zntdY6YZcv}hfT94Q`2`9DJAY5;VeT~lcPcnlwvZerzVymykT5\]fupgkW?:Tc?o4GOF\66YhW{kfSnaats]`qwYt|h~nW8SPepwbhZ17Wf8j7J@K_31\kZtfeVidby|Pctp\wqgsmR?VShzam]45Zi5i2MEHR<<_n]qehYdgg~ySn{}_rvbp`]2UVozylbP80]l6d=@FMU9?RaPr`o\gjhszVi~~R}{augX1XYby|kgS5AILV8?SbQxosf\mkr\99Q`_vmq`Zoi|R;>QRczx^3\KPR;9:49?6IAD^07[jYpg{nTeczT14_\ip~X9VE^X1?;>378CKBX:=UdSza}d^kmp^72UVg~tR?POTV?50<76;90KCJP25]l[riulVcexV?:]^ov|Z7XG\^7=80=2:EM@Z43WfU|cjPiovX50[Xe|rT=RAZT=3=67=@FMU98RaPwnpg[lhsS8?VS`{w_0]LQQ:56;80KCJP25]l[riulVcexV?:]^ov|Z7XG\^7?3<=;FLG[72XgV}d~iQfnuY21XYj}qU:SB[[<5<16>AILV8?SbQxosf\mkr\9TcRy`re]jjq]6=TUfyuQ>_NWW8=85:2MEHR<;_n]tkwbXag~P=8SPmtz\5ZIR\535=o5HNE]77ZiXOGNT8>Q`_LW[[726Wf;i7J@K_51\kZUDCVxbhQBUY]105Yh:;1LBIQ;3^m\flrXkfexh|T2\]fupgkW;;Tc?84GOF\06YhWkcSnaznugq_7[Xmxj`R<>_n>1:47>3NDOS9:Po^EM@Z23WfUD^R9<_n3b?BHCW=>TcRIAD^67[jYHZV=8Sb?=2:EM@Z23WfULBIQ;4^m\w`jbk}U:;RAZT=2=66=@FMU?8RaPGOF\01YhWzoginzP16]LQQ:768887J@K_56\kZAILV>?SbQ|emg`pZ70WF__0<>1259DJAY324;75=2MEHR:;_n]DJAY3?5HNE]70ZiXOGNT89Q`_rgoafrX9>UDYY2>>318CKBX<=UdSJ@K_56\kZubdliS<9POTV?5;75:2MEHR:;_n]DJAY3>5HNE]70ZiXOGNT89Q`_rgoafrX9>UDYY2=>001?BHCW=>TcRIAD^67[jYtmeohxR?8_NWW8685;2MEHR:;_n]DJAY3TcRIAD^67[jYtmeohxR?8_NWW8186:;1LBIQ;4^m\CKBX<=UdS~kcebv\52YH]]6>2?=4GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ35?316>AILV>?SbQHNE]70ZiX{lfnoyQ>7^MVP909::1LBIQ;4^m\CKBX<=UdS~kcebv\52YH]]6=2<<=;FLG[12XgVMEHR:;_n]paicd|V;AILV>?SbQHNE]70ZiX{lfnoyQ>7^MVP9199;80KCJP45]l[BHCW=>TcR}jldaw[41XG\^743<<;FLG[12XgVMEHR:;_n]paicd|V;6;90KCJP45]l[BHCW=>TcR}jldaw[41XG\^753?>2:EM@Z23WfUBB[Q>10]l56=@FMU?8RaPIOT\547Xg88:7J@K_56\kZejlVi~~R}{aug\atsfdV;:Sb<=;FLG[12XgVifhRmzr^qweqcXmxj`R?>_n315>AILV>?SbQlotlw[ugdS8;VShzam]04Zi5=2MEHR:;_n]`kphsWykhW]^grqdjX;9Ud01?612>AILV>?SbQlotlw[ugdS8;VShzam]04Zi;984>>45HNE]70ZiXkfexR~ncZ32YZcv}hfT?=Q`<03=[lkw:k1LBIQ;4^m\wuoXkfd~aQlus]ppdrbS=WTi|{nl^37[j4e3NDOS9:Po^qsmZehf}xgSn{}_rvbp`]3UVozylbP17]l6d=@FMU?8RaPsqk\gjhszeUhyQ|t`vf_1[Xmxj`R?Po3c8CKBX<=UdS~~f_bmmpwjXk|xTyo{eZ6^[`wrieU9Sb<8;FLG[12XgV}d~iQirnoptlYnf}Q4:7dAILV>?SbQxosf\bwij{ycTeczT7\]fupgkW8:Tc19123`8CKBX<=UdSza}d^dqkhuwaVcexV9R_dsveiY68Ve7;3==e:EM@Z23WfU|cjPfsmnwuoXag~P;PQjqtco[46Xg5=5Sdc279DJAY34:7g00b?BHCW=>TcRy`re]evjktx`UbbyU8]^grqdjX1Ve7;3<=a:EM@Z23WfU|cjPfsmnwuoXag~P;PQjqtco[48>i5HNE]70ZiXfxoSk|`mrrj[lhsS>WTi|{nl^;\k919W`g{><5HNE]72ZiX{lfTdhokes]qehYby|kgSVeThbPhdcgawYuidUn}xoc_0]l5c=@FMU>SbQFNW]:[j773NDOS8Q`_HLU[229J56587D?:2:K16>O4:2C?>6G:2:K56>O0:2C3>6G65:KAQCAf3@H^JJQfnrv24>OE]OUOIAOV_WCOQ@eOIA]90ECXn;HMPPDHCI[Nn7FKJP^JJAWGUKAS=7AALKDF4?II@AJKG?6B@W59NMVI33DEXE55BORKq858f3DEXE2>:1<;?HITA{6:2:5BORKq[51:5Baef\flrXkfexh|T2\]DJAY3;VeTmijP8^MVP4403DkohRm`uov\tde\98WTKCJP45]l[dbcW88TCXZ>269NeabXkfexR}cwZ1^[BHCW;9TcRokd^347ZIR\88i7@okd^ljfgjbWje~byk}[3_\CKBX:;UdSljk_06\KPR6::1FmijPpup\i`k\:TULBIQ;5^m\eabX:VE^X<ZWNDOS?=Po^cg`Z37WF__=?m4M`fg[wckWyexSnf=_hlw_=[XOGNT>>Q`_`fg[37XG\^:>n5Baef\v`jXxfyToe=PiovXTcRy`re]jjq]6=TUfyuQ>_NWW5778SbQBUY]104Yh9;;0A`{w_FLG[15XgVYHGR|fsd]NQ]Y5<9Ud=n5Bmtz\[k67::;h7@czx^]m454>9j1FaxvP_o23707d3Dg~tRQa01625f=Je|rTSc>>043`?HkrpVUe<<>91b9Nip~XWg::<:?l;Lov|ZYi88:3=n5Bmtz\[k66>0;h7@czx^]m46409j1FaxvP_o20=77d3Dg~tRQa02;05`=Je|rTSc><95]B5`=Je|rTSc><95]A5450?37?HkrpVUe<>7;_sq\E97668>0A`{w_^l37<2XzzUJ0<<1159Nip~XWg:859Q}s^C?5686<2GfyuQPn11:0ZttWH6:83?;;Lov|ZYi8:3?S}PA=36:42Kj}qUTb==64^pp[D:603:5=95Bmtz\[k641=UyRO319<27>Kj}qUTb==64^pp[D:66890A`{w_^l37<2XzzUJ0?0>3:Onq}YXf9928R||_@>0:45018IhsWVd;?4:Prr]B8386;2GfyuQPn11:0ZttWH6<2<=4Mlw{[Zh7;0>T~~QN<9<27>Kj}qUTb==64^pp[D:>6890A`{w_^l37<2XzzUI0=0>4:Onq}YXf9928R||_C>24;733Dg~tRQa02;7[wuXJ5;:2<:4Mlw{[Zh7;0>T~~QM<00=51=Je|rTSc><95]qwZD;9:4:86Cbuy]\j55>4?37?HkrpVUe<>7;_sq\F97268>0A`{w_^l37<2XzzUI0<81159Nip~XWg:859Q}s^@?5286>2GfyuQPn11:0ZttWK6:47>1159Nip~XWg:859Q}s^@?5=86;2GfyuQPn11:0ZttWK6:2<=4Mlw{[Zh7;0>T~~QM<3<27>Kj}qUTb==64^pp[G:46890A`{w_^l37<2XzzUI090>3:Onq}YXf9928R||_C>6:45018IhsWVd;?4:Prr]A8=86;2GfyuQPn11:0ZttWK622h5Bmtz\[k641Kj}qUTb==65^pp[D:687;?7@czx^]m46?2W{yTM1?>>068IhsWVd;?4;Prr]B84499=1FaxvP_o20=0Yu{VK7=>0>4:Onq}YXf9929R||_@>20;733Dg~tRQa02;6[wuXI5;>2<:4Mlw{[Zh7;0?T~~QN<04=51=Je|rTSc><94]qwZG;9>4::6Cbuy]\j55>=VxxSL2>8;2=51=Je|rTSc><94]qwZG;914:?6Cbuy]\j55>=VxxSL2>>018IhsWVd;?4;Prr]B8786;2GfyuQPn11:1ZttWH682<=4Mlw{[Zh7;0?T~~QN<5<27>Kj}qUTb==65^pp[D:26890A`{w_^l37<3XzzUJ0;0>3:Onq}YXf9929R||_@>4:45=VxxSL26>018IhsWVd;?4;Prr]A8586<2GfyuQPn11:1ZttWK6:<3?;;Lov|ZYi8:3>S}PB=32:42Kj}qUTb==65^pp[G:6<7;?7@czx^]m46?2W{yTN1?:>068IhsWVd;?4;Prr]A84099=1FaxvP_o20=0Yu{VH7=:0>6:Onq}YXf9929R||_C>23:Onq}YXf9929R||_C>2:45=VxxSO2<>018IhsWVd;?4;Prr]A8186;2GfyuQPn11:1ZttWK6>2<=4Mlw{[Zh7;0?T~~QM<7<27>Kj}qUTb==65^pp[G:06890A`{w_^l37<3XzzUI050>3:Onq}YXf9929R||_C>::`=Je|rTSc><97]B5`=Je|rTSc><97]A545>VxxSL2>0?37?HkrpVUe<>79_sq\E97668>0A`{w_^l37<0XzzUJ0<<1159Nip~XWg:85;Q}s^C?5686<2GfyuQPn11:2ZttWH6:83?;;Lov|ZYi8:3=S}PA=36:42Kj}qUTb==66^pp[D:603:5=95Bmtz\[k641?UyRO319<27>Kj}qUTb==66^pp[D:66890A`{w_^l37<0XzzUJ0?0>3:Onq}YXf992:R||_@>0:45>VxxSL2:>018IhsWVd;?48Prr]B8386;2GfyuQPn11:2ZttWH6<2<=4Mlw{[Zh7;0Kj}qUTb==66^pp[D:>6890A`{w_^l37<0XzzUI0=0>4:Onq}YXf992:R||_C>24;733Dg~tRQa02;5[wuXJ5;:2<:4Mlw{[Zh7;0<97]qwZD;9:4:86Cbuy]\j55>>VxxSO2>4?37?HkrpVUe<>79_sq\F97268>0A`{w_^l37<0XzzUI0<81159Nip~XWg:85;Q}s^@?5286>2GfyuQPn11:2ZttWK6:47>1159Nip~XWg:85;Q}s^@?5=86;2GfyuQPn11:2ZttWK6:2<=4Mlw{[Zh7;0Kj}qUTb==66^pp[G:46890A`{w_^l37<0XzzUI090>3:Onq}YXf992:R||_C>6:45>VxxSO28>018IhsWVd;?48Prr]A8=86;2GfyuQPn11:2ZttWK622h5Bmtz\[k641>UJ=h5Bmtz\[k641>UI=<=4Mlw{[Zh7;0=T~~QN<1<20>Kj}qUTb==67^pp[D:687;?7@czx^]m46?0W{yTM1?>>068IhsWVd;?49Prr]B84499=1FaxvP_o20=2Yu{VK7=>0>4:Onq}YXf992;R||_@>20;733Dg~tRQa02;4[wuXI5;>2<:4Mlw{[Zh7;0=T~~QN<04=51=Je|rTSc><96]qwZG;9>4::6Cbuy]\j55>?VxxSL2>8;2=51=Je|rTSc><96]qwZG;914:?6Cbuy]\j55>?VxxSL2>>018IhsWVd;?49Prr]B8786;2GfyuQPn11:3ZttWH682<=4Mlw{[Zh7;0=T~~QN<5<27>Kj}qUTb==67^pp[D:26890A`{w_^l37<1XzzUJ0;0>3:Onq}YXf992;R||_@>4:45?VxxSL26>018IhsWVd;?49Prr]A8586<2GfyuQPn11:3ZttWK6:<3?;;Lov|ZYi8:3UyRL312<20>Kj}qUTb==67^pp[G:6<7;?7@czx^]m46?0W{yTN1?:>068IhsWVd;?49Prr]A84099=1FaxvP_o20=2Yu{VH7=:0>6:Onq}YXf992;R||_C>23:Onq}YXf992;R||_C>2:45?VxxSO2<>018IhsWVd;?49Prr]A8186;2GfyuQPn11:3ZttWK6>2<=4Mlw{[Zh7;0=T~~QM<7<27>Kj}qUTb==67^pp[G:06890A`{w_^l37<1XzzUI050>3:Onq}YXf992;R||_C>::`=Je|rTSc><99]B5`=Je|rTSc><99]A5450VxxSL2>0?37?HkrpVUe<>77_sq\E97668>0A`{w_^l37<>XzzUJ0<<1159Nip~XWg:855Q}s^C?5686<2GfyuQPn11:Kj}qUTb==68^pp[D:603:5=95Bmtz\[k6411UyRO319<27>Kj}qUTb==68^pp[D:66890A`{w_^l37<>XzzUJ0?0>3:Onq}YXf9924R||_@>0:450VxxSL2:>018IhsWVd;?46Prr]B8386;2GfyuQPn11:Kj}qUTb==68^pp[D:>6890A`{w_^l37<>XzzUI0=0>4:Onq}YXf9924R||_C>24;733Dg~tRQa02;;[wuXJ5;:2<:4Mlw{[Zh7;02T~~QM<00=51=Je|rTSc><99]qwZD;9:4:86Cbuy]\j55>0VxxSO2>4?37?HkrpVUe<>77_sq\F97268>0A`{w_^l37<>XzzUI0<81159Nip~XWg:855Q}s^@?5286>2GfyuQPn11:1159Nip~XWg:855Q}s^@?5=86;2GfyuQPn11:Kj}qUTb==68^pp[G:46890A`{w_^l37<>XzzUI090>3:Onq}YXf9924R||_C>6:450VxxSO28>018IhsWVd;?46Prr]A8=86;2GfyuQPn11:Kj}qUTb==69^pp[D:687;?7@czx^]m46?>W{yTM1?>>068IhsWVd;?47Prr]B84499=1FaxvP_o20=0>4:Onq}YXf9925R||_@>20;733Dg~tRQa02;:[wuXI5;>2<:4Mlw{[Zh7;03T~~QN<04=51=Je|rTSc><98]qwZG;9>4::6Cbuy]\j55>1VxxSL2>8;2=51=Je|rTSc><98]qwZG;914:?6Cbuy]\j55>1VxxSL2>>018IhsWVd;?47Prr]B8786;2GfyuQPn11:=ZttWH682<=4Mlw{[Zh7;03T~~QN<5<27>Kj}qUTb==69^pp[D:26890A`{w_^l373:Onq}YXf9925R||_@>4:451VxxSL26>018IhsWVd;?47Prr]A8586<2GfyuQPn11:=ZttWK6:<3?;;Lov|ZYi8:32S}PB=32:42Kj}qUTb==69^pp[G:6<7;?7@czx^]m46?>W{yTN1?:>068IhsWVd;?47Prr]A84099=1FaxvP_o20=6:Onq}YXf9925R||_C>23:Onq}YXf9925R||_C>2:451VxxSO2<>018IhsWVd;?47Prr]A8186;2GfyuQPn11:=ZttWK6>2<=4Mlw{[Zh7;03T~~QM<7<27>Kj}qUTb==69^pp[G:06890A`{w_^l373:Onq}YXf9925R||_C>::`=Je|rTSc>;01]B5`=Je|rTSc>;01]A5450?37?HkrpVUe<9>?_sq\E97668>0A`{w_^l3056XzzUJ0<<1159Nip~XWg:?<=Q}s^C?5686<2GfyuQPn1634ZttWH6:83?;;Lov|ZYi8=:;S}PA=36:42Kj}qUTb=:?0^pp[D:603:5=95Bmtz\[k6389UyRO319<27>Kj}qUTb=:?0^pp[D:66890A`{w_^l3056XzzUJ0?0>3:Onq}YXf9>;0:45018IhsWVd;8=>Prr]B8386;2GfyuQPn1634ZttWH6<2<=4Mlw{[Zh7<9:T~~QN<9<27>Kj}qUTb=:?0^pp[D:>6890A`{w_^l3056XzzUI0=0>4:Onq}YXf9>;24;733Dg~tRQa0523[wuXJ5;:2<:4Mlw{[Zh7<9:T~~QM<00=51=Je|rTSc>;01]qwZD;9:4:86Cbuy]\j5278VxxSO2>4?37?HkrpVUe<9>?_sq\F97268>0A`{w_^l3056XzzUI0<81159Nip~XWg:?<=Q}s^@?5286>2GfyuQPn1634ZttWK6:47>1159Nip~XWg:?<=Q}s^@?5=86;2GfyuQPn1634ZttWK6:2<=4Mlw{[Zh7<9:T~~QM<3<27>Kj}qUTb=:?0^pp[G:46890A`{w_^l3056XzzUI090>3:Onq}YXf9>;6:45018IhsWVd;8=>Prr]A8=86;2GfyuQPn1634ZttWK622h5Bmtz\[k6388UJ=h5Bmtz\[k6388UI=<=4Mlw{[Zh7<9;T~~QN<1<20>Kj}qUTb=:?1^pp[D:687;?7@czx^]m4166W{yTM1?>>068IhsWVd;8=?Prr]B84499=1FaxvP_o2744Yu{VK7=>0>4:Onq}YXf9>;=R||_@>20;733Dg~tRQa0522[wuXI5;>2<:4Mlw{[Zh7<9;T~~QN<04=51=Je|rTSc>;00]qwZG;9>4::6Cbuy]\j5279VxxSL2>8;2=51=Je|rTSc>;00]qwZG;914:?6Cbuy]\j5279VxxSL2>>018IhsWVd;8=?Prr]B8786;2GfyuQPn1635ZttWH682<=4Mlw{[Zh7<9;T~~QN<5<27>Kj}qUTb=:?1^pp[D:26890A`{w_^l3057XzzUJ0;0>3:Onq}YXf9>;=R||_@>4:45018IhsWVd;8=?Prr]A8586<2GfyuQPn1635ZttWK6:<3?;;Lov|ZYi8=::S}PB=32:42Kj}qUTb=:?1^pp[G:6<7;?7@czx^]m4166W{yTN1?:>068IhsWVd;8=?Prr]A84099=1FaxvP_o2744Yu{VH7=:0>6:Onq}YXf9>;=R||_C>23:Onq}YXf9>;=R||_C>2:45018IhsWVd;8=?Prr]A8186;2GfyuQPn1635ZttWK6>2<=4Mlw{[Zh7<9;T~~QM<7<27>Kj}qUTb=:?1^pp[G:06890A`{w_^l3057XzzUI050>3:Onq}YXf9>;=R||_C>::f=Je|rTSc>:213`?HkrpVUe<87>1b9Nip~XWg:===?n;Lov|Zgcl{;8<6Cbuy]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]nq}Y41VE^X<=7;Lov|Zgcl{UyhRozx^kmp^76UVkohQ}d^cv|Zoi|R;:QRczx^13[JSS9890A`{w_`fgvZquWhsSL?>3:Onq}YflmxT{Qnuy]A542Kj}qUjhi|Pws]bq}Yu{VK7=<0>9:Onq}YflmxT{Qnuy]qwZG;9;4:56Cbuy]b`atX{UjyuQ}s^C?568612GfyuQndep\swYf}qUyRO315<2=>Kj}qUjhi|Pws]bq}Yu{VK7=80>9:Onq}YflmxT{Qnuy]qwZG;9?4:56Cbuy]b`atX{UjyuQ}s^C?5286j2GfyuQndep\swYf}qUyRO31983:4?0:8IhsWhno~Ry}_`w{[wuXI5;5=55Bmtz\eabuW~xTmxvPrr]B878602GfyuQndep\swYf}qUyRO33?3;?HkrpVkohQxr^cv|ZttWH6?2<64Mlw{[dbczV}ySl{w_sq\E939911FaxvPaefq[rtXi|rT~~QN<7<2<>Kj}qUjhi|Pws]bq}Yu{VK7;3?7;Lov|Zgcl{U|~Rozx^pp[D:?6820A`{w_`fgvZquWhsS}PA=;=5==Je|rTmij}_vp\ep~XzzUI0=0>9:Onq}YflmxT{Qnuy]qwZD;994:56Cbuy]b`atX{UjyuQ}s^@?548612GfyuQndep\swYf}qUyRL313<2=>Kj}qUjhi|Pws]bq}Yu{VH7=>0>9:Onq}YflmxT{Qnuy]qwZD;9=4:56Cbuy]b`atX{UjyuQ}s^@?508612GfyuQndep\swYf}qUyRL317<2=>Kj}qUjhi|Pws]bq}Yu{VH7=:0>b:Onq}YflmxT{Qnuy]qwZD;910;2<74Mlw{[dbczV}ySl{w_sq\F97?6820A`{w_`fgvZquWhsS}PB=3=5==Je|rTmij}_vp\ep~XzzUI0?0>8:Onq}YflmxT{Qnuy]qwZD;;7;37@czx^cg`wYpzVk~tR||_C>7:4>0:8IhsWhno~Ry}_`w{[wuXJ535>55Bmtz\flrXkfexh|T2\]DJAY3;VeTaxvP11]LQQ7502GfyuQmiu]`kphsm{Q9QRIAD^60[jYj}qU:>RAZT00:?HkrpVh~jkk}_nww_2[Xlh~jSd`T7\]nq}Y5:VE^X84Mlw{[wgjWjeexQlus]ppdrbSQ@UU302>Kj}qUyiaQor]`l6Ynf}Q3QR|jl^rlwZeo;VcexV6R_lw{[22XG\^:?;5Bmtz\v`jXxfyToe:PiovXTeczT8\]nq}Y?=VE^X<=9;Lov|ZtbdVzdRmg5^kmp^>ZW{ogS}a|_bj6[lhsS1WTaxvP94]LQQ74>2GfyuQ}em]skvYd`f:Onq}YumzgS`kb1d9Nip~XfxoSd`{1d9Nip~XfxoSb{{1038IwgjWmkmRfjaegq57=I8;1E=?k4N;-S*766;8::?"|{f.tk`i){}%x~n!aulgqmfYt|m$}di:4NNLF0>HHZ?;0C85@DEP1e>ICL[8Tec}{5:MFfdt>3FOimQfnwc8K@dfzVcez<:4OS310>IU9?90C_<:;NP1F43>5@R218KW243FX>?6A]629LV=7>30227ZJ33YKYXo5_H@QF[VNNN]k0\D@PBTQJ@]dVHZ]U\I85_SBNH2>VTLFDN86^\EO:8TVOIKMOH><5_WS]25ZiXj`~Tob{atdpX6XYby|kgS3^m\jldedlUhcx`{esY1YZcv}hfT=9Q`289SSWY6;VeTbdlmld]`kphsm{Q9QRk~u`n\51Yh4;4:>l5_WS]20ZiXimnySh|nsd]bq}Ynf}Q:=PQjqtco[6>Xg;o0\Z\P15]l[dbczVoym~kPatz\mkr\98WTi|{nl^1;[j:6978m7]Y]_06\kZgcl{Un~l}j_`w{[lhsS8;VShzam]0k5_WS]20ZiXimnySh|nsd]bq}Ynf}Q:=PQjqtco[6>Xg5;:2?1\]fupgkW:2Tc1?>>50e?UQUW8>TcRokds]fvdubWhsSd`{[03^[`wrieU84Ra310<677=W_[U:8RaPaefq[`tf{lUjyuQfnuY25XYby|kgS>6Po=32:Zojx;=0\Z\P15]l[dbczVxoSl{w_hlw_47ZWl{~maQ=8^m1f>VPZV;?SbQndep\vaYf}qUbbyU>1\]fupgkW;2Tc1?>>3a8TRTX9=UdSljkr^pg[dsW`dW2b9SSWY6Xg5;:2>>k5_WS]20ZiXimnySjPatz\mkr\98WTi|{nl^0;[j:697Uba}<>;QUQ[42XgVidyczPsmuX7XYby|kgS<:8_n06?UQUW8>TcRm`uov\wiq\;TUn}xoc_064[j:4688:7]Y]_06\kZeh}g~TayT3\]fupgkW8?8Sb<:;QUQ[42XgVidyczPsmuX7XYby|kgS<;<_n>0:44f3Y]YS<:Po^pbiZehf}xTox|Psucwa^3ZWl{~maQ>6^m1e>VPZV;?SbQ}al]`kkruWjyS~zntdY6YZcv}hfT>:Q`2`9SSWY6Wf8i7]Y]_06\kZtbdVzdRmg1^kmp^>ZWgeShzam]7=Zi6:h1[[_Q>4^m\v`jXxfyToeVPZV;?SbQ}em]skvYd`:UbbyU7]^llpZcv}hfT;;Tc<PQjqtco[46Xg;<0\Z\P19]l[gosWje~byk}[3_\atsfdV;;Sb2=>002?UQUW83TcRm`uov\tde\98WTi|{nl^1:[j423Y]YS<7Po^alqkrXxhiP=Wf6:=3<9;QUQ[4?XgVidyczPp`aX54[Xmxj`R=6_n>25;75>2Z\^R?6_n]`kphsWykhW1\]fupgkW:3Tc1?>>505?UQUW83TcRm`uov\tde\98WTi|{nl^1:[j:697?956^XR^3:[jYdg|dS}ol[03^[`wrieU85Ra310<\mhv5j2Z\^R?6_n]ptlYdgg~y`Rmzr^qweqc\=Q`_igb``tXk|xTyo{eZ3^[`wrieU9Sb5\]fupgkW8Ud?=5_WS]6[jYumzoSjka.bmmpZ478DBqW<;R_dsveiY6Wf6:93?=f:RTVZ3XgVxnhzPgdl-gjhsW;:;AEtT14_\atsfdV;TcRgav228TRTX=VeT~h}jt^efj+ehf}U9<=CGzZ36YZcv}hfT=RaPiot25>W63[20^LCPTXRF7>TT\:1YXA64RUN\P\VB9m1Yi~k{_NP\@woqm{KEHNb`cjGmegjb9l1Yi~k{_NP\@woqm{KEHNb`cjGmegjb98l0^h}jt^MQ[Atn~lxJBIMcobiFjddkm8;9<6\jsdv\KWYCz`|n~L@KCmm`o@hfjeo:=Tb{l~TC_QKrhtfvDHCKeehgH`nbmg02>Tb{|f56\jstnw858f3[oxyaz311<`?Wct}e~7=<4?>`9Qavsk|5;:2l5]erwop9756h1Yi~{ct=30:d=Umzgx1?;>b9Qavsk|5;>6=0n;Sgpqir;9<4j7_k|umv?538f3[oxyaz316<`?Wct}e~7=54?>`9Qavsk|5;32l5]erwop97>601Yi~{ct=3=e>Tb{|f0?>1a:Pfwpjs4;;5m6\jstnw8749k2Xnxb{<3194;g12;g1>58>3[oxyaz32?c8V`urd}68<3m4Rdqvhq:493:5m6\jstnw8679j2Xnxb{<283:<=Umzgx1=19:Pfwpjs4=427_k|umv?1;?c9Qavsk|5=1<374Rdqvhq:06k1Yi~{ct=:94;?89Qavsk|535=6]<;RAH<>UDCVlj`a64SBI\vlub?2YHG2?>99PGNt;87;27^MDr=2=[5?<[JAy0<4?>69PGNt;9720_NE}<0<2=>UDC{6:2R>9;RAHvZ613ZI@~R?<;RAOg>UDDVMEHR?7_n78WFJhm:1XHLm4SEC\CKBX91Udh6]KAh]JJSY6>Ven7^JNi^KMRZ71Wf;>7^JNod;8W@JX_[hj~o5\IF@VWZOINFk0_DIPBTQJ@]d<[@MTNX]AALG7?VO]Mo1XCX\LE^DJH@HFELn0_B[]CD]OMKCIJ01X\DQ\L@TF2>UU\PZNo6][ASVVTZQFA]30_YO[UR>3:<=T\H^^_1?19:QWEQST4;427^ZNTTQ?7;?<[]K_Y^2;>89PPDRR[5?556][AUWP838e3Z^JXX]37;2==>USI]_X0:0;;R[MG44<[PY_I@Q\YOAKVJHH]Z20_n}{_GQN7>Ubd?1Xia2?>09W0>RU]L>0XT^J9:TBHPCXK@D27[OCUD]LTG5<^JI27[GJW^VZT@3<_@N_D<<4W^P\VDKXKFD_^BBCES3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH48\VRKAK=0T^ZPGOF2a>^T\VCF\AKBEOVBPLIIWJEE_Y\NIOVP55=_[]UYXAQ_RNRFVQ_03QY_S[ML6:ZgfZOc991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv:?]usODeoia84_o2367g?2;>0c8[k67::6:<3o4_o2366:697k0Sc>?22>26;g>2>3?c8[k67::6:83o4_o2366:6=7k0Sc>?22>22;g>2>7?c8[k67::6:43o4_o2366:617i0Sc>?22>2>64912Ue<=<<<0=0n;^l3475;:84j7R`?031?678f3Vd;90n;^l3475;:<4j7R`?031?638f3Vd;50n;^l3475;:0427R`?031?6;g>2<0?c8[k67::68=3o4_o2366:4:730Sc>?22>0:<=Xf9:9?1:19:]m45444<427R`?031?2;?>28>89\j565;52556Qa01008<813Vd;;<7;j7R`?03;?558f3Vd;4j7R`?03;?5=8f3Vd;89\j56515;5m6Qa010:8769i2Ue<=<6<33=e>Yi89820?<1a:]m454>4;95m6Qa010:8729i2Ue<=<6<37=e>Yi89820?81a:]m454>4;=5m6Qa010:87>9i2Ue<=<6<3;==>Yi89820?0n;^l347?;;94j7R`?03;?748f3Vd;=9=7==>Yi89820;06;^l347?;?730Sc>?28>;:<=Xf9:951716:]m4553i2Ue<==;<5<2e>Yi899>0<>1a:]m455248;5m6Qa01168449i2Ue<==:<01=e>Yi899>0<:1a:]m455248?5m6Qa01168409i2Ue<==:<05=e>Yi899>0<61a:]m45524835o6Qa011684<4:730Sc>?34>2:d=Xf9:891`9\j564=58:2l5Pn12019456h1Tb=><5=00:d=Xf9:891<;>`9\j564=58>2l5Pn12019416h1Tb=><5=04:d=Xf9:891<7>`9\j564=582245Pn1201949i2Ue<==:<22=e>Yi899>0>?1a:]m45524:8556Qa0116868>3Vd;<>;34?;8[k67;<6>245Pn120190912Ue<==:<6<:?Zh78:?74374_o2370:>6?1Tb=><969\j56418<0Sc>?41c8[k67<96?2?40>25;g2?c8[k67<86:?3o4_o2304:6<7k0Sc>?40>21;g6?c8[k67<86:;3o4_o2304:607k0Sc>?40>2=;e:20==>Yi89>:0<0n;^l3417;:94j7R`?053?648f3Vd;<9?323>0n;^l3417;:=4j7R`?053?608f3Vd;<9?327:0n;^l3417;:14j7R`?053?6<8>3Vd;<9?32?c8[k67<868<3o4_o2304:497k0Sc>?40>06;?89\j56395>556Qa0162808>3Vd;<9?36?;8[k67<86<245Pn12759>912Ue<=:><8<5?Zh78=?<7R`?05722>Yi89>=;6Qa01655d=Xf9:?:1:1179\j563?>1Tb=>;7048[k67<1=0Sc>?493b?Zh78=2Tecxm;^l341>Xag|::6Qa01:13>Yi8929=l5Pn12;6Zoi~k1Tb=>72^kmr406:]m45?7?2Ue<=7?179\j56>9h1Tb=>61=3=5d=Xf9:2=Rgavc9\j56>9Vcez<84_o23=1g048[k671?94>1:40?9858[k6710;=7R`?110b?Zh79987>3?l;^l3553;8388245Pn1331969i2Ue<<>:<02=e>Yi88:>0:<06=e>Yi88:>0<;1a:]m446248<5m6Qa00268419i2Ue<<>:<0:=e>Yi88:>0<719:]m4462484j7R`?117?658f3Vd;==;320?0n;^l3553;::427R`?117?6;?89\j577=5>556Qa0026808>3Vd;==;36?;8[k668<6<245Pn13319>912Ue<<>:<8<`?Zh799<7<7<<>89\j577>5:5m6Qa00258469i2Ue<<>9<03=e>Yi88:=0<<1a:]m44614895m6Qa00258429i2Ue<<>9<07=e>Yi88:=0<81a:]m446148=5m6Qa002584>9i2Ue<<>9<0;==>Yi88:=0<0n;^l3550;:94j7R`?114?648f3Vd;==8323>06;^l3550;:730Sc>>07>0:<=Xf9;;:1:19:]m44614<427R`?114?2;?89\j577>52556Qa00258<8d3Vd;==930;00:<=Xf9;;;1>1a:]m446048:5m6Qa00248479i2Ue<<>8<00=e>Yi88:<0<=1a:]m446048>5m6Qa00248439i2Ue<<>8<04=e>Yi88:<0<91a:]m44604825m6Qa002484?912Ue<<>8<0=0n;^l3551;:84j7R`?115?678f3Vd;==9322<:?Zh799=7>374_o2242:4601Tb=??7=6==>Yi88:<0806;^l3551;>730Sc>>06>4:<=Xf9;;;1619:]m4460404h7R`?11:?4?44601Tb=??8=2=e>Yi88:30<>1a:]m446?48;5m6Qa002;8449i2Ue<<>7<01=e>Yi88:30<:1a:]m446?48?5m6Qa002;8409i2Ue<<>7<05=e>Yi88:30<61a:]m446?483556Qa002;848f3Vd;==6321<0n;^l355>;:;4j7R`?11:?668>3Vd;==632?;8[k668168245Pn133<92912Ue<<>7<4<:?Zh79927:374_o224=:0601Tb=??8=:==>Yi88:30409;^l354?03Vd;=<7>6:]m4447?2Ue<<1Tb=?=2048[k66::=0Sc>>223b?Zh79;9Tecxm;^l3575Xag|::6Qa00053>Yi888==;5Pn13132=Xf9;9;>26]jjs713Vd;=>>8;^l35666>2Ue<<=>7:]m44569h1Tb=?<1^kmrg=Xf9;8=Rgav048[k66;>34>2:4?89\j57395;5:6Qa0064e>Yi88><090>6:]m4435i2Ue<<;=<5<22>Yi88?=m6Qa00758186>2Ue<<8?a:]m44074=4::6Qa0047e>Yi886:]m4400i2Ue<<88<5<22>Yi88<3m6Qa004;8186j2Ue<<86<08;:<=Xf9;=51?19:]m440>4;427R`?17;?7;?89\j57115?556Qa004:838>3Vd;=;737?;8[k66>0632;5Pn1347d=Xf9;h1Tb=?86=6=53=Xf9;3=l5Pn13;59299?1Tb=?75`9\j57?=5>5=;5Pn13;9h1Tb=?61=6=53=Xf9;2>l5Pn13:6929901Tb=?65=2=e>Yi883>0=0>a:]m44?248:5n6Qa00;684699j1Tb=?65=32>58f3Vd;=4;3109:]m44?2484j7R`?187?5;7>3Vd;=4;32?c8[k661<692<74_o22=0:46h1Tb=?65=1=5<=Xf9;291:1a:]m44?24=4:56Qa00;6808f3Vd;=4;35?3:?Zh790?7:3o4_o22=0:16830Sc>>94>4:d=Xf9;29191189\j57>=525m6Qa00;68=8612Ue<<7:<89:]m44??494j7R`?18:?4;7f3Vd;=46311c:]m44??48;1<3o4_o22==:697h0Sc>>99>25;7>3Vd;=4631?c8[k66116:2<74_o22==:56h1Tb=?68=0=5<=Xf9;241=1a:]m44??4:4:56Qa00;;818f3Vd;=4634?3:?Zh7902793o4_o22==:26830Sc>>99>5:d=Xf9;24181189\j57>05=5m6Qa00;;828612Ue<<77<9;17k0Sc>>99>::4g:2>0?c8[k64:>6:=3o4_o2062:6:7i0Sc><26>2>44912Ue<><8<0<:?Zh7;;=7>374_o2062:4601Tb===7=6==>Yi8:8<0806;^l3771;>730Sc><26>4:<=Xf999;1619:]m4640404=7R`?33:b?Zh7;;2793?9;^l376203Vd;?>:>6:]m4652?2Ue<>=:179\j554>>1Tb==<60c8[k64;?Ubb{l4_o2073Ynf;=7R`?356b?Zh7;=>7=3?9;^l3713f3Vd;?9;31?35?Zh7;=;=7;=7R`?35;b?Zh7;=3793?n;^l371?Xag|i7R`?35;\mkp6>2Ue<>;:7:]m46329?1Tb==:669\j552>8<0Sc><5658[k64=>;j7R`?345\mkpe3Vd;?89Piot22>Yi8:<8;6Qa024053=Xf99=8:5Pn1150406:]m4616?2Ue<>9>179\j550:>1Tb==82048[k64?:=0Sc><723b?Zh7;>9Tecxm;^l3725Xag|::6Qa025:3>Yi8:=2=;5Pn11;42=Xf993<<84_o20<412Ue<>687:]m46>09?1Tb==7869\j55?08<0Sc><8858[k6400;j7R`?39;\mkpe3Vd;?57Piot2=>Yi8:390=0n;^l37<4;994j7R`?380?548f3Vd;?4<3130n;^l37<4;9=4j7R`?380?508f3Vd;?4<317Yi8:390?06;^l37<4;;730Sc><93>7:<=Xf992>1;19:]m46?54?427R`?380?3;?89\j55>:53556Qa02;0858f3Vd;?4=31189\j55>;5;556Qa02;0878>3Vd;?4=33?;8[k641:6?245Pn11:793912Ue<>7<<7<:?Zh7;097;374_o20=6:?601Tb==63=;==>Yi8=<<0=0n;^l3031;994j7R`?475?548f3Vd;8;931306;^l3031;9730Sc>;66>1:<=Xf9>=;1=19:]m41004=427R`?475?1;?89\j521?5=556Qa05448=8>3Vd;8;939?48[k63?:30Sc>;72>6:d=Xf9>l5Pn1647939;m1Tb=:83=7=[lkw>2Ue<9979:]m411?4<4j7R`?46:?1;7f3Vd;8:635?0b?Zh7<>2793=k;^l302>;=7Uba}84_o27<1?`9\j52?<5?5=l5Pn16;0939:h1Tb=:74=7=7a=Xf9>381;1_hos2>Yi8=2356Qa05:;808f3Vd;85635?3b?Zh7<12793;=79o7R`?49:?1;Yney<0Sc>;93;8[k631;6>2l5Pn16:69399h1Tb=:62=7=6d=Xf9>2>1;13e9\j52>:5?5Sdc6:]m41?012Ue<978<41;12`9\j537:5?5?i5Pn1736939W`g{:6Qa0426=>Yi8<:>080n;^l3153;=7;j7R`?517?1;4f3Vd;9=;35?1g?Zh7=9?793Qfmq48[k628030Sc>:08>6:d=Xf9?;51;11`9\j53715?5>l5Pn173=939;m1Tb=;?9=7=[lkw>2Ue<8?=9:]m40754<4j7R`?500?1;7f3Vd;9<<35?0b?Zh7=88793=k;^l3144;=7Uba}84_o265=?=52:>`9\j53605?5=l5Pn172<939:h1Tb=;>8=7=7a=Xf9?:41;1_hos2>Yi8<;256Qa043:808f3Vd;9<735?3b?Zh7=83793:21>2>18>3Vd;9?>31?;8[k62:969245Pn171495912Ue<8>;2:>0c8[k62:?6>2?o4_o2663:26:n0Sc>:27>6:Zojx?1Tb=;<189\j53495?5m6Qa04128086i2Ue<8=><4<1e>Yi8<9:0803Vd;9>835?c8[k62;?6>2:37>6:6b?;2:>^knt3=Xf9??=45Pn1775939i2Ue<8:><4<2e>Yi8<>:080=a:]m40264<48h6Qa0462808Xadz=7R`?556:?Zh7==>793o4_o2601:268k0Sc>:45>6:7g892:>2f8[k62<=6>2Rgbp79\j533101Tb=;;9=7=e>Yi8<>2080>a:]m402>4<49m6Qa046:8084l2Ue<8:6<4<\mhv13Vd;98=6;^l3105;=7k0Sc>:52>6:4g9>2:>3c8[k62=:6>2>j4_o2616:26Vcf|;5Pn176<<=Xf9?>41;1a:]m403?4<4:m6Qa047;8085i2Ue<8;7<4<0`>Yi8:<2:>0c8[k62>86>2?o4_o2624:26:n0Sc>:60>6:Zojx?1Tb=;9489\j531<5?5m6Qa04478086i2Ue<88;<4<1e>Yi8<3Vd;9;735?c8[k62>06>2:68>6:6b:42:>^knt3=Xf9?<>45Pn1746939i2Ue<89=<4<2e>Yi8<=9080=a:]m40154<48h6Qa0451808Xadz=7R`?561b?Zh7=>9793?9;^l31=5f3Vd;95=35?35?Zh7=1327R`?59;?1;g442:>0c8[k62006>2?o4_o26<<:26:n0Sc>:88>6:Zojx?1Tb=;60`9\j53>85?5=45Pn17:5969i2Ue<87><02=e>Yi8<3:0<06=e>Yi8<3:0<;1a:]m40?648<5m6Qa04;28419k2Ue<87><0:94;g5<2>8?;8[k62186:245Pn17:594912Ue<87><2<:?Zh7=0;78374_o26=4:2601Tb=;61=4==>Yi8<3:0:06;^l31<7;0730Sc>:90>::3=Xf9<;445Pn143<939i2Ue<;>7<4<2e>Yi8?:3080=a:]m436?4<48h6Qa072;808Xadz=7R`?61;b?Zh7>93793?6;^l3246;87k0Sc>911>24;g1?c8[k61996:>3o4_o2555:6;7k0Sc>911>20;g5?c8[k61996::3o4_o2555:6?7i0Sc>911>2Yi8?;;0<06;^l3246;:730Sc>911>0:<=Xf9<:<1:19:]m43774<427R`?602?2;?89\j506852556Qa07338<813Vd;:<:6;^l3242;=7k0Sc>915>6:4g3c8[k619=6>2>j4_o2551:26Vcf|;5Pn142<<=Xf9<:41;1a:]m437?4<4:m6Qa073;8085i2Ue<;?7<4<0`>Yi8?;3080Pilr5?Zh7>;<27R`?634?1;g;2:>0c8[k61:?6>2?o4_o2563:26:n0Sc>927>6:Zojx?1Tb=8<089\j50485?5m6Qa07138086i2Ue<;=?<4<1e>Yi8?9;0803Vd;:>:35?c8[k61;=6>2935>6:6b^knt3=Xf9<8;45Pn1403939i2Ue<;=8<4<2e>Yi8?9<080=a:]m43504<48h6Qa0714808Xadz=7R`?653:?Zh7>=;793o4_o2504:268k0Sc>940>6:7g2f8[k61<86>2Rgbp79\j503<01Tb=8;4=7=e>Yi8?>?080>a:]m43234<49m6Qa07678084l2Ue<;:;<4<\mhv13Vd;:996;^l3211;=7k0Sc>946>6:4g3c8[k61<>6>2>j4_o2502:26Vcf|;5Pn147=<=Xf94<4:m6Qa076:8085i2Ue<;:6<4<0`>Yi8?>2080Pilr5?Zh7><827R`?640?1;g0c8[k61=;6>2?o4_o2517:26:n0Sc>953>6:Zojx?1Tb=8:589\j502=5?5m6Qa07768086i2Ue<;;:<4<1e>Yi8??>0803Vd;:8935?c8[k61=>6>2956>6:6b^knt3=Xf9<==45Pn1455939i2Ue<;8><4<2e>Yi8?<:080=a:]m43064<48h6Qa0742808Xadz=7R`?676:?Zh7>?>793o4_o2521:268k0Sc>965>6:7g2f8[k61>=6>2Rgbp79\j501?01Tb=897=7=e>Yi8?<<080>a:]m43004<49m6Qa07448084l2Ue<;88<4<\mhv13Vd;:;76;^l323?;=7k0Sc>968>6:4g3c8[k61>06>2>j4_o252<:26Vcf|;5Pn1446<=Xf9<<>1;1a:]m43154<4:m6Qa07518085i2Ue<;9=<4<0`>Yi8?=9080Pilr5?Zh7>>>27R`?666?1;g0c8[k61?=6>2?o4_o2531:26:n0Sc>975>6:Zojx?1Tb=88689\j500>5?5m6Qa07558086i2Ue<;99<4<1e>Yi8?==080>227R`?66:?1;g0c8[k61?16>2?o4_o253=:26:n0Sc>979>6:Zojx8:0mnePci]uafrhz5:5==5ncj]`lZpbk}ey0<0>0:c`oZeoWohxb|32?33?delWjbTzhm{os>0:44gcl{6;255ndep?558?3hno~1?>>99b`at;9;437ljkr=30:==flmx7=907;`fgv972611jhi|317<;?dbcz5;<2l5ndep?5=<7611jhi|319<4?dbcz5;5;6okds>1:2=flmx7?394aefq81803hno~1;17:cg`w:16>1jhi|37?58eabu414<7ljkr=;=1>gcl{K37ljkr@>3:==flmxJ0<0n;`fgvD:529437ljkr@>1:4324;7?3hno~Rk}arg\ep~Xag~7=<4?>048eabuWlxjhQnuy]jjq:697;>7ljkr^gqevcXi|rTecz31?36?dbczVoym~kPatz\mkr;:7;>7ljkr^gqevcXi|rTecz33?36?dbczVoym~kPatz\mkr;<7;>7ljkr^gqevcXi|rTecz35?36?dbczVoym~kPatz\mkr;>7;>7ljkr^gqevcXi|rTecz37?36?dbczVoym~kPatz\mkr;07;>7ljkr^gqevcXi|rTecz39?0g?dbczVoym~kPatz\mkr\98WTKCJP22]l[dbcW:2TCXZ30?0f?dbczVoym~kPatz\mkr\98WTKCJP22]l[dbcW:2TCXZ311<04>gcl{Un~l}j_`w{[lhsS8;VSJ@K_31\kZgclV93SB[[<0394;4b3hno~Rk}arg\ep~Xag~P=6POTV?5485l2kohQjr`qf[dsW`dW]^EM@Z44WfUfyuQ<9^MVP9762949i6okds]fvdubWhsSd`{[03^[BHCW;9TcRczx^1:[JSS48;5>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\5;5>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\585>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\595>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\5>5>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\5?5>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\5<5>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\5=5>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\525>i5ndep\awgtmVk~tRgatZ32YZAILV88SbQbuy]0=ZIR\535=95ndep\awgtmVk~tRgat^220>gcl{Un~l}j_`w{[lhsW8;>7ljkr^gqevcXi|rTeczP1136?dbczVoym~kPatz\mkrX98;?7ljkr^gqevcXi|rTeczP2068eabuWlxjhQnuy]jjqY49=1jhi|PescpaZgrpVcexR:>4:cg`wYbzhynSl{w_hlw[0733hno~Rk}arg\ep~Xag~T:<:4aefq[`tf{lUjyuQfnu]451=flmxTio|e^cv|Zoi|V2:86okds]fvdubWhsSd`{_831?dbczVxoSl{w_hlw8586;2kohQ}d^cv|Zoi|5;;2<;4aefq[wbXi|rTecz31083:456okds]q`ZgrpVcex1?1139b`atXzmUjyuQfnu>1:442:cg`wYulVk~tRgat=7=57=flmxT~iQnuy]jjq:16880mij}_sf\ep~Xag~7;3?=;`fgvZtcWhsSd`{<9<26>gcl{UyhRozx^kmp9?9:h1jhi|Pre]bq}Ynf}Q:=PQHNE]17ZiXimnT>5Q@UU>3:7dgcl{UyhRozx^kmp^76UVMEHR<<_n]b`aY50VE^X1?>:1<1f>gcl{UyhRozx^kmp^76UVMEHR<<_n]b`aY50VE^X1?>>3c8eabuW{nTmxvPiovX54[XOGNT>>Q`_`fg[7>XG\^7=3]^EM@Z44WfUjhiQ=8^MVP959:h1jhi|Pre]bq}Ynf}Q:=PQHNE]17ZiXimnT>5Q@UU>7:7gl5ndep\vaYf}qUbbyU>1\]DJAY5;VeTmijP29]LQQ:?6;k0mij}_sf\ep~Xag~P=>POTV?558402kohQ}d^cv|Zoi|R;:QRokds]q`ZgrpVcexV?>]^ov|Z57WF__0]^cg`wYulVk~tRgatZ32YZkrpV9;SB[[<0<01>gcl{UyhRozx^kmp^76UVkohQ}d^cv|Zoi|R;:QRczx^13[JSS4;4896okds]q`ZgrpVcexV?>]^cg`wYulVk~tRgatZ32YZkrpV9;SB[[<2<01>gcl{UyhRozx^kmp^76UVkohQ}d^cv|Zoi|R;:QRczx^13[JSS4=4896okds]q`ZgrpVcexV?>]^cg`wYulVk~tRgatZ32YZkrpV9;SB[[<4<01>gcl{UyhRozx^kmp^76UVkohQ}d^cv|Zoi|R;:QRczx^13[JSS4?4896okds]q`ZgrpVcexV?>]^cg`wYulVk~tRgatZ32YZkrpV9;SB[[<6<01>gcl{UyhRozx^kmp^76UVkohQ}d^cv|Zoi|R;:QRczx^13[JSS414896okds]q`ZgrpVcexV?>]^cg`wYulVk~tRgatZ32YZkrpV9;SB[[<8<25>gcl{UyhRozx^kmpZ6692kohQ}d^cv|Zoi|V;:>6okds]q`ZgrpVcexR??139b`atXzmUjyuQfnu]25478;0mij}_sf\ep~Xag~T;3:c=flmxT{Qnuy>24;`g9b`atX{Ujyu2>8?g8eabuW~xTmxv31?g8eabuW~xTmxv32?g8eabuW~xTmxv33?g8eabuW~xTmxv34?g8eabuW~xTmxv35?g8eabuW~xTmxv36?g8eabuW~xTmxv37?g8eabuW~xTmxv38?g8eabuW~xTmxv39?68eprhm2hbxRm`uovfv969m2hbxRm`uovfv979991ieyQlotlwaw:5294n7og{_bmvjqcu4;4986lft^alqkrbzR8VSJ@K_0:\kZgclV;TCXZ30?07?gosWje~byk}[3_\CKBX91UdSljk_0]LQQ:66;<0ndzPcnwmp`t\:TULBIQ>8^m\eabX9VE^X1<50?07?gosWje~byk}[3_\CKBX91UdSljk_0]LQQ:56;?0ndzPcnwmp`t\:TULBIQ>8^m\eabX9VE^X1<11368flrXkfexh|T2\]DJAY3;VeTmijP8^MVP969:=1ieyQlotlwaw]5UVMEHR:<_n]b`aY?WF__0<0=6:`jpZeh}g~n~V378flrXkfexh|T2\]DJAY3;VeTaxvP13]LQQ:76;?0ndzPcnwmp`t\:TULBIQ;3^m\ip~X9;UDYY2>>358flrXkfexh|T2\]DJAY3;VeTaxvP13]LQQ:5294996lft^alqkrbzR8VSJ@K_51\kZkrpV;9SB[[<3drnooySd`36?g8fp`am{Ubb1950?a8fp`am{Ubb191b:`vbccuW`dTdrnooySd`P4c9aqc`bzVceS8l4btdeawYnfV1:a=e}oln~Razt=1=`>drnooySb{{<5g9aqc`bzVe~x1950?f8fp`am{Udyy28>348fp`am{UdyyU8]^fbpdYnfR=VS`{w_30\KPR;878=7o{ifdp\kpr\?TUomyoPioY4YZkrpV89SB[[<0<12>drnooySb{{[6_\`drfW`dP;PQbuy]16ZIR\585>;5mugdfvZir|R=VSio{a^km_2[Xe|rT>?Q@UU>0:702h~jkk}_nww_2[Xlh~jSd`T7\]nq}Y5:VE^X181299aqc`bzVe~xV9R_ecweZoiS>WTaxvP23]LQQ:02949:6lzfggq[jssS>WThlzn_hlX3XYj}qU9>RAZT=5=g>drnooySb{{_1a8fp`am{UdyyQ>c:`vbccuWfS?m4btdeawYh}}U8o6lzfggq[jssW=i0nxhies]lqqY2k2h~jkk}_nww[3eeX9?GCvo5l_04NLYnfi0oR?9MIx\mkp6i2iT=;CGz^klf>eX:9:FDwQfn028gddbklxjS`kboskb57=d`VidbyQlus]ppdrb98>0oeQloov\gptX{}ki1>1159`lZehf}UhyQ|t`vf8486<2icSnaat^avvZusi}o7>3?9;bj\gjhsWjyS~zntd>0>586<2icSnaat^avvZusi}o7?3?=;bjm1ZkbeVy{eRd`iove?fniWkcRolq=2=b>eofVhbx~Qncp>2:c=d`gUiey}Pabs?6;763jbeSog{s^c`u95=87l0oe`Pbhvp[dev4:4:86mgn^`jpvYfkxUiey>30?35?fniWkcRolq^`jp5:6294:86mgn^`jpvYfkxUiey>31?30?fniWkcRolq^`jp5Y79:1hdcQmiuq\efwXj`~;S3:402:45068gmhXj`~xSlm~_ckw69799:1hdcQmiuq\efwXj`~9S=?<;bjm[gos{Vkh}Rlft3]251=d`gUiey}Pabs\flr4494::6mgn^`jpvYfkxUiey=31;2=51=d`gUiey}Pabs\flr4484:?6mgn^`jpvYfkxUiey=P0018gmhXj`~xSlm~_ckw7Z76;2icbRlftr]bgtYd`86;2<=4cil\flrtWhizSnf><0<27>eofVhbx~Qncp]`l4:568?0oe`Pbhvp[devWjb:0>4?>018gmhXj`~xSlm~_bj28686;2icbRlftr]bgtYd`;6;2<=4cil\flrtWhizSnf=<0<27>eofVhbx~Qncp]`l7:568?0oe`Pbhvp[devWjb90>4?>018gmhXj`~xSlm~_bj18686;2icbRlftr]bgtYd`:6;2<=4cil\flrtWhizSnf<<0<27>eofVhbx~Qncp]`l6:568?0oe`Pbhvp[devWjb80>4?>018gmhXj`~xSlm~_bj08686;2icbRlftr]bgtYd`=6;2<=4cil\flrtWhizSnf;<0<27>eofVhbx~Qncp]`l1:568?0oe`Pbhvp[devWjb?0>4?>018gmhXj`~xSlm~_bj78686;2icbRlftr]bgtYd`<6;2<=4cil\flrtWhizSnf:<0<27>eofVhbx~Qncp]`l0:568?0oe`Pbhvp[devWjb>0>4?>018gmhXj`~xSlm~_bj686803jf`Slm~b:aooZgdyVic=o5llj]bgtYd`;h0oaePabs\gm5e3jf`Slm~_bj7f>ekcVkh}Rmg5b9`hnYfkxUmmab>d:aooZgdyVlj`aQHNE]16ZiXIGNT5Ra>e:aooZgdyVlj`aQHNE]16ZiXIGNT5Ra>c:aooZgdyVx7<3m4cmi\efwXz5;5h6mck^c`uZt;97;n7nbd_`ar[w:66V:n7nbd_`ar[w:5294h7nbd_`ar[w:56m1h`fQncp]q8786m2iggRolq^p?6;Y7j2iggRolq^p\4g=ddbUjo|Q}_0`8gimXij{T~RekcVgjbjo4cmi\pjYfkxk0oaePu^rqkfb9:aljqthdhnd~R}i^rqmkenxhfh7naznu]sef:76m1hcx`{_qc`8469n2idyczPp`a?54<76m1hcx`{_qc`8479k2idyczPp`a?5;eeh}g~T|lm33?a8gjsi|Vzjo1:1c:alqkrXxhi793m4cnwmpZvfk5<5o6m`uov\tde;?7i0ob{at^rbg9>9k2idyczPp`a?=;433je~byQabY25XY@FMU?8RaPaef\57YH]]6;2?;4cnwmpZvfkR;:QRIAD^67[jYflmU:>RAZT=33:71?SbQnde]26ZIR\5;:6=0=5:alqkrXxhiP=1:72?SbQnde]26ZIR\595>95lotlw[ugdS8;VSJ@K_56\kZgclV;9SB[[<5<10>eh}g~T|lmT10_\CKBX<=UdSljk_00\KPR;=78?7naznu]sef]69TULBIQ;4^m\eabX9;UDYY29>368gjsi|VzjoV?>]^EM@Z23WfUjhiQ>2^MVP919:=1hcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__050=4:alqkrXxhiP=c:alqkrXxhiT==m4cnwmpZvfkV;:n6m`uov\tdeX:k1hcx`{_qc`[6dh0ob{at^rbgZ>e3je~byQab]:g>eh}g~Tay30?a8gjsi|Vyg{1?1c:alqkrX{e}7>3k4cnwmpZuk591<3m4cnwmpZuk595>95lotlw[vjpS:WTKCJP22]l[dbcW8=8SB[[<1<10>eh}g~TayT3\]DJAY5;VeTmijP161\KPR;978?7naznu]phr]4UVMEHR<<_n]b`aY6?:UDYY2=>348gjsi|Vyg{V=R_FLG[75XgVkohR?83^MVP95=878?7naznu]phr]4UVMEHR<<_n]b`aY6?:UDYY2<>368gjsi|Vyg{V=R_FLG[75XgVg~tR?80^MVP969:=1hcx`{_rnt_6[XOGNT>>Q`_lw{[417WF__0<0=4:alqkrX{e}P?PQHNE]17ZiXe|rT=:>POTV?6;413je~byQ|lvY0YZAILV88SbQbuy]235YH]]686=0=4:alqkrX{e}P?PQHNE]17ZiXe|rT=:>POTV?7;433je~byQ|lvY0YZAILV88SbQbuy]231YH]]6;2?:4cnwmpZukR9VSJ@K_31\kZkrpV;<8RAZT=3=61=dg|dS~bx[2_\CKBX::UdS`{w_057[JSS4;49:6m`uov\wiq\;TULBIQ=3^m\ip~X9>>TCXZ33;2=61=dg|dS~bx[2_\CKBX::UdS`{w_057[JSS4:4i7naznu]phrY7j2idyczPsmu\5g=dg|dS~bx_3`8gjsi|Vyg{R=8;bq2[ggu;2ix>k5kauc\gptX{}ki;ecweZerzVymyk30?30?agsiVi~~R}{aug?5?69981omyoPctp\wqgsm5;5i6jnt`]kadbbz5:5j6jnt`]kadbbz5;;2k5kauc\l`gcm{6:=3h4d`vb[mcfllx7=?0i;ecweZnbimoy0<=1f:fbpdYomhnn~1?;>038`drfWaojhh|31483:c=ci}kTdhokes>21;c2:fbpdYomhnn~Rga<03=57=ci}kTdhokes]jj9756880hlzn_igb``tXag6:?3?=;ecweZnbimoySd`315<20>bf|hUciljjr^km843=87;97io{a^jfeacuW`d7=80>1:fbpdYomhnn~Rga<0<25>bf|hUciljjr^km878692njxlQge`ffvZoi4:4:=6jnt`]kadbbzVce090>1:fbpdYomhnn~Rga<4<25>bf|hUciljjr^km838692njxlQge`ffvZoi4>4:=6jnt`]kadbbzVce050>1:fbpdYomhnn~Rga<8bf|hUbb1>1a:fbpdYnf5;5m6jnt`]jj949i2njxlQfn=1=e>bf|hUbb1:1a:fbpdYnf5?5m6jnt`]jj909k2njxlQfn=594;gbf|hUfyu29>c9geqgXe|r7;3l4d`vb[hs414i7io{a^ov|9?99;1omyoPmtz\l`gcm{6;2<=4d`vb[hsWaojhh|311<27>bf|hUfyuQge`ffv9766890hlzn_lw{[mcfllx7=?0>3:fbpdYj}qUciljjr=30:45bf|hUfyuQge`ffv9726880hlzn_lw{[mcfllx7=3?=;ecweZkrpVbnmik}<3<26>bf|hUfyuQge`ffv9599;1omyoPmtz\l`gcm{6?2<<4d`vb[hsWaojhh|35?31?agsiVg~tRfjaegq8386:2njxlQbuy]kadbbz5=5=?5kauc\ip~X`lkoi27>008`drfWdsSeknddp?=;dbf|hUdyy29>e9geqgXg|~7;7>1b:fbpdYh}}6<2h5kauc\vdkXag6;2k5kauc\vdkXag6:<3h4d`vb[wgjW`d7=<0i;ecweZtfeVce0<<1f:fbpdYuidUbb1?<>g9geqgXzhgTec2>4?32?agsiVxjaRga<0794;`24;c25;c26;c27;c20;773mkmRz`sqk?50<76l1omyoPtnqsm9726m1omyoPtnqsm979l2njxlQ{orrj878c3mkmRz`sqk?7;b7:a=ci}kTxb}i=7=`>bf|hUc~~f<7e9geqgX|fy{e1713:fjE3=caH6;2:5ki@>24;169gmD:6<730hdO31483:2=caH6:9384dhC?5;0384dhC?7;0394dnC?56803meJ0<:19:flE972294<7iaN<07=2>bhI5;5:6j`A=0=2>bhI595:6j`A=6=2>bhI5?5:6j`A=4=2>bhI5=5:6j`A=:=2>bhI535h6hcaoal[VEK494m7kbnnbm\WFJ;93:5h6hcaoal[VEK484h7kbnnbm\WFJX8j1m`l`lo^Q@HZ7e3oxdaR}i=2=f>`ugdUx|d2>>c9evjkX{yc7>3l4fsmn[vvn4:4i7k|`m^qsm929j2lyc`Q|ph>6:g=azfgT}g36?f8bwijWzzb0:4?>c9evjkX{yc7;3j4fsmnwuoXag6;2i5irnoptlYnf5;5h6h}olqsmZoi4;4o7k|`mrrj[lh;;7n0jabsqk\mk:36m1m~bc|ph]jj939l2lyc`}i^km838a3oxda~~f_hl?3?69l2lyc`}i^km82843oyf46fm_m`aew763ahT`olnr^KMRZ11Wf;97elPlc`bvZOI^V==Sb?>1:jfeacuWjyS~zntd3g?mcfllxTahc`rx37?mcfllxT}gPiovfvcgdm11b>nQlolr;?l4dW`dxx45fnb]`kphsi2ceoRm`uov2f>oikVidycz>1b9jjqcunhpT}g9;hm\w`j13ehTnl|6;mf\l`gcm{<0`iQ}al:8hldumV8?86b`cj:8hjelW`d}56b`cj]jjs7d3eehgR||t^`bv6=k}};=7`{cthroa}iuW{kfSeknddp0?hs02g~tRjnt`48ip~Xfy?0b=>=149m452202d;<9;38?;8j563=525=45a01668=8512d;<9;38?1`?k67<<632Rgbp49m453002d;<8938?;8j562?525=45a01748=8512d;<8938?1`?k67=>632Rgbp49m450>02d;<;738?;8j5611525=45a014:8=8512d;<;738?1`?k67>0632Rgbp49m45>602d;<5?38?;8j56?9525=45a01:28=8512d;<5?38?1`?k6708632Rgbp49m45?402d;<4=38?;8j56>;525=45a01;08=8512d;<4=38?1`?k671:632Rgbpc9mfZkbeVidby?>;okaficXkfexh|30?32?koejeoTob{atdp?5;743gcinakPcnwmp`t;:3:5=<5aic`oaZeh}g~n~1<1299mmgdkmVidyczjrZ0^[BHCW;8TcRokd^37[JSS494946`fbcnf[firf}oyW?SPGOF\67YhWhnoS<:POTV?5;4f3gcinakPcnwmp`t\:TULBIQ=2^m\eabX9=UDYY2=:1<1<>hnjkfnSnaznugq_7[XOGNT>?Q`_`fg[42XG\^7>3=m;okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5:5?n5aic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9756:i0bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8459;j1eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?5184k2dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>21;5d3gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=35:6eSB[[<05=7f=iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ319<0g>hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X99?1a?koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?13b9mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7>=0k4nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4;91<3=l;okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5882>l4nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4;48n6`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:46:h0bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8184j2dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>6:6dSB[[<7<0f>hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X92`8jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__0504nh`ah`Ydg|diQ?119mmgdkmVidyczjr^324>hnjkfnSnaznugq[7dhnjkfnS}olk^ak6c=iakhgiR~ncj]`l6`1:a=hmVicS{klt=1=b>ibWjbTzhm{<583:a=hmVicS{klt=6=b>ibWjbTzhm{os>3:c=hmVicS{kltnp?5;`26;e<01=g>vfkbUhd<2>4?a8tdelWjb:0<;1c:rbgnYd`86::3m4p`ah[fn648=5o6~ncj]`l4:607i0|lmd_bj284?9j2zjofQlh0>2:f=wijaToe?321<`?ugdcVic=1<>>b9sefmXka;7>?0j;qc`oZeo95886=0l;qc`oZeo95882o5abi\gm7;:7h0|lmd_bj2868e3ykhgRmg1=6=f>vfkbUhd<2:>c9sefmXka;7:3l4p`ah[fn64>4i7}olk^ak59>9j2zjofQlh0>::g=wijaToe<30?a8tdelWjb90<>1c:rbgnYd`;6:=3m4p`ah[fn54885o6~ncj]`l7:6;7i0|lmd_bj18429k2zjofQlh3>21;evfkbUhd?2>7?a8tdelWjb90<61c:rbgnYd`;6:53l4p`ah[fn5484h7}olk^ak69476j1{mnePci0?648d3ykhgRmg2=01:`=wijaToe<32283:f=wijaToe<3221<1b:rbgnYd`;682o5abi\gm4;<7h0|lmd_bj1808e3ykhgRmg2=4=f>vfkbUhd?28>c9sefmXka8743l4p`ah[fn5404i7}olk^ak7969k2zjofQlh2>24;evfkbUhd>2>2?a8tdelWjb80<=1c:rbgnYd`:6:83m4p`ah[fn448?5o6~ncj]`l6:6>7i0|lmd_bj08419k2zjofQlh2>2<;evfkbUhd>2>>b9sefmXka97>=0l;qc`oZeo;58:2n5abi\gm5;:;4n7}olk^ak7944294h7}olk^ak79446k1{mnePci1?6;d2o5abi\gm5;>7h0|lmd_bj0828e3ykhgRmg3=:=f>vfkbUhd>26>c9sefmXka>7<3m4p`ah[fn348:5o6~ncj]`l1:697i0|lmd_bj78449k2zjofQlh5>27;evfkbUhd92>5?a8tdelWjb?0<81c:rbgnYd`=6:;3m4p`ah[fn34825o6~ncj]`l1:617h0|lmd_bj7848d3ykhgRmg4=03:f=wijaToe:320<`?ugdcVic81<=>d9sefmXka>7>>4?>b9sefmXka>7>>0m;qc`oZeo<585n6~ncj]`l1:46k1{mnePci6?0;dvfkbUhd82>0?a8tdelWjb>03m4p`ah[fn24895o6~ncj]`l0:6<7i0|lmd_bj68439k2zjofQlh4>22;evfkbUhd82>8?a8tdelWjb>0<71b:rbgnYd`<6:2n5abi\gm3;:94h7}olk^ak19466j1{mnePci7?678b3ykhgRmg5=00>58d3ykhgRmg5=00:g=wijaToe;32?`8tdelWjb>0>0m;qc`oZeo=5>5n6~ncj]`l0:26k1{mnePci7?2;d5pr:8tuuXz5:5m6~s^p?5?6902z{R|31?58tuuXzV:<7}~|_s]23>vw{Vxxxl5ts]nah:76h1{xQbel>2:f=w|{Ufi`2=:12:74tfeVidby|Pctp\wqgsm8;=7ob_bmmpwYd}{Uxxlzj<1<22>tfeVidby|Pctp\wqgsm5;5=;5}al]`kkruWjyS~zntd>1:40218vdkXkfd~Rmzr^qweqc\=TULBIQ=3^m\slbbWdsS<9:_NWW8484;2xjaRm`nup\gptX{}kiV;R_FLG[75XgV}bhhQbuy]230YH]]692>;4r`o\gjhszVi~~R}{augX1XY@FMU9?RaPwhff[hsW8=>SB[[<283:652xoSk~8:pg}Z>Xago0~iwPci]uafr;87o0~iwPci]uafr;97o0~iwPci]uafr;:7o0~iwPci]uafr;;7;;7jv_bj\r`es4=0;2h5}dx]`lZpbk}6?2:5}dx]nahdtbdVzdRmg1^kmp9699;1yiaQor]`l4Ynf}6:2<<4rdn\tjuXka;Tecz32?31?wckWyexSnf>_hlw8686:2xn`R~`s^ak5Zoi|5>5=?5}em]skvYd`8Ubby2:>008v`jXxfyToe?Piov?2;753{ogS}a|_bj2[lhs4>4:86|jl^rlwZeo9Vcex1650?31?wckWyexSnf>_hlw8=8512xn`R~`s^ak5Zoi|R2VSJ@K_31\kZgclV?;SB[[<1<1=>tbdVzdRmg1^kmp^>ZWNDOS?=Po^cg`Z37WF__0<0=9:pfhZvh{Vic=RgatZ:^[BHCW;9TcRokd^73[JSS4;4956|jl^rlwZeo9VcexV6R_FLG[75XgVkohR;?_NWW868512xn`R~`s^ak5Zoi|R2VSJ@K_31\kZgclV?;SB[[<5<1=>tbdVzdRmg1^kmp^>ZWNDOS?=Po^cg`Z37WF__080=9:pfhZvh{Vic=RgatZ:^[BHCW;9TcRokd^73[JSS4?4956|jl^rlwZeo9VcexV6R_FLG[75XgVkohR;?_NWW8285j2xn`R~`s^ak5Zoi|R2VSJ@K_31\kZgclV?;SB[[<983:7?218v`jXxfyToe?PiovX_NWW8584;2xn`R~`s^ak5Zoi|R2VSkc_qmp[fn6W`dW5SPmtz\14YH]]6:2>=4rdn\tjuXka;TeczT8\]qaiYwgzUhdtbdVzdRmg1^kmp^>ZW{ogS}a|_bj2[lhsS1WTaxvP50]LQQ:46:90~hbPpnq\gm7Xag~P4PQ}em]skvYd`8UbbyU7]^ov|Z36WF__090<3:pfhZvh{Vic=RgatZ:^[wckWyexSnf>_hlw_=[Xe|rT96:65278v`jXxfyToe?PiovX_NWW8=<76:90~hbPpnq\gm7Xag~P4PQ}em]skvYd`8UbbyU7]^ov|Z36WF__050<3:pfhZvh{Vic=RgatZ:^[wckWyexSnf>_hlw_=[Xe|rT9?Q@UU>3:65218v`jXxfyToe?PiovX=4rdn\tjuXka;TeczT8\]qaiYwgzUhdtbdVzdRmg1^kmp^>ZW{ogS}a|_bj2[lhsS1WTaxvP53]LQQ:16:90~hbPpnq\gm7Xag~P4PQ}em]skvYd`8UbbyU7]^ov|Z35WF__0:0<5:pfhZvh{Vic=RgatZ:^[wckWyexSnf>_hlw_=[Xe|rT9?Q@UU>;>584;2xn`R~`s^ak5Zoi|R2VSkc_qmp[fn6W`dW5SPmtz\17YH]]6328;0~hbPpnq\gm7Xag~T;6|jl^rlwZeo:Vcex1<1139qaiYwgzUhd?Qfnu>0:442:pfhZvh{Vic>Rgat=4=57=umeU{c~Qlh3]jjq:068>0~hbPpnq\gm4Xag~747>1139qaiYwgzUhd?Qfnu>;:7?8UDYY2?>3;8v`jXxfyToe0:7?8UDYY2;>3;8v`jXxfyToe2?74rdn\tjuXka8TeczT8\]DJAY5;VeTmijP60]LQQ:16;30~hbPpnq\gm4Xag~P4PQHNE]17ZiXimnT:4:7d8UDYY27:1<1=>tbdVzdRmg2^kmp^>ZWNDOS?=Po^cg`Z06WF__050<3:pfhZvh{Vic>RgatZ:^[wckWyexSnf=_hlw_=[Xe|rT:?Q@UU>3:65;UDYY2=>218v`jXxfyToe=4rdn\tjuXka8TeczT8\]qaiYwgzUhd?QfnuY;YZkrpV<9SB[[<4<07>tbdVzdRmg2^kmp^>ZW{ogS}a|_bj1[lhsS1WTaxvP63]LQQ:16:90~hbPpnq\gm4Xag~P4PQ}em]skvYd`;UbbyU7]^ov|Z05WF__0:0<5:pfhZvh{Vic>RgatZ:^[wckWyexSnf=_hlw_=[Xe|rT:?Q@UU>;>584;2xn`R~`s^ak6Zoi|R2VSkc_qmp[fn5W`dW5SPmtz\27YH]]632>=4rdn\tjuXka8TeczT8\]qaiYwgzUhd?QfnuY;YZkrpV<8SB[[<1<07>tbdVzdRmg2^kmp^>ZW{ogS}a|_bj1[lhsS1WTaxvP62]LQQ:66:90~hbPpnq\gm4Xag~P4PQ}em]skvYd`;UbbyU7]^ov|Z04WF__0?0<3:pfhZvh{Vic>RgatZ:^[wckWyexSnf=_hlw_=[Xe|rT:>Q@UU>0:65:UDYY2:>218v`jXxfyToe;4rdn\tjuXka8TeczT8\]qaiYwgzUhd?QfnuY;YZkrpV<8SB[[<983:65>1:pfhZvh{Vic>Rgat^325>tbdVzdRmg2^kmpZ4692xn`R~`s^ak6Zoi|V9:=6|jl^rlwZeo:VcexR:>1:pfhZvh{Vic>Rgat^725>tbdVzdRmg2^kmpZ0692xn`R~`s^ak6Zoi|V=:=6|jl^rlwZeo:VcexR6>2:pfhZvh{Vic?Rgat=2=57=umeU{c~Qlh2]jjq:66880~hbPpnq\gm5Xag~7>3?=;sgo[uitWjb8Sd`{<2<26>tbdVzdRmg3^kmp9299;1yiaQor]`l6Ynf}6>2<<4rdn\tjuXka9Tecz36?31?wckWyexSnf<_hlw8286<2xn`R~`s^ak7Zoi|521<3?=;sgo[uitWjb8Sd`{<9<1=>tbdVzdRmg3^kmp^>ZWNDOS?=Po^cg`Z15WF__0=0=9:pfhZvh{Vic?RgatZ:^[BHCW;9TcRokd^51[JSS484956|jl^rlwZeo;VcexV6R_FLG[75XgVkohR9=_NWW878512xn`R~`s^ak7Zoi|R2VSJ@K_31\kZgclV=9SB[[<2<1=>tbdVzdRmg3^kmp^>ZWNDOS?=Po^cg`Z15WF__090=9:pfhZvh{Vic?RgatZ:^[BHCW;9TcRokd^51[JSS4<4956|jl^rlwZeo;VcexV6R_FLG[75XgVkohR9=_NWW838512xn`R~`s^ak7Zoi|R2VSJ@K_31\kZgclV=9SB[[<6<1f>tbdVzdRmg3^kmp^>ZWNDOS?=Po^cg`Z15WF__054?>3;8v`jXxfyToe=PiovX=4rdn\tjuXka9TeczT8\]qaiYwgzUhd>QfnuY;YZkrpV=8SB[[<1<07>tbdVzdRmg3^kmp^>ZW{ogS}a|_bj0[lhsS1WTaxvP72]LQQ:66:90~hbPpnq\gm5Xag~P4PQ}em]skvYd`:UbbyU7]^ov|Z14WF__0?0<3:pfhZvh{Vic?RgatZ:^[wckWyexSnf<_hlw_=[Xe|rT;>Q@UU>0:65218v`jXxfyToe=PiovX;4rdn\tjuXka9TeczT8\]qaiYwgzUhd>QfnuY;YZkrpV=8SB[[<983:65218v`jXxfyToe=PiovX=4rdn\tjuXka9TeczT8\]qaiYwgzUhd>QfnuY;YZkrpV=?SB[[<2<07>tbdVzdRmg3^kmp^>ZW{ogS}a|_bj0[lhsS1WTaxvP75]LQQ:36:90~hbPpnq\gm5Xag~P4PQ}em]skvYd`:UbbyU7]^ov|Z13WF__080<3:pfhZvh{Vic?RgatZ:^[wckWyexSnf<_hlw_=[Xe|rT;9Q@UU>5:654896|jl^rlwZeo;VcexV6R_sgo[uitWjb8Sd`{[9_\ip~X?=UDYY27:1<07>tbdVzdRmg3^kmp^>ZW{ogS}a|_bj0[lhsS1WTaxvP75]LQQ:?68;0~hbPpnq\gm5Xag~T<Tecz30?31?wckWyexSnf;_hlw8486:2xn`R~`s^ak0Zoi|585=?5}em]skvYd`=Ubby2<>008v`jXxfyToe:Piov?0;753{ogS}a|_bj7[lhs4<4:>6|jl^rlwZeo4:423;8v`jXxfyToe:PiovXTeczT8\]DJAY5;VeTmijP82]LQQ:66;30~hbPpnq\gm2Xag~P4PQHNE]17ZiXimnT4>Q@UU>1:7?3;8v`jXxfyToe:PiovXTeczT8\]DJAY5;VeTmijP82]LQQ:26;30~hbPpnq\gm2Xag~P4PQHNE]17ZiXimnT4>Q@UU>5:7?3`8v`jXxfyToe:PiovX218v`jXxfyToe:PiovX=4rdn\tjuXka>TeczT8\]qaiYwgzUhd9QfnuY;YZkrpV2?SB[[<2<07>tbdVzdRmg4^kmp^>ZW{ogS}a|_bj7[lhsS1WTaxvP85]LQQ:36:90~hbPpnq\gm2Xag~P4PQ}em]skvYd`=UbbyU7]^ov|Z>3WF__080<3:pfhZvh{Vic8RgatZ:^[wckWyexSnf;_hlw_=[Xe|rT49Q@UU>5:654896|jl^rlwZeotbdVzdRmg4^kmp^>ZW{ogS}a|_bj7[lhsS1WTaxvP85]LQQ:?6:90~hbPpnq\gm2Xag~P4PQ}em]skvYd`=UbbyU7]^ov|Z>2WF__0=0<3:pfhZvh{Vic8RgatZ:^[wckWyexSnf;_hlw_=[Xe|rT48Q@UU>2:65218v`jXxfyToe:PiovX2>=4rdn\tjuXka>TeczT8\]qaiYwgzUhd9QfnuY;YZkrpV2>SB[[<7<07>tbdVzdRmg4^kmp^>ZW{ogS}a|_bj7[lhsS1WTaxvP84]LQQ:06:?0~hbPpnq\gm2Xag~P4PQ}em]skvYd`=UbbyU7]^ov|Z>2WF__054?>218v`jXxfyToe:PiovX1:pfhZvh{Vic8Rgat^025>tbdVzdRmg4^kmpZ5692xn`R~`s^ak0Zoi|V>:=6|jl^rlwZeo1:pfhZvh{Vic8Rgat^425>tbdVzdRmg4^kmpZ1692xn`R~`s^ak0Zoi|V2:>6|jl^rlwZeo=Vcex1>1139qaiYwgzUhd8Qfnu>2:440>2:pfhZvh{Vic9Rgat=6=57=umeU{c~Qlh4]jjq:26880~hbPpnq\gm3Xag~7:3?=;sgo[uitWjb>Sd`{<6<20>tbdVzdRmg5^kmp9>=87;97kc_qmp[fn2W`d050=9:pfhZvh{Vic9RgatZ:^[BHCW;9TcRokd^;7[JSS494956|jl^rlwZeo=VcexV6R_FLG[75XgVkohR7;_NWW848512xn`R~`s^ak1Zoi|R2VSJ@K_31\kZgclV3?SB[[<3<1=>tbdVzdRmg5^kmp^>ZWNDOS?=Po^cg`Z?3WF__0>0=9:pfhZvh{Vic9RgatZ:^[BHCW;9TcRokd^;7[JSS4=4956|jl^rlwZeo=VcexV6R_FLG[75XgVkohR7;_NWW808512xn`R~`s^ak1Zoi|R2VSJ@K_31\kZgclV3?SB[[<7<1=>tbdVzdRmg5^kmp^>ZWNDOS?=Po^cg`Z?3WF__0:0=b:pfhZvh{Vic9RgatZ:^[BHCW;9TcRokd^;7[JSS410;2?74rdn\tjuXka?TeczT8\]DJAY5;VeTmijP95]LQQ:?6:90~hbPpnq\gm3Xag~P4PQ}em]skvYd`2:65Sd`{[9_\ip~X1218v`jXxfyToe;PiovX2>=4rdn\tjuXka?TeczT8\]qaiYwgzUhd8QfnuY;YZkrpV3>SB[[<7<07>tbdVzdRmg5^kmp^>ZW{ogS}a|_bj6[lhsS1WTaxvP94]LQQ:06:?0~hbPpnq\gm3Xag~P4PQ}em]skvYd`218v`jXxfyToe;PiovX=4rdn\tjuXka?TeczT8\]qaiYwgzUhd8QfnuY;YZkrpV3=SB[[<0<07>tbdVzdRmg5^kmp^>ZW{ogS}a|_bj6[lhsS1WTaxvP97]LQQ:56:90~hbPpnq\gm3Xag~P4PQ}em]skvYd`0<3:pfhZvh{Vic9RgatZ:^[wckWyexSnf:_hlw_=[Xe|rT5;Q@UU>7:65Sd`{[9_\ip~X1?UDYY29>218v`jXxfyToe;PiovX;:47>0;8v`ub|Vmnb#m`nu]145KOr5;92<74rdqfpZabf'idbyQ=01OK~9746830~h}jt^efj+ehf}U9<=CGz=37:4dtb{l~Tkh`!cnlw[767EAp7=80>8:pfw`rXold%ob`{_323IM|;97;37k|eu]dak(dgg~T>=>BH{>1:4>?MIx?1;7?3{oxiyQheo,`kkrX:9:FDw29>0:8v`ub|Vmnb#m`nu]145KOr5=5=55}ergw[bci&jeexRtb{l~Tkh`!cnlw[767EApP=8SPGOF\1ZiXimnT=RAZT=33:7`?MIxX50[XOGNT9RaPaef\5ZIR\5;?2>?4rdqfpZabf'idbyQ=01OK~^72UVMEHR;Po^cg`Z7XG\^7=84?>3d8v`ub|Vmnb#m`nu]145KOrR;>QRIAD^7\kZgclV;TCXZ314<1a>tb{l~Tkh`!cnlw[767EApP=8SPGOF\1ZiXimnT=RAZT=3=6`=umzoSjka.bmmpZ478DBqW<;R_FLG[0YhWhnoS1:7c5\]DJAY2WfUjhiQ>_NWW8185m2xnhzPgdl-gjhsW;:;AEtT14_\CKBX=VeTmijP1^MVP939:l1yi~k{_fgm*fii|V8;<@Fu[07^[BHCW=>BH{Y21XY@FMU>SbQnde]2[JSS4149i6|jsdv\c`h)kfdS?>?MIxX50[XOGNT9RaPaef\5ZIR\535=:5}ergw[bci&jeexR199qavcsWnoe"naat^034HN}W8::46|jsdv\c`h)kfdS?>?MIx\547?3{oxiyQheo,`kkrX:9:FDwQ>20:8v`ub|Vmnb#m`nu]145KOrV;8=55}ergw[bci&jeexR=>BH{]552=umzoSjka.bmmpZ478DBqS:?8;sgpaqY`mg$hcczP212NLY?9>1yi~k{_fgm*fii|V8;<@Fu_8a8v`urdVgna1>1d:pfwpjXelg7==0k;sgpqiYjmd6:=3j4rdqvhZkbe5;92i5}erwo[hcj4895h6|jstn\i`k;9=4o7k|um]nah:6=7n0~h}zl^ofi9716m1yi~{c_lgn8419l2xnxbPmdo?5=8c3{oxyaQbel>2=;etb{|fTahc321g9qavskWdof0?=50?f8v`urdVgna1<<>b9qavskWdof0?0l;sgpqiYjmd682n5}erwo[hcj4=4h7k|um]nah:26j1yi~{c_lgn838d3{oxyaQbel>4:f=umzgS`kb<9<`?wct}eUfi`26>078v`urdVgnaRgatdpmei:768<0~h}zl^ofiZoi|lxema2>0?35?wct}eUfi`Qfnugqjdj;984::6|jstn\i`kXag~n~coc<00=53=umzgS`kb_hlwawhfd5;82<84rdqvhZkbeVcexh|aam>20;713{oxyaQbel]jjqcufhf7=80>6:pfwpjXelgTeczjroco84099?1yi~{c_lgn[lhsm{dj`1?8>048v`urdVgnaRgatdpmei:607;=7k|um]nahYnf}oyblb318<21>tb{|fTahcPiovfvkgk484::6|jstn\i`kXag~n~coc<32=53=umzgS`kb_hlwawhfd58:2<84rdqvhZkbeVcexh|aam>16;7?3{oxyaQbel]jjqcufhf7>>4?>048v`urdVgnaRgatdpmei:5;7;>7k|um]nahYnf}oyblb32?36?wct}eUfi`Qfnugqjdj;;7;>7k|um]nahYnf}oyblb34?36?wct}eUfi`Qfnugqjdj;=7;>7k|um]nahYnf}oyblb36?36?wct}eUfi`Qfnugqjdj;?7;>7k|um]nahYnf}oyblb38?36?wct}eUfi`Qfnugqjdj;17;?7k|um]nahYnf}oyblbP0068v`urdVgnaRgatdpmeiY69<1yi~{c_lgn[lhsm{dj`R??149qavskWdofSd`{eslbhZ769<1yi~{c_lgn[lhsm{dj`R?=149qavskWdofSd`{eslbhZ749<1yi~{c_lgn[lhsm{dj`R?;149qavskWdofSd`{eslbhZ729<1yi~{c_lgn[lhsm{dj`R?9149qavskWdofSd`{eslbhZ709<1yi~{c_lgn[lhsm{dj`R?7149qavskWdofSd`{eslbhZ7>9=1yi~{c_lgn[lhsm{dj`R<>5:pfwpjXelgTeczjroco[766=2xnxbPmdo\mkrbzgkgS??>5:pfwpjXelgTeczjroco[746=2xnxbPmdo\mkrbzgkgS?=>4:pfwpjXelgTeczjroco[6733{oxyaQbel]jjqcufhfT8<:4rdqvhZkbeVcexh|aam]651=umzgS`kb_hlwawhfdV<:86|jstn\i`kXag~n~coc_637?wct}eUfi`QfnugqjdjX08>0~h}zl^ofiZoi|lxemaQ6189qavskWdofSd`{eslbhZgrp5:5=l5}erwo[hcjW`di`nl^cv|97768k0~h}zl^ofiZoi|lxemaQnuy>25;7f3{oxyaQbel]jjqcufhfTmxv313<2e>tb{|fTahcPiovfvkgkWhs0<=11`9qavskWdofSd`{eslbhZgrp5;?20c8v`urdVgnaRgatdpmeiYf}q6:53?6;sgpqiYjmdUbbyk}n`n\ep~;97;j7k|um]nahYnf}oyblbPatz?6586i2xnxbPmdo\mkrbzgkgSl{w<33=5d=umzgS`kb_hlwawhfdVk~t1<=>0a8v`urdVgnaRgatdpmeiYf}q69?7>11`9qavskWdofSd`{eslbhZgrp5882<74rdqvhZkbeVcexh|aam]bq}:56830~h}zl^ofiZoi|lxemaQnuy>0:4?0;8v`urdVgnaRgatdpmeiYf}q6>2<74rdqvhZkbeVcexh|aam]bq}:16830~h}zl^ofiZoi|lxemaQnuy>4:4?0;8v`urdVgnaRgatdpmeiYf}q622<64rdqvhZkbeVcexh|aam]bq}Y7911yi~{c_lgn[lhsm{dj`Rozx^32=>tb{|fTahcPiovfvkgkWhsS<>>9:pfwpjXelgTeczjroco[dsW8;:56|jstn\i`kXag~n~coc_`w{[44612xnxbPmdo\mkrbzgkgSl{w_012=>tb{|fTahcPiovfvkgkWhsS<:>9:pfwpjXelgTeczjroco[dsW8?:56|jstn\i`kXag~n~coc_`w{[40612xnxbPmdo\mkrbzgkgSl{w_052=>tb{|fTahcPiovfvkgkWhsS<6>9:pfwpjXelgTeczjroco[dsW83:46|jstn\i`kXag~n~coc_`w{[77>3{oxyaQbel]jjqcufhfTmxvP213:?wct}eUfi`QfnugqjdjXi|rT>tt|Vhj~Rgavb9qwqYei{Ubb{?j;sqw[mcfllxomyk8;sqw[uru?2yh`R|i69p`dYux`o0hbPhdcgawYuid;97~kc_igb``tci}oT~lc>5:qsmZehf}xgSn{}_rvbp`76?2y{eRm`nupo[fsuWz~jxh2?>058wuoXkfd~aQlus]ppdrb484:;6}i^aljqtkWjyS~zntd>1:41<{ycTob`{rm]`qwYt|h~n0>0>9:qsmZehf}xgSn{}_rvbp`:3294:;6}i^aljqtkWjyS~zntd>7:`=tx`Uhccz}omnfv`=tx`UhyQ|t`vf5==tx`Um~hk6;rrj[wcflpn0}gPrdcg}Zgrpk1xxl|{_bmvjq?<|fUx|d2?>89wkZuwa5;556z`_rrj878>3}eT}g33?;8pjYtx`6?245{o^qsm93912~dS~~f<719:vl[vvn4>4:46{Pnq]nq}YjmdidbR}jlhmaew0<}kUim=4wdC5?rcXjhx:?6y`re]evjktx`Ubby2?>018sjtcWoxda~~f_hlw8486;2}d~iQirnoptlYnf}692<=4wnpg[cthezzbSd`{<2<27>qhzmUm~bc|ph]jjq:36890{b|k_gplivvnW`d080>3:ulvaYazfgx|dQfnu>5:43<fxoSk|`mrrj[lhs4>0;2<=4wnpg[cthezzbSd`{<6<26>qhzmUm~bc|ph]jjqY79;1|cjPfsmnwuoXag~T=<<4wnpg[cthezzbSd`{_331?riulVlyc`}i^kmpZ56:2}d~iQirnoptlYnf}U?=?5xosf\bwij{ycTeczP5008sjtcWoxda~~f_hlw[3753~eyhRh}olqsmZoi|V=j7za}d^km858e3~eyhRga<02=f>qhzmUbb1?>>c9tkwbXag6:>3l4wnpg[lh;9:4i7za}d^km8429l2}d~iQfn=36>58e3~eyhRga<07=e>qhzmUbb1?1a:ulvaYnf585m6y`re]jj959i2}d~iQfn=6=e>qhzmUbb1;1a:ulvaYnf5<5m6y`re]jj919i2}d~iQfn=:=e>qhzmUbb171b:ulvaYnf}6;2n5xosf\mkr;994h7za}d^kmp9766j1|cjPiov?578d3~eyhRgat=30:f=pg{nTecz315b9tkwbXag~7=;0l;vmq`Zoi|5;<2n5xosf\mkr;914h7za}d^kmp97>6k1|cjPiov?5;e<fxoSd`{<32=g>qhzmUbby2=1?a8sjtcW`d0?<1c:ulvaYnf}69?3m4wnpg[lhs4;>5o6y`re]jjq:5=7i0{b|k_hlw8709k2}d~iQfnu>13;e<fxoSd`{<3:=g>qhzmUbby2=9?`8sjtcW`d0?0l;vmq`Zoi|59;2h5xosf\mkr;;80;2n5xosf\mkr;;84i7za}d^kmp959j2}d~iQfnu>7:g=pg{nTecz35?`8sjtcW`d0;0m;vmq`Zoi|5=5n6y`re]jjq:?6k1|cjPiov?=;g<fxoSd`{_1c8sjtcW`dSm6y`re]jjqY5i2}d~iQfnu]0e>qhzmUbbyQ;a:ulvaYnf}U>m6y`re]jjqY1i2}d~iQfnu]4e>qhzmUbbyQ7a:ulvaYnf}U2n6y`re]lqq:76k1|cjPotv?5;d<fxoSb{{<3quWjbTzhm{os>2:c=pzVicS{kltnp?6;`<{UhdRxjcumq868692}ySnfPvdawkw:3294m7z|Pci]uafrhz5>5==5wc7qo|<+4%}{l=9:r@Ar767dn:4I:382V76l3?i:7:i7;307d67j38j:ljtn4`7>4=i=k?1:6*:b286ea=z[8;h68l9:5d4>454i9:i6?o9ae9g=ce=83;1=v]>1e86f3<3n>0:?>o?0c81e3gc3L>8>4?:082>5}T98n19o854g59565f89h1>l8nd:&6ed<4:;1/8k65b`9a=ce=83>j6?>589yO1g6=9r.?j54j909~H0d62;q/94?5fg9m1<4=:91v(8o9:8d`?_`?2>q2h77j:8d9e55}[7;`?d|i?0j;7o7:`;9ed<2>3;i6mn7o>9:l7bd<632cj=:4?:%6ef?g612d?jl4=;:kb53<72->mn7o>9:l7bd<432cj=k4?:%6ef?g6m2d?jl4?;:kb5a<72->mn7o>e:l7bd<632cj=n4?:%6ef?g6m2d?jl4=;:kb5g<72->mn7o>e:l7bd<432cj>94?:%6ef?g5;2d?jl4?;:kb67<72->mn7o=3:l7bd<632cj><4?:%6ef?g5;2d?jl4=;:kb65<72->mn7o=3:l7bd<432cj>44?:%6ef?g502d?jl4?;:kb62<72->mn7o=8:l7bd<632cj>;4?:%6ef?g502d?jl4=;:kb60<72->mn7o=8:l7bd<432cj>h4?:%6ef?g5l2d?jl4?;:kb6f<72->mn7o=d:l7bd<632cj>o4?:%6ef?g5l2d?jl4=;:kb6d<72->mn7o=d:l7bd<432ci9o4?::k`7<<722cj854?::kb75<722cj?<4?::kb77<722cj?>4?::kb71<722c8>84?::k63=<722c:=h4?::m:66<72->mn77=2:l7bd<732e2><4?:%6ef??5:2d?jl4>;:m:65<72->mn77=2:l7bd<532e2=k4?:%6ef??5:2d?jl4<;:m:5`<72->mn77=2:l7bd<332e2=i4?:%6ef??5:2d?jl4:;:m:5f<72->mn77=2:l7bd<132e2=o4?:%6ef??5:2d?jl48;:m:5d<72->mn77=2:l7bdn4?:%6ef??5:2d?jl46;:m:6g<72->mn77=2:l7bdl4?:%6ef??5:2d?jl4m;:m:6<<72->mn77=2:l7bd54?:%6ef??5:2d?jl4k;:m:62<72->mn77=2:l7bd;4?:%6ef??5:2d?jl4i;:m:60<72->mn77=2:l7bd<6821d5?:50;&7bg<>:;1e8ko51098k<7>290/8kl59308j1`f28807&?m2;29 1`e28h:7c:ia;28?.7fn3:1(9hm:0`2?k2ai3;07&?nd;29 1`e28h:7c:ia;08?.7fj3:1(9hm:0`2?k2ai3907&?n9;29 1`e28h:7c:ia;68?.7f?3:1(9hm:0`2?k2ai3?07&?l4;29 1`e28h:7c:ia;48?.7d:3:1(9hm:0`2?k2ai3=07&?l0;29 1`e28h:7c:ia;:8?.7em3:1(9hm:0`2?k2ai3307&?mc;29 1`e28h:7c:ia;c8?.7ei3:1(9hm:0`2?k2ai3h07&?m8;29 1`e28h:7c:ia;a8?.7e>3:1(9hm:0`2?k2ai3n07&?m4;29 1`e28h:7c:ia;g8?.7f=3:1(9hm:0`2?k2ai3l07bk=d;29?jc5j3:17bl:7;29?jdei3:17b==4;29?jg3j3:17&k>0;29?.c693:17ol73;2913c`n3k;6l?550814?4e2tP>4i4>1zc5>d1=i10j57on:7f9f3<1m35708a0?d22<<1??4>d;3a>xof9h0;6):ib;c2=>h3nh0;76gn1983>!2aj3k:56`;f`82?>of9>0;6):ib;c2=>h3nh0976gn1783>!2aj3k:56`;f`80?>of9o0;6):ib;c2a>h3nh0;76gn1e83>!2aj3k:i6`;f`82?>of9j0;6):ib;c2a>h3nh0976gn1c83>!2aj3k:i6`;f`80?>of:=0;6):ib;c17>h3nh0;76gn2383>!2aj3k9?6`;f`82?>of:80;6):ib;c17>h3nh0976gn2183>!2aj3k9?6`;f`80?>of:00;6):ib;c1<>h3nh0;76gn2683>!2aj3k946`;f`82?>of:?0;6):ib;c1<>h3nh0976gn2483>!2aj3k946`;f`80?>of:l0;6):ib;c1`>h3nh0;76gn2b83>!2aj3k9h6`;f`82?>of:k0;6):ib;c1`>h3nh0976gn2`83>!2aj3k9h6`;f`80?>ob8k0;66gn4983>>of;90;66gn3083>>of;;0;66gn3283>>of;=0;66gl5`83>>i>::0;6):ib;;16>h3nh0;76a62083>!2aj339>6`;f`82?>i>:90;6):ib;;16>h3nh0976a61g83>!2aj339>6`;f`80?>i>9l0;6):ib;;16>h3nh0?76a61e83>!2aj339>6`;f`86?>i>9j0;6):ib;;16>h3nh0=76a61c83>!2aj339>6`;f`84?>i>9h0;6):ib;;16>h3nh0376a62b83>!2aj339>6`;f`8:?>i>:k0;6):ib;;16>h3nh0j76a62`83>!2aj339>6`;f`8a?>i>:00;6):ib;;16>h3nh0h76a62983>!2aj339>6`;f`8g?>i>:>0;6):ib;;16>h3nh0n76a62783>!2aj339>6`;f`8e?>i>:<0;6):ib;;16>h3nh0:<65`93694?"3nk02>?5a4gc954=;o6ee?6<3";jj7>5$5da>4d63g>mm7?4;*3b`?6=,=li6;o6ee?4<3";jn7>5$5da>4d63g>mm7=4;*3b=?6=,=li6;o6ee?2<3";j;7>5$5da>4d63g>mm7;4;*3`0?6=,=li6;o6ee?0<3";h>7>5$5da>4d63g>mm794;*3`4?6=,=li6;o6ee?><3";ii7>5$5da>4d63g>mm774;*3ag?6=,=li6;o6ee?g<3";im7>5$5da>4d63g>mm7l4;*3a;o6ee?e<3";i:7>5$5da>4d63g>mm7j4;*3a0?6=,=li6;o6ee?c<3";j97>5$5da>4d63g>mm7h4;n3ga?6=,=li65$5da>4bc3g>mm7?4;n3gf?6=,=li65$5da>4bc3g>mm7=4;n3g=?6=,=li65$5da>4bc3g>mm7;4;n3f3?6=,=li65$5da>4bc3g>mm794;n3f1?6=,=li6<3f;n87>5$5da>4bc3g>mm774;n3f7?6=,=li67>5$5da>4bc3g>mm7l4;n3f5?6=,=li65$5da>4bc3g>mm7j4;n3gb?6=,=li65$5da>4bc3g>mm7h4;n`6=?6=3fo9h7>5;ng1f?6=3fh>;7>5;nc;b?6=3fk2<7>5;n`ae?6=3khj57>520857?`fsE?i<7?tH203?xJ2j809w);61;333>h21;0m;6s+5`49fd>v7l:759y_3?l3;:wl;57884e?1e2>215?48c;50>22=1:0<>77;:7a92d<103<26;l5}hc35?6=,=li6l>?;o6ee?6<3`3mj7>5$5da>d673g>mm7?4;h;ea?6=,=li6l>?;o6ee?4<3`3mh7>5$5da>d673g>mm7=4;hg35;hc1b?6=3`h>m7>5;nf:`?6=,=li6i7l;o6ee?6<3fn2n7>5$5da>a?d3g>mm7?4;nf:e?6=,=li6i7l;o6ee?4<3fn257>5$5da>a?d3g>mm7=4;nf:3?6=,=li6i7l;o6ee?2<3fn2:7>5$5da>a?d3g>mm7;4;nf:1?6=,=li6i7l;o6ee?0<3fn287>5$5da>a?d3g>mm794;nf:7?6=,=li6i7l;o6ee?><3fn2>7>5$5da>a?d3g>mm774;nf:5?6=,=li6i7l;o6ee?g<3fn2<7>5$5da>a?d3g>mm7l4;nf;b?6=,=li6i7l;o6ee?e<3fn3i7>5$5da>a?d3g>mm7j4;nfb1?6=,=li6i7l;o6ee?c<3fnj87>5$5da>a?d3g>mm7h4;nfb7?6=,=li6i7l;o6ee?7732eom?4?:%6ef?b>k2d?jl4>1:9l`d7=83.?jo4k9b9m0cg=9;10cio?:18'0cd=l0i0b9hn:018?jb>n3:1(9hm:e;`?k2ai3;?76ak9d83>!2aj3n2o6`;f`821>=hl021<7*;fc8g=f=i5<#41<3fi=>7>5;c7bb?6=93:150z&6e3<51:1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9;?1<75f55294?=h=:l1<75rb`36>5<3290;w);n6;0:7>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k260<722c>8=4?::m67c<722wim=o50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d?=5;29?l3383:17b;4}#=0;1==;4}hd:>5<6=44i463>5<50;9l16`=831vnl??:187>5<7s-?j:7<63:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>2483>>o2<90;66a:3g83>>{ei=91<7:50;2x 0g12;387E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;997>5;h774?6=3f?8j7>5;|`b70<72=0;6=u+5`496<5<@:0y'1<7=99?0qdh6:188m4422900e8:?:188k05a2900qoo;0;290?6=8r.>m;4=979K1dc{K=k;1=v*:908240=zao31<75f13794?=n=>=1<75`52d94?=zjh>:6=4;:183!3f>382:6F:ad9O1g6=9r.8=i49;|N6f4<6s-?2=7??5:jb<<722c:>84?::k632<722e>?k4?::ae14=83>1<7>t$4c5>7?13A?ji6B:b182!56l3<0qA;m1;3x 0?628:>7pgi9;29?l75=3:17d;87;29?j34n3:17pll6283>1<729q/9l852818L0gb3E?i<7?t$23g>5=zD5<;6=44o41e>5<54;294~"2i?095>5G5`g8H0d728q/?5<4>049~mc?=831b=?;50;9j116=831d9>h50;9~fd61290?6=4?{%7b2?4>;2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6:<0;66g:4183>>i2;o0;66sme3294?3=83:p(8o9:3;6?M3fm2F>n=4>{%12`?64623tcm57>5;h311?6=3`??<7>5;h743?6=3f?8j7>5;|`ae0<72<0;6=u+5`496<2<@:0y'1<7=99?0qdh6:188m46>2900e<<::188m0272900c8=i:188ygdf>3:197>50z&6e3<51=1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjkk<6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722c>8=4?::m67c<722wihoh50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elm;1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`g`7<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojk3;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmn?6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`a3=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkd783>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fae5290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdb194?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thoo94?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygbd=3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbea5>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihn950;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elji1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`gga<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojle;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmim6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`c6=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkf083>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~f`66290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66sme1094?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thn<>4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygc7<3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbd26>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wii=850;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;0;694?:1y'1d0=:080D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elo>1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`gb0<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qoji6;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjml<6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`c>=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkf883>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fa`e290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdgf94?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thojh4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygban3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbd23>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wim4?50;:94?6|D5a28c92>"51102mn5+583955392ch:>4?::ka1d<722c:>94?::k6e4<722e95k4?::mb=1<722ej5?4?::m`27<722wi8ij50;6:>5<7sE?i=76t$467>g063->mi73>oh6Ti8;1x21<1=3<=6pg=0983>!2aj38:m6`;f`823>=n:8<1<7*;fc815d=i5<#43<3`8947>5$5da>77f3g>mm7?;;:k16<<72->mn7<>a:l7bd<6;21b>?o50;&7bg<59h1e8ko51398m74e290/8kl520c8j1`f28;07d<=d;29 1`e2;;j7c:ia;33?>o5:o0;6):ib;02e>h3nh0m76g=3183>!2aj38:m6`;f`8f?>o5800;6):ib;02e>h3nh0o76g=0c83>!2aj38:m6`;f`8`?>o58j0;6):ib;02e>h3nh0i76g=0e83>!2aj38:m6`;f`8b?>o58l0;6):ib;02e>h3nh0276g=1183>!2aj38:m6`;f`8;?>o5980;6):ib;02e>h3nh0<76g=1383>!2aj38:m6`;f`85?>o59=0;6):ib;02e>h3nh0>76g=1483>!2aj38:m6`;f`87?>o59>0;6):ib;02e>h3nh0876g=1983>!2aj38:m6`;f`81?>o5900;6):ib;02e>h3nh0:76g=1c83>!2aj38:m6`;f`83?>o5;;0;6):ib;070>h3nh0:;65f25294?"3nk09895a4gc953=o6=4+4g`96124?:%6ef?43<2d?jl4>3:9j602=83.?jo4=459m0cg=9;10e?;::18'0cd=:=>0b9hn:038?l42?3:1(9hm:367?k2ai3;;76g=5883>!2aj38?86`;f`8e?>o5=h0;6):ib;070>h3nh0n76g=3283>!2aj38?86`;f`8g?>o5;<0;6):ib;070>h3nh0h76g=3783>!2aj38?86`;f`8a?>o5;>0;6):ib;070>h3nh0j76g=3983>!2aj38?86`;f`8:?>o5;h0;6):ib;070>h3nh0376g=3c83>!2aj38?86`;f`84?>o5;j0;6):ib;070>h3nh0=76g=3d83>!2aj38?86`;f`86?>o5;o0;6):ib;070>h3nh0?76g=4083>!2aj38?86`;f`80?>o5<;0;6):ib;070>h3nh0976g=4283>!2aj38?86`;f`82?>o5<<0;6):ib;070>h3nh0;76g:a283>>i2h3nh0:;65`54a94?"3nk0>:=5a4gc953=:k4?:%6ef?3182d?jl4>3:9l126=83.?jo4:619m0cg=9;10c89>:18'0cd==?:0b9hn:038?j30;3:1(9hm:443?k2ai3;;76a:7483>!2aj3?=<6`;f`8e?>i2??0;6):ib;754>h3nh0n76a:4g83>!2aj3?=<6`;f`8g?>i2=80;6):ib;754>h3nh0h76a:5383>!2aj3?=<6`;f`8a?>i2=:0;6):ib;754>h3nh0j76a:5583>!2aj3?=<6`;f`8:?>i2=?0;6):ib;754>h3nh0376a:5683>!2aj3?=<6`;f`84?>i2=10;6):ib;754>h3nh0=76a:5`83>!2aj3?=<6`;f`86?>i2=k0;6):ib;754>h3nh0?76a:5e83>!2aj3?=<6`;f`80?>i2=l0;6):ib;754>h3nh0976a:5g83>!2aj3?=<6`;f`82?>i2>80;6):ib;754>h3nh0;76sm32394??=83:p@8l>:6y'112=1820(8>>:37a?!3f03?0(8><:46g?!3f?3:0(87>:5g0?k3>:3>o56s+5`497675<#;o4n5db>4=5<#5:4n5db>7=5<#5:4n5db>5=n6=4+4g`913>5<#4=5=4=;o7bf?7?3-?2=7??5:l6=7<>=2w/9l854e38^c>=;r6;29 1`e2;;j7c:ia;35?>o5::0;6):ib;02e>h3nh0:965f23:94?"3nk09=l5a4gc951=o4?:%6ef?46i2d?jl4>1:9j67b=83.?jo4=1`9m0cg=9910e?6:18'0cd=:8k0b9hn:e98m76e290/8kl520c8j1`f2j10e?>l:18'0cd=:8k0b9hn:c98m76c290/8kl520c8j1`f2h10e?>j:18'0cd=:8k0b9hn:898m777290/8kl520c8j1`f2110e??>:18'0cd=:8k0b9hn:698m775290/8kl520c8j1`f2?10e??;:18'0cd=:8k0b9hn:498m772290/8kl520c8j1`f2=10e??8:18'0cd=:8k0b9hn:298m77?290/8kl520c8j1`f2;10e??6:18'0cd=:8k0b9hn:098m77e290/8kl520c8j1`f2910e?==:18'0cd=:=>0b9hn:058?l4383:1(9hm:367?k2ai3;=76g=4e83>!2aj38?86`;f`821>=n:<81<7*;fc8101=i5<#9:4n5db>45<3`8>87>5$5da>7233g>mm7?=;:k110<72->mn7<;4:l7bd<6921b>8950;&7bg<5<=1e8ko51198m73>290/8kl52568j1`f2o10e?;n:18'0cd=:=>0b9hn:d98m754290/8kl52568j1`f2m10e?=::18'0cd=:=>0b9hn:b98m751290/8kl52568j1`f2k10e?=8:18'0cd=:=>0b9hn:`98m75?290/8kl52568j1`f2010e?=n:18'0cd=:=>0b9hn:998m75e290/8kl52568j1`f2>10e?=l:18'0cd=:=>0b9hn:798m75b290/8kl52568j1`f2<10e?=i:18'0cd=:=>0b9hn:598m726290/8kl52568j1`f2:10e?:=:18'0cd=:=>0b9hn:398m724290/8kl52568j1`f2810e?:::18'0cd=:=>0b9hn:198m0g42900c8:j:18'0cd==?:0b9hn:058?j32k3:1(9hm:443?k2ai3;=76a:6883>!2aj3?=<6`;f`821>=h=?o1<7*;fc8625=i5<#4n5db>45<3f?<<7>5$5da>0073g>mm7?=;:m634<72->mn7;90:l7bd<6921d9:=50;&7bg<2>91e8ko51198k012290/8kl55728j1`f2o10c899:18'0cd==?:0b9hn:d98k02a290/8kl55728j1`f2m10c8;>:18'0cd==?:0b9hn:b98k035290/8kl55728j1`f2k10c8;<:18'0cd==?:0b9hn:`98k033290/8kl55728j1`f2010c8;9:18'0cd==?:0b9hn:998k030290/8kl55728j1`f2>10c8;7:18'0cd==?:0b9hn:798k03f290/8kl55728j1`f2<10c8;m:18'0cd==?:0b9hn:598k03c290/8kl55728j1`f2:10c8;j:18'0cd==?:0b9hn:398k03a290/8kl55728j1`f2810c88>:18'0cd==?:0b9hn:198yg53;3:1844?:1yO1g7=0r.>894m609'0cc=:9<0(8>;:4c1?!37;3??h6`:9181?k3fj3;37);61;331>h21;0296s+5`49715>4?:%6ef?46i2d?jl4>5:9j67>=83.?jo4=1`9m0cg=9=10e?<6:18'0cd=:8k0b9hn:018?l45i3:1(9hm:33b?k2ai3;976g=2c83>!2aj38:m6`;f`825>=n:;n1<7*;fc815d=i5<#c=5<#a=5<#g=5<#<=5<#2=5<#0=6=4+4g`964g5<#6=5<#4=5<#9:4n5db>41<3`8?<7>5$5da>7233g>mm7?9;:k10a<72->mn7<;4:l7bd<6=21b>8<50;&7bg<5<=1e8ko51598m734290/8kl52568j1`f28907d<:4;29 1`e2;>?7c:ia;31?>o5=<0;6):ib;070>h3nh0:=65f24594?"3nk09895a4gc955=5<#9:4n5db>`=5<#9:4n5db>f=5<#9:4n5db>d=5<#9:4n5db>==5<#9:4n5db>3=5<#9:4n5db>1=:6=4+4g`961254i361>5<#9:4n5db>7=86=4+4g`96125<#9:4n5db>5=5<#4n5db>41<3f?>o7>5$5da>0073g>mm7?9;:m62<<72->mn7;90:l7bd<6=21d9;k50;&7bg<2>91e8ko51598k00a290/8kl55728j1`f28907b;80;29 1`e2<<;7c:ia;31?>i2?80;6):ib;754>h3nh0:=65`56194?"3nk0>:=5a4gc955=6=4+4g`91365<#4n5db>`=m6=4+4g`91365<#4n5db>f=5<#4n5db>d=5<#4n5db>==5<#4n5db>3=5<#4n5db>1=54o47f>5<#4n5db>7=5<#4n5db>5=54883>5}K=k;14v*:458a24=#=84$427>0g53-?;?7;;d:l6=5<53g?jn7?7;%7:5?77=2d>5?465:'1d0=;=;0Vk653z47>33=>?0ve?>7:18'0cd=:8k0b9hn:058?l46>3:1(9hm:33b?k2ai3;=76g=2283>!2aj38:m6`;f`821>=n:;21<7*;fc815d=i5<#45<3`89m7>5$5da>77f3g>mm7?=;:k16g<72->mn7<>a:l7bd<6921b>?j50;&7bg<59h1e8ko51198m74a290/8kl520c8j1`f2o10e?=?:18'0cd=:8k0b9hn:d98m76>290/8kl520c8j1`f2m10e?>m:18'0cd=:8k0b9hn:b98m76d290/8kl520c8j1`f2k10e?>k:18'0cd=:8k0b9hn:`98m76b290/8kl520c8j1`f2010e???:18'0cd=:8k0b9hn:998m776290/8kl520c8j1`f2>10e??=:18'0cd=:8k0b9hn:798m773290/8kl520c8j1`f2<10e??::18'0cd=:8k0b9hn:598m770290/8kl520c8j1`f2:10e??7:18'0cd=:8k0b9hn:398m77>290/8kl520c8j1`f2810e??m:18'0cd=:8k0b9hn:198m755290/8kl52568j1`f28=07d<;0;29 1`e2;>?7c:ia;35?>o5h3nh0:965f24094?"3nk09895a4gc951=1:9j601=83.?jo4=459m0cg=9910e?;6:18'0cd=:=>0b9hn:g98m73f290/8kl52568j1`f2l10e?=<:18'0cd=:=>0b9hn:e98m752290/8kl52568j1`f2j10e?=9:18'0cd=:=>0b9hn:c98m750290/8kl52568j1`f2h10e?=7:18'0cd=:=>0b9hn:898m75f290/8kl52568j1`f2110e?=m:18'0cd=:=>0b9hn:698m75d290/8kl52568j1`f2?10e?=j:18'0cd=:=>0b9hn:498m75a290/8kl52568j1`f2=10e?:>:18'0cd=:=>0b9hn:298m725290/8kl52568j1`f2;10e?:<:18'0cd=:=>0b9hn:098m722290/8kl52568j1`f2910e8o<:188k02b290/8kl55728j1`f28=07b;:c;29 1`e2<<;7c:ia;35?>i2>00;6):ib;754>h3nh0:965`57g94?"3nk0>:=5a4gc951=;<4?:%6ef?3182d?jl4>1:9l125=83.?jo4:619m0cg=9910c89::18'0cd==?:0b9hn:g98k011290/8kl55728j1`f2l10c8:i:18'0cd==?:0b9hn:e98k036290/8kl55728j1`f2j10c8;=:18'0cd==?:0b9hn:c98k034290/8kl55728j1`f2h10c8;;:18'0cd==?:0b9hn:898k031290/8kl55728j1`f2110c8;8:18'0cd==?:0b9hn:698k03?290/8kl55728j1`f2?10c8;n:18'0cd==?:0b9hn:498k03e290/8kl55728j1`f2=10c8;k:18'0cd==?:0b9hn:298k03b290/8kl55728j1`f2;10c8;i:18'0cd==?:0b9hn:098k006290/8kl55728j1`f2910qo=;2;290<<729qG9o?58z&60181/8kk52148 0632h219097c;nb;3;?!3>93;;96`:938:1>{#=h<1?9<4Zg:97~032??1:;4ri32;>5<#41<3`8::7>5$5da>77f3g>mm7?9;:k166<72->mn7<>a:l7bd<6=21b>?650;&7bg<59h1e8ko51598m74>290/8kl520c8j1`f28907d<=a;29 1`e2;;j7c:ia;31?>o5:k0;6):ib;02e>h3nh0:=65f23f94?"3nk09=l5a4gc955=5<#`=5<#f=5<#d=5<#==5<#3=5<#1=54i33;>5<#7=5<#5=5:9j604=83.?jo4=459m0cg=9=10e?;<:18'0cd=:=>0b9hn:018?l42<3:1(9hm:367?k2ai3;976g=5483>!2aj38?86`;f`825>=n:<=1<7*;fc8101=i5<#9:4n5db>c=5<#9:4n5db>a=6=4+4g`96125<#9:4n5db>g=5<#9:4n5db><=5<#9:4n5db>2=5<#9:4n5db>0=5<#9:4n5db>6=96=4+4g`96125<#9:4n5db>4=>6=4+4g`96125<n6=4+4g`9136:44?:%6ef?3182d?jl4>5:9l13c=83.?jo4:619m0cg=9=10c88i:18'0cd==?:0b9hn:018?j3083:1(9hm:443?k2ai3;976a:7083>!2aj3?=<6`;f`825>=h=>91<7*;fc8625=i5<#4n5db>c=5<#4n5db>a=5<#4n5db>g=5<#4n5db><=5<#4n5db>2=5<#4n5db>0=5<#4n5db>6=5<#4n5db>4=5=4=;o7bf?7?3-?2=7??5:l6=7<>=2w/9l853568^c>=;r6;29 1`e2;;j7c:ia;35?>o5::0;6):ib;02e>h3nh0:965f23:94?"3nk09=l5a4gc951=o4?:%6ef?46i2d?jl4>1:9j67b=83.?jo4=1`9m0cg=9910e?6:18'0cd=:8k0b9hn:e98m76e290/8kl520c8j1`f2j10e?>l:18'0cd=:8k0b9hn:c98m76c290/8kl520c8j1`f2h10e?>j:18'0cd=:8k0b9hn:898m777290/8kl520c8j1`f2110e??>:18'0cd=:8k0b9hn:698m775290/8kl520c8j1`f2?10e??;:18'0cd=:8k0b9hn:498m772290/8kl520c8j1`f2=10e??8:18'0cd=:8k0b9hn:298m77?290/8kl520c8j1`f2;10e??6:18'0cd=:8k0b9hn:098m77e290/8kl520c8j1`f2910e?==:18'0cd=:=>0b9hn:058?l4383:1(9hm:367?k2ai3;=76g=4e83>!2aj38?86`;f`821>=n:<81<7*;fc8101=i5<#9:4n5db>45<3`8>87>5$5da>7233g>mm7?=;:k110<72->mn7<;4:l7bd<6921b>8950;&7bg<5<=1e8ko51198m73>290/8kl52568j1`f2o10e?;n:18'0cd=:=>0b9hn:d98m754290/8kl52568j1`f2m10e?=::18'0cd=:=>0b9hn:b98m751290/8kl52568j1`f2k10e?=8:18'0cd=:=>0b9hn:`98m75?290/8kl52568j1`f2010e?=n:18'0cd=:=>0b9hn:998m75e290/8kl52568j1`f2>10e?=l:18'0cd=:=>0b9hn:798m75b290/8kl52568j1`f2<10e?=i:18'0cd=:=>0b9hn:598m726290/8kl52568j1`f2:10e?:=:18'0cd=:=>0b9hn:398m724290/8kl52568j1`f2810e?:::18'0cd=:=>0b9hn:198m0g42900c8:j:18'0cd==?:0b9hn:058?j32k3:1(9hm:443?k2ai3;=76a:6883>!2aj3?=<6`;f`821>=h=?o1<7*;fc8625=i5<#4n5db>45<3f?<<7>5$5da>0073g>mm7?=;:m634<72->mn7;90:l7bd<6921d9:=50;&7bg<2>91e8ko51198k012290/8kl55728j1`f2o10c899:18'0cd==?:0b9hn:d98k02a290/8kl55728j1`f2m10c8;>:18'0cd==?:0b9hn:b98k035290/8kl55728j1`f2k10c8;<:18'0cd==?:0b9hn:`98k033290/8kl55728j1`f2010c8;9:18'0cd==?:0b9hn:998k030290/8kl55728j1`f2>10c8;7:18'0cd==?:0b9hn:798k03f290/8kl55728j1`f2<10c8;m:18'0cd==?:0b9hn:598k03c290/8kl55728j1`f2:10c8;j:18'0cd==?:0b9hn:398k03a290/8kl55728j1`f2810c88>:18'0cd==?:0b9hn:198ygc7l3:1>7>50z&6e3<49l1C9lk4i22;>5<N2il1b?=750;9j75g=831d8h850;9~f3b529086=4?{%7b2?c03A?ji6g<0883>>o48h0;66a;e783>>{e>mo1<7=50;2x 0g12l20D8oj;h13=?6=3`9;m7>5;n6f2?6=3th=h54?:283>5}#=h<1i55G5`g8m66>2900e>>n:188k1c12900qo8k0;297?6=8r.>m;4;eg9K1dc5<>6:188m66f2900c9k9:188yg0b83:1?7>50z&6e3<3mo1C9lk4i22:>5<5<53;294~"2i?0?ik5G5`g8m66>2900e>>n:188k1c12900qo8j4;297?6=8r.>m;4j9:J6e`=n;931<75f31c94?=h5<4290;w);n6;6fb>N2il1b?=750;9j75g=831d8h850;9~fd5f29086=4?{%7b2?2bn2B>mh5f31;94?=n;9k1<75`4d494?=zjh>?6=4<:183!3f>3>m<6F:ad9j75?=831b?=o50;9l0`0=831vn;k=:181>5<7s-?j:7=>e:J6e`=n;921<75`4d494?=zj?n=6=4=:183!3f>39:i6F:ad9j75>=831d8h850;9~f3bd29096=4?{%7b2?56m2B>mh5f31:94?=h5<3290;w);n6;ga?M3fm2c8<44?::k04d<722c8=<4?::m7a3<722wi:nk50;694?6|,10;694?:1y'1d0=mh1C9lk4i22:>5<5<N2il1b?=750;9j75g=831b?5<7s-?j:7km;I7ba>o4800;66g<0`83>>o4980;66a;e783>>{e>?81<7:50;2x 0g12lk0D8oj;h13=?6=3`9;m7>5;h125?6=3f>n:7>5;|`521<72=0;6=u+5`490c7<@1;29?j2b>3:17pl=a083>1<729q/9l85ee9K1dc5<5<52z\:ba=:i9?1=?;4$4:e>d673g?3i7=4}r;ea?6=:rT2jh52a1c9573<,<2m6l>?;o7;a?452z\:bc=:i9l1=?;4$4:e>d673g?3i7?4}rc35?6=:rTj<<52a069573<,<2m6l>?;o7;a?655z\f4==:m9n1?=64=`1f>66>34k8m7=?9:?b01<48h1vl:7:1870~Xf<116m<:5f89>e43=n016m=o5f89>e5d=n016m=h5f89>e46=n016m9=5f89>e63=n016m9>5f89>e17=n016m9<5f89>g35=n016m=;5f89>e50=n016i?>5f89>fd3=n016nl85f89>fd1=n016hoh5f89>`f6=n016hnl5f89>`a6=n016hi?5f89>`a4=n016hi=5f89>`a2=n016hi;5f89>`a0=n016hn?5f89>`f4=n016hn=5f89>`f2=n016hn;5f89>`f0=n016hn95f89>`f>=n016hn75f89>`fg=n016hnm5f89>`fb=n016hnk5f89>`f`=n016hk>5f89>`c7=n016hkm5f89>a57=n016i=<5f89>a55=n016i=:5f89>a53=n016i=85f89>a51=n016hk<5f89>`c5=n016hk:5f89>`c3=n016hk85f89>`c1=n016hk65f89>`c?=n016hko5f89>`cd=n016hkj5f89>`cc=n016hkh5f89>a56=n016m4?51368yvg5n3:1>vPn2g9>e16=9;?0q~l:a;296~Xe=h16m4?5b4c8yvg7?3:1>v3n0`867c=:i9h1=?;4$4:e>d6?3g?3i7?4}rc3=?6=?r7j717=:8201>:>:31`?853:38;h63<43811d=:;=>1>?o4=267>7273-?3j7o?8:l6<`<73tyje5`==:l01l??:006?853<389n63<45810a=#=1l1m=j4n4:f>4=z{h:n6=4:{717=::o01>:=:32f?853:388?6*:8g8b4a=i=1o1<6s|a0394?4|5h;?68=i;4k4n139m1=c=92wxm<=50;5x9d722<9m70=;1;02f>;4<809?k523509646<5:>96?=:;<170?45l278894=539'1=`=i880b86j:19~wd24290;1ll08<4526e2975?<5h>?6>>6;<452?57i27=oh4<089>23>=;9k0q~o<5;296~;f;<0>?k52a25975?53z?`26<2;o16m4?5c71893b?2::27p}n0383>7}:i9?19>h4=`25>4423-?3j7o?3:l6<`<63tyj<94?:6y>e50==:l01>:>:334?8539388n63<43814f=:;=81>874=267>74>349?87<<2:&6p1l:<:006?8g393?8j63n438260=:i:k1?=o4$4:e>d5d3g?3i7?4}rc0e17=9;?0(86i:`1`?k3?m380q~o852a50916`<5h9n6>>n;%7;b?g4k2d>4h4?;|qf65<72>q6i?>513789`472<9m708k2;13=>;1ll08>6;<454h50;1x9d?62;3m708k8;13e>;1lh08<45rs`;7>5<5s4k2=7o64:?5a1<4801/95h5a818j0>b291vl7=:1818g>93k2>639e5804d=#=1l1m4=4n4:f>4=z{j<96=4={_a56>;f180h:?5rse`5>5<0s4nhj7;;4<=09=o523569613<,<2m6il:;o7;a?657z?gg`<2;o16hkh5137896262;>870=;2;02=>;4<;098>52356964?<5:>?6?:<;%7;b?be=2d>4h4>;|qgf6<72>q6hnj552d89a`b288>70=;1;076>;4<;09=5523509614<5:>?6??7;<170?43:2.>4k4kb49m1=c=:2wxho<50;5x9aed2<9m70jid;311>;4<8098<523509641<5:>96?:>;<170?46?278894=409'1=`=lk?0b86j:29~wad7290;cnk0:>8523539643<5:>96??:;<176?44n278894=149>712=::l0(86i:e`6?k3?m3>0q~jnf;293~;ck00>?k52dgc9573<5:>:6??;;<176?46<2788?4=3d9>712=:8>01>:;:31f?!3?n3ni96`:8d86?xucil0;6:u2db:916`<5ml26<<:;<175?46:2788?4=139>714=::i01>:;:331?853<388o6*:8g8gf0=i=1o1:6s|d`f94?1|5mi<68=i;714=:8;01>:=:31a?853<38:=63<45817g=#=1l1ho;4n4:f>2=z{mkh6=48{249>717=:8:01>:>:31b?853:388m63<458155=:;=>1>>o4$4:e>ad23g?3i764}rfbf?6=?r7oo84:3g9>`c0=9;?01>:>:32f?8539388463<43817==:;=>1>=k4=267>75?3-?3j7jm5:l6<`<>3tyoml4?:6y>`f2==:l01ih::006?853938;h63<408172=:;=81>>94=267>76c349?87<<7:&6>84=261>751349?87b2k1vio7:1848bd:3?8j63kf28260=:;=;1>=l4=262>752349?>7a2mh>7c;7e;a8yvbf?3:1;v3kc0867c=:lo81=?;4=262>76>349?=7<<3:?007<58016?9:521;896232;987);7f;fa1>h20l0o7p}kbd83>2}:lm<19>h4=d24>442349?=7<<0:?004<5=h16?9<5222896232;9;70=;4;06e>"20o0on85a59g9a>{tlkn1<79t=ef6>05a34o;:7?=5:?004<5:o16?9?524;896252;8m70=;4;01b>;4<=09945+59d9`g35<0s4no87;;4<;099:523569601<,<2m6il:;o7;a?773tyono4?:6y>`a5==:l01h>;:006?8539389n63<408110=:;=81>?l4=261>732349?87<:5:&6;b8:0:>852353967g<5:>:6?;;;<176?45i2788?4=559>712=:<>0(86i:e`6?k3?m3;97p}kb883>2}:lm;19>h4=d21>442349?=7<=9:?004<5=:16?9<523;896252;?870=;4;067>"20o0on85a59g956=z{mh36=48{249>717=:;201>:>:371?853:389463<438117=:;=>1>?64$4:e>ad23g?3i7?;;|qgf2<72>q6hnl552d89a`d288>70=;1;017>;4<8098i523509675<5:>96?:k;<170?45;2.>4k4kb49m1=c=9<1vil>:1848bd83?8j63kf08260=:;=;1><84=262>727349?>7<>6:?007<5<916?9:52048 0>a2mh>7c;7e;35?xuci?0;6:u2dcd916`<5ml;6<<:;<175?4702788<4=339>714=:9201>:=:311?853<38;46*:8g8gf0=i=1o1=:5rseg4>5<5s4o;<7;b291vik::1818ban3?8j63;de815<=#=1l1hh84n4:f>4=z{mo?6=4={;3lm09=:5+59d9``05rseg2>5<5s4nmn7;b2=1vik?:1818bai3?8j63;de8151=#=1l1hh84n4:f>0=z{mnm6=4={;3lm09=<5+59d9``05<5s4nm;7;b211vijl:1818ba>3?8j63;de814`=#=1l1hh84n4:f><=z{mni6=4={;3lm095<5s4nm?7;b2j1vij7:1818ba:3?8j63;de814<=#=1l1hh84n4:f>a=z{mom6=4={;3lm09>k5+59d9``05<5s4o;97;b28:0q~jjc;296~;b8=0>?k524ef967d<,<2m6ik9;o7;a?763tyoio4?:3y>a55==:l019jk:30b?!3?n3nn:6`:8d826>{tllk1<705a34>oh7<=9:&62909w0k?1;70b>;3lm09>55+59d9``00ab=:;90(86i:eg5?k3?m3;>7p}ke383>7}:lo;19>h4=5fg>7713-?3j7jj6:l6<`<6>2wxhi950;0x9a`72<9m70:kd;03<>"20o0oi;5a59g952=z{k2<6=47{<`b3?34n278?<4=6c9>0a7==h901;mj:232?837l39:=639618054=:>?81?=74=3c2>66>3-?3j7l76:l6<`<73tyi484?:9y>fd0==:l01>=>:34:?853;3?j?639cd804d=:=9n1?=74=743>66f34<=>7=?a:?1e4<48h1/95h5b948j0>b281vo6;:18:8df=3?8j63<30811f=:;=;19l=4=261>0g4349?87;n3:?64a<48h16:;>531;893052:;:70"20o0i4;5a59g96>{t>??1<702734<=:7:j6:p2fb=838p1;j?:22b?80dm3>n:6s|67594?2|5kk>68:?;<`b2?33827im:4:419>23>=;:52a2590`0<5?<=6>>6;|q5`4<72;q6:i<54d4893b32::j7p}9de83>7}:>mo18h84=7g3>66f3ty=h:4?:3y>2a>=h20l0;7p}k9c83>7}Yl0h019jk:47e?!3?n3n2o6`:8d82?xuc1h0;6?uQd8c891bc2h20l097p}k9883>7}Yl03019jk:47g?!3?n3n2o6`:8d80?xuc1>0;6?uQd85891bc2h20l0?7p}k9783>7}Yl0<019jk:47b?!3?n3n2o6`:8d86?xuc1<0;6?uQd87891bc2h20l0=7p}k9583>7}Yl0>019jk:474?!3?n3n2o6`:8d84?xuc1:0;6?uQd81891bc2h20l037p}k9383>7}Yl08019jk:477?!3?n3n2o6`:8d8:?xuc180;6?uQd83891bc2h20l0j7p}k9183>7}Yl0:019jk:471?!3?n3n2o6`:8d8a?xuc0o0;6?uQd9d891bc2h20l0h7p}k8d83>7}Yl1o019jk:46e?!3?n3n2o6`:8d8g?xuci<0;6?uQd`7891bc2<==7);7f;f:g>h20l0n7p}ka583>7}Ylh>019jk:456?!3?n3n2o6`:8d8e?xuci:0;6?uQd`1891bc2<=87);7f;f:g>h20l0:<6s|d`094?4|Vmk970:kd;745>"20o0o5n5a59g954=z{mk:6=4={_fb5>;3lm0>;=5+59d9`k2d>4h4>4:p`4k4k9b9m1=c=9<1vi77:181[b>027?hi4:5b9'1=`=l0i0b86j:048yvb?l3:1>vPk8e9>0ab===o0(86i:e;`?k3?m3;<7p}m8c83>7}:jh=1=?;4=212>00>3-?3j7l7a:l6<`<73tyi444?:3y>fd0=9;?01>=>:47`?!3?n3h3m6`:8d82?xue010;6?u2b`79573<5:9:68:j;%7;b?d?i2d>4h4=;|q5gc<72;q6:i<531c893b72=o=7p}9d283>7}:>m>18h84=7f5>66?3ty=hk4?:3y>2`6=>7;|q5a6<72;q68ij55`1893c32=o=7p}:0b83>1}:k?91=?;4=745>67634?;h7:j6:?521<4801v;;i:18180183>n:63965804d=z{?<:6=4={<456?2b>27=:94<109~wg?d2909w0jlf;311>;3l80>:<5+59d9f5<5s4nhi7?=5:?7`4<2=o1/95h5b8`8j0>b281vo76:1818bdl3;9963;d0861`=#=1l1n4l4n4:f>7=z{k336=4={;3l80>9o5+59d9f5<5s4nh57?=5:?7`4<2=h1/95h5b8`8j0>b2<1vo7;:1818bd03;9963;d0861==#=1l1n4l4n4:f>3=z{k386=4={;3l80>9;5+59d9f5<5s4nh97?=5:?7`4<2==1/95h5b8`8j0>b201vo7?:1818bd<3;9963;d08616=#=1l1n4l4n4:f>d=z{k2m6=4={b2909w0jl2;311>;3l80>9<5+59d9f5<5s4nh=7?=5:?7`4<2b2m1voo;:1818bc>3;9963;d08633=#=1l1n4l4n4:f>`=z{kk86=4={;3l80>;>5+59d9f4}r`b5?6=:r7oh>4>249>0a7==>;0(86i:c;a?k3?m3;:7p}ma183>7}:lm81=?;4=5f2>0173-?3j7l6b:l6<`<6:2wxn4h50;0x9ab6288>70:k1;75b>"20o0i5o5a59g956=z{k3n6=4={l3:1>v3kcc8260=:g?e3g?3i7?:;|qa=2<72;q6hn>5137891b62h20l0::6s|b9a94?4|5mhm6<<:;<6g5?33m2.>4k4m9c9m1=c=9>1v;7l:18182c938:n63<428624=#=1l1:4l4n4:f>5=z{?k<6=4={<6g5?4612788>4:5g9'1=`=>0h0b86j:09~w3gd2909w0:k1;02<>;4<:0>9h5+59d925<5s4>o=7<>7:?006<2=m1/95h568`8j0>b2:1v;oj:18182c938:963<42861g=#=1l1:4l4n4:f>1=z{?km6=4={<6g5?46<2788>4:5`9'1=`=>0h0b86j:49~w3d72909w0:k1;026>;4<:0>955+59d925<5s4>o=7<>1:?006<2=>1/95h568`8j0>b2>1v;l=:18182c938:<63<428613=#=1l1:4l4n4:f>==z{?h86=4={<6g5?47m2788>4:559'1=`=>0h0b86j:89~w3?c2909w0:k1;03`>;4<:0>9>5+59d925<5s4>o=7b2k1v;7i:18182c938;n63<428614=#=1l1:4l4n4:f>f=z{?k;6=4={<6g5?4712788>4:4g9'1=`=>0h0b86j:e9~w3g62909w0:k1;004>;4<:0>;;5+59d925<5s4>o=7<=f:?006<2?<1/95h568`8j0>b2o1v;o<:18182c9389h63<428636=#=1l1:4l4n4:f>4652z?7`4<5:k16?9=55638 0>a2?3i7c;7e;32?xu1i<0;6?u24e3967g<5:>8689?;%7;b?0>j2d>4h4>2:p2d0=838p19j>:30:?853;3?=j6*:8g85=g=i=1o1=>5rs7c;>5<5s4>o=7<=8:?006<2>l1/95h568`8j0>b28>0q~8n9;296~;3l809>>52351913?<,<2m6;7m;o7;a?723ty=ml4?:3y>0a7=:8<01>:<:47`?!3?n3<2n6`:8d822>{t>hh1<776?349??7;;e:&6;4<80>:<5+59d923?5<5s49??7<>9:?004<2=o1/95h567;8j0>b281v;9n:181853;38:463<40861`=#=1l1:;74n4:f>7=z{?=i6=4={<177?46?2788<4:5e9'1=`=>?30b86j:29~w31d2909w0=;3;021>;4<80>9o5+59d923?5<5s49??7<>4:?004<2=h1/95h567;8j0>b2<1v;9j:181853;38:>63<40861==#=1l1:;74n4:f>3=z{?=m6=4={<177?4692788<4:569'1=`=>?30b86j:69~w3>72909w0=;3;024>;4<80>9;5+59d923?5<5s49??7b201v;8m:181853;38;h63<408616=#=1l1:;74n4:f>d=z{??30b86j:c9~w30c2909w0=;3;03f>;4<80>9<5+59d923?5<5s49??7b2m1v;8i:181853;388<63<408633=#=1l1:;74n4:f>`=z{?=;6=4={<177?45n2788<4:749'1=`=>?30b86j:g9~w3162909w0=;3;01`>;4<80>;>5+59d923?4}r446?6=:r788>4=2c9>717==>;0(86i:74:?k3?m3;:7p}97283>7}:;=91>?o4=262>0173-?3j7899:l6<`<6:2wx:::50;0x96242;8270=;1;75b>"20o0=:45a59g956=z{?==6=4={<177?4502788<4:6d9'1=`=>?30b86j:068yv00?3:1>v3<428166=:;=;19;74$4:e>30>3g?3i7?:;|q53=<72;q6?9=5204896262h20l0::6s|66;94?4|5:>86?>7;<175?33m2.>4k49689m1=c=9>1v;6<:181853;38?963<438624=#=1l1:5<4n4:f>5=z{?2n6=4={<177?43;2788?4:5g9'1=`=>180b86j:09~w3?42909w0=;3;076>;4<;0>9h5+59d92=45<5s49??7<;1:?007<2=m1/95h56908j0>b2:1v;7::181853;388j63<43861g=#=1l1:5<4n4:f>1=z{?3=6=4={<177?44m2788?4:5`9'1=`=>180b86j:49~w3?02909w0=;3;00g>;4<;0>955+59d92=45<5s49??7<1/95h56908j0>b2>1v;76:181853;388m63<438613=#=1l1:5<4n4:f>==z{?3j6=4={<177?4402788?4:559'1=`=>180b86j:89~w3>32909w0=;3;003>;4<;0>9>5+59d92=45<5s49??7<<6:?007<2=;1/95h56908j0>b2k1v;69:181853;388963<438614=#=1l1:5<4n4:f>f=z{?2<6=4={<177?44;2788?4:4g9'1=`=>180b86j:e9~w3>?2909w0=;3;06e>;4<;0>;;5+59d92=45<5s49??7<:9:?007<2?<1/95h56908j0>b2o1v;6n:181853;38>;63<438636=#=1l1:5<4n4:f>4652z?006<5=<16?9<55638 0>a2?297c;7e;32?xu10j0;6?u23519602<5:>9689?;%7;b?0?:2d>4h4>2:p2=b=838p1>:<:370?853:3?=j6*:8g85<7=i=1o1=>5rs7:e>5<5s49??7<:2:?007<2>l1/95h56908j0>b28>0q~860;296~;4<:098i52350913?<,<2m6;6=;o7;a?723ty=5<4?:3y>715=:=:01>:=:47`?!3?n3<3>6`:8d822>{t>081<7755349?>7;;e:&6;4<=0>:<5+59d92g25<5s4>o=7<;3:?001<2=o1/95h56c68j0>b281v;m::18182c938?>63<45861`=#=1l1:o:4n4:f>7=z{?i=6=4={<6g5?439278894:5e9'1=`=>k>0b86j:29~w3e02909w0:k1;00b>;4<=0>9o5+59d92g25<5s4>o=7<b2<1v;m6:18182c9388o63<45861==#=1l1:o:4n4:f>3=z{?ij6=4={<6g5?44j278894:569'1=`=>k>0b86j:69~w3ee2909w0:k1;00e>;4<=0>9;5+59d92g25<5s4>o=7<<8:?001<2==1/95h56c68j0>b201v;l9:18182c9388;63<458616=#=1l1:o:4n4:f>d=z{?h<6=4={<6g5?44>278894:539'1=`=>k>0b86j:c9~w3d?2909w0:k1;001>;4<=0>9<5+59d92g25<5s4>o=7<<3:?001<2b2m1v;ln:18182c938>m63<458633=#=1l1:o:4n4:f>`=z{?hi6=4={<6g5?421278894:749'1=`=>k>0b86j:g9~w3dd2909w0:k1;063>;4<=0>;>5+59d92g24}r4a`?6=:r7?h<4=549>712==>;0(86i:7`7?k3?m3;:7p}9bd83>7}:8:4=267>0173-?3j78m4:l6<`<6:2wx:oh50;0x91b62;?870=;4;75b>"20o0=n95a59g956=z{?i:6=4={<6g5?42:278894:6d9'1=`=>k>0b86j:068yv0d:3:1>v3;d0810a=:;=>19;74$4:e>3d33g?3i7?:;|q5g6<72;q68i?5252896232h20l0::6s|6b694?4|5=n:6?==;<170?33m2.>4k49b59m1=c=9>1v;8<:181801039;56396587a3=z{;k;6=4={><4=5fg>72734>oh7<;d:?7`a<5=;168ij5241891bc2;??70:kd;061>;3lm099:524ef960?<5=no6?;n;<6g`?44;27?hi4=349>0ab=::<019jk:314?82cl388463;de817d=:>l4=5fg>75d34>oh7<970:kd;077>;3lm09885rsd2`>5;70o?f;774>;f990>8=52a529121<5h>:6898;e50===:01l7>:4c2?8c7l3>n:6s|a2d94?4|5h>868:?;2wxm>l50;0x9d522<>;70o{ti=?1<702734k?87:j6:p2`7=83>p1oo::02:?8df>3;;563ma6824<=:>l818h84}r4g1?6=90q6hoh511;89ae728:270jlb;33=>;cl90:<452de3955?<5mn96<>6;089>`a3=99301ij9:02:?8bd93;;563kc3824<=:lj91==74=ea7>46>34nh97??9:?gg3<68016hn9511;89ae?28:270jl9;33=>;ckh0:<452dba955?<5mio6<>6;089>2a0=46>34nmo7??9:?f44<68016i=<511;89`6428:270k?4;33=>;b8<0:<452e14955?<5l:<6<>6;4>089>`c2=99301ih::02:?8ba>3;;563kf6824<=:lo21==74=ed:>46>34nmm7??9:?gbg<68016hkj511;89a`b28:270jif;33=>;b890:<4526ea90`0n=4>{I114>{K=k;1>v*:908242=i=081=<>4}%7b2?df02Pm472g=?k0<477=:6a936<0<3386:<59585g?0f2?21:449b;je57=83.?jo4n019m0cg=821b5kh50;&7bg=831bm9650;9je7`=831bn8o50;9l`21dh4:50;&7bg50;&7bg!2aj3n2o6`;f`827>=hl0l1<7*;fc8g=f=i5<#43<3fn247>5$5da>a?d3g>mm7?9;:mgmn7j6c:l7bd<6?21do;<50;9a1d`=83;1<7>t$4c5>7?d3A?ji6a=9c83>>{ei8>1<7:50;2x 0g12;387E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;997>5;h774?6=3f?8j7>5;|`b50<72=0;6=u+5`496<5<@:0y'1<7=99?0qdh6:188m4422900e8:?:188k05a2900qoo?a;290?6=8r.>m;4=929K1dc{K=k;1=v*:908240=zao31<75f13794?=n==:1<75`52d94?=zjh:i6=4;:183!3f>382?6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:>84?::k605<722e>?k4?::ae5`=83>1<7>t$4c5>7?43A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l75=3:17d;;0;29?j34n3:17pln1183>1<729q/9l852818L0gb3E?i<7?t$23g>5=zD5<;6=44o41e>5<54;294~"2i?095>5G5`g8H0d728q/?5<4>049~mc?=831b=?;50;9j116=831d9>h50;9~fd52290?6=4?{%7b2?4>;2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6:<0;66g:4183>>i2;o0;66sma5294?2=83:p(8o9:3;5?M3fm2F>n=4>{%12`?04623tcm57>5;h311?6=3`?<;7>5;n70b?6=3thj8<4?:583>5}#=h<1>484H4cf?I3e83;p(>?k:79~H0d628q/94?51178yl`>2900e<<::188m0102900c8=i:188ygg3:3:187>50z&6e3<51?1C9lk4L4`3>4}#;8n1:6sC5c395~"2180:<85rig;94?=n9;?1<75f56594?=h=:l1<75rbb40>5<3290;w);n6;0:7>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k260<722c>8=4?::m67c<722wim=;50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d?=5;29?l3383:17b;4}#=0;1==;4}hd:>5<6=44i463>5<50;9j121=831d9>h50;9~fgg2290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>o2<90;66a:3g83>>{ejh<1<7;50;2x 0g12;3?7E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3thim:4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900e8:?:188k05a2900qojmf;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmi;6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`fd=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkd183>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fab5290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smde194?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thoh94?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygbc=3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbef5>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihn?50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elj?1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`gg3<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojl7;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmi36=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`f?=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkc`83>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~faec290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdbg94?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thook4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygba83:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbed2>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihkm50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<<:187>5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{em9>1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`f40<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qok?6;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjl:<6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`c4=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkf283>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fa`2290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdg494?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thoj:4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygba03:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbed:>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihko50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elol1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`f45<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qoo61;29n<49{%770?d312d9544<;o0:e?0<,;3364ol;%7:5?77=2d>5?4;b:'1d0=i0;0en8<:188mg3f2900e<<;:188m0g62900c?7i:188kd?32900cl7=:188kf052900qo:kd;290<<729qG9o?58z&60181/8kk52148 0632h219097c;nb;3;?!3>93;;96`:9381`>{#=h<18ij4Zg:97~032??1:;4ri32;>5<#41<3`8::7>5$5da>77f3g>mm7?9;:k166<72->mn7<>a:l7bd<6=21b>?650;&7bg<59h1e8ko51598m74>290/8kl520c8j1`f28907d<=a;29 1`e2;;j7c:ia;31?>o5:k0;6):ib;02e>h3nh0:=65f23f94?"3nk09=l5a4gc955=5<#`=5<#f=5<#d=5<#==5<#3=5<#1=54i33;>5<#7=5<#5=5:9j604=83.?jo4=459m0cg=9=10e?;<:18'0cd=:=>0b9hn:018?l42<3:1(9hm:367?k2ai3;976g=5483>!2aj38?86`;f`825>=n:<=1<7*;fc8101=i5<#9:4n5db>c=5<#9:4n5db>a=6=4+4g`96125<#9:4n5db>g=5<#9:4n5db><=5<#9:4n5db>2=5<#9:4n5db>0=5<#9:4n5db>6=96=4+4g`96125<#9:4n5db>4=>6=4+4g`96125<n6=4+4g`9136:44?:%6ef?3182d?jl4>5:9l13c=83.?jo4:619m0cg=9=10c88i:18'0cd==?:0b9hn:018?j3083:1(9hm:443?k2ai3;976a:7083>!2aj3?=<6`;f`825>=h=>91<7*;fc8625=i5<#4n5db>c=5<#4n5db>a=5<#4n5db>g=5<#4n5db><=5<#4n5db>2=5<#4n5db>0=5<#4n5db>6=5<#4n5db>4=d2tc99n4?:%6ef?41i2d?jl4=;:k12<<72->mn7<9a:l7bd<632c9:o4?:%6ef?41i2d?jl4?;:k133<72->mn7<74:l7bd<532c94>4?:%6ef?4?<2d?jl4>;:k1<0<72->mn7<74:l7bd<732e>8h4?:%6ef?3102d?jl4=;:m61f<72->mn7;98:l7bd<632e>:44?:%6ef?3102d?jl4?;:a0a7=83>26=4?{M7a5?>|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=911/94?51178j0?520?0q);n6;6g5>\a039p:9495;45>xo5810;6):ib;02e>h3nh0:;65f20494?"3nk09=l5a4gc953=44?:%6ef?46i2d?jl4>3:9j67g=83.?jo4=1`9m0cg=9;10e?!2aj38:m6`;f`8e?>o5;90;6):ib;02e>h3nh0n76g=0883>!2aj38:m6`;f`8g?>o58k0;6):ib;02e>h3nh0h76g=0b83>!2aj38:m6`;f`8a?>o58m0;6):ib;02e>h3nh0j76g=0d83>!2aj38:m6`;f`8:?>o5990;6):ib;02e>h3nh0376g=1083>!2aj38:m6`;f`84?>o59;0;6):ib;02e>h3nh0=76g=1583>!2aj38:m6`;f`86?>o59<0;6):ib;02e>h3nh0?76g=1683>!2aj38:m6`;f`80?>o5910;6):ib;02e>h3nh0976g=1883>!2aj38:m6`;f`82?>o59k0;6):ib;02e>h3nh0;76g=3383>!2aj38?86`;f`823>=n:=:1<7*;fc8101=i5<#9:4n5db>43<3`8>>7>5$5da>7233g>mm7?;;:k116<72->mn7<;4:l7bd<6;21b>8:50;&7bg<5<=1e8ko51398m732290/8kl52568j1`f28;07d<:7;29 1`e2;>?7c:ia;33?>o5=00;6):ib;070>h3nh0m76g=5`83>!2aj38?86`;f`8f?>o5;:0;6):ib;070>h3nh0o76g=3483>!2aj38?86`;f`8`?>o5;?0;6):ib;070>h3nh0i76g=3683>!2aj38?86`;f`8b?>o5;10;6):ib;070>h3nh0276g=3`83>!2aj38?86`;f`8;?>o5;k0;6):ib;070>h3nh0<76g=3b83>!2aj38?86`;f`85?>o5;l0;6):ib;070>h3nh0>76g=3g83>!2aj38?86`;f`87?>o5<80;6):ib;070>h3nh0876g=4383>!2aj38?86`;f`81?>o5<:0;6):ib;070>h3nh0:76g=4483>!2aj38?86`;f`83?>o2i:0;66a:4d83>!2aj3?=<6`;f`823>=h=5<#4n5db>43<3f?=i7>5$5da>0073g>mm7?;;:m62c<72->mn7;90:l7bd<6;21d9:>50;&7bg<2>91e8ko51398k016290/8kl55728j1`f28;07b;83;29 1`e2<<;7c:ia;33?>i2?<0;6):ib;754>h3nh0m76a:7783>!2aj3?=<6`;f`8f?>i2h3nh0o76a:5083>!2aj3?=<6`;f`8`?>i2=;0;6):ib;754>h3nh0i76a:5283>!2aj3?=<6`;f`8b?>i2==0;6):ib;754>h3nh0276a:5783>!2aj3?=<6`;f`8;?>i2=>0;6):ib;754>h3nh0<76a:5983>!2aj3?=<6`;f`85?>i2=h0;6):ib;754>h3nh0>76a:5c83>!2aj3?=<6`;f`87?>i2=m0;6):ib;754>h3nh0876a:5d83>!2aj3?=<6`;f`81?>i2=o0;6):ib;754>h3nh0:76a:6083>!2aj3?=<6`;f`83?>{e;=91<7:6:183I3e932p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=55+58395537:9j640=83.?jo4=1`9m0cg=9?10e?<<:18'0cd=:8k0b9hn:078?l4503:1(9hm:33b?k2ai3;?76g=2883>!2aj38:m6`;f`827>=n:;k1<7*;fc815d=i5<#47<3`89h7>5$5da>77f3g>mm7??;:k16c<72->mn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bd<>32c9==4?:%6ef?46i2d?jl47;:k154<72->mn7<>a:l7bd<032c9=?4?:%6ef?46i2d?jl49;:k151<72->mn7<>a:l7bd<232c9=84?:%6ef?46i2d?jl4;;:k152<72->mn7<>a:l7bd<432c9=54?:%6ef?46i2d?jl4=;:k15<<72->mn7<>a:l7bd<632c9=o4?:%6ef?46i2d?jl4?;:k177<72->mn7<;4:l7bd<6?21b>9>50;&7bg<5<=1e8ko51798m72c290/8kl52568j1`f28?07d<:2;29 1`e2;>?7c:ia;37?>o5=:0;6):ib;070>h3nh0:?65f24694?"3nk09895a4gc957=6=4+4g`9612mn7<;4:l7bd4?:%6ef?43<2d?jl4k;:k170<72->mn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bd<132c9?h4?:%6ef?43<2d?jl4:;:k17c<72->mn7<;4:l7bd<332c98<4?:%6ef?43<2d?jl4<;:k107<72->mn7<;4:l7bd<532c98>4?:%6ef?43<2d?jl4>;:k100<72->mn7<;4:l7bd<732c>m>4?::m60`<72->mn7;90:l7bd<6?21d98m50;&7bg<2>91e8ko51798k00>290/8kl55728j1`f28?07b;9e;29 1`e2<<;7c:ia;37?>i2>o0;6):ib;754>h3nh0:?65`56294?"3nk0>:=5a4gc957=;84?:%6ef?3182d?jl4i;:m633<72->mn7;90:l7bd8k4?:%6ef?3182d?jl4k;:m614<72->mn7;90:l7bd9?4?:%6ef?3182d?jl4m;:m616<72->mn7;90:l7bd994?:%6ef?3182d?jl46;:m613<72->mn7;90:l7bd9:4?:%6ef?3182d?jl48;:m61=<72->mn7;90:l7bd<132e>9l4?:%6ef?3182d?jl4:;:m61g<72->mn7;90:l7bd<332e>9i4?:%6ef?3182d?jl4<;:m61`<72->mn7;90:l7bd<532e>9k4?:%6ef?3182d?jl4>;:m624<72->mn7;90:l7bd<732wi?9?50;6:>5<7sE?i=76t$467>g063->mi739?=6Ti8;1x21<1=3<=6pg=0983>!2aj38:m6`;f`823>=n:8<1<7*;fc815d=i5<#43<3`8947>5$5da>77f3g>mm7?;;:k16<<72->mn7<>a:l7bd<6;21b>?o50;&7bg<59h1e8ko51398m74e290/8kl520c8j1`f28;07d<=d;29 1`e2;;j7c:ia;33?>o5:o0;6):ib;02e>h3nh0m76g=3183>!2aj38:m6`;f`8f?>o5800;6):ib;02e>h3nh0o76g=0c83>!2aj38:m6`;f`8`?>o58j0;6):ib;02e>h3nh0i76g=0e83>!2aj38:m6`;f`8b?>o58l0;6):ib;02e>h3nh0276g=1183>!2aj38:m6`;f`8;?>o5980;6):ib;02e>h3nh0<76g=1383>!2aj38:m6`;f`85?>o59=0;6):ib;02e>h3nh0>76g=1483>!2aj38:m6`;f`87?>o59>0;6):ib;02e>h3nh0876g=1983>!2aj38:m6`;f`81?>o5900;6):ib;02e>h3nh0:76g=1c83>!2aj38:m6`;f`83?>o5;;0;6):ib;070>h3nh0:;65f25294?"3nk09895a4gc953=o6=4+4g`96124?:%6ef?43<2d?jl4>3:9j602=83.?jo4=459m0cg=9;10e?;::18'0cd=:=>0b9hn:038?l42?3:1(9hm:367?k2ai3;;76g=5883>!2aj38?86`;f`8e?>o5=h0;6):ib;070>h3nh0n76g=3283>!2aj38?86`;f`8g?>o5;<0;6):ib;070>h3nh0h76g=3783>!2aj38?86`;f`8a?>o5;>0;6):ib;070>h3nh0j76g=3983>!2aj38?86`;f`8:?>o5;h0;6):ib;070>h3nh0376g=3c83>!2aj38?86`;f`84?>o5;j0;6):ib;070>h3nh0=76g=3d83>!2aj38?86`;f`86?>o5;o0;6):ib;070>h3nh0?76g=4083>!2aj38?86`;f`80?>o5<;0;6):ib;070>h3nh0976g=4283>!2aj38?86`;f`82?>o5<<0;6):ib;070>h3nh0;76g:a283>>i2h3nh0:;65`54a94?"3nk0>:=5a4gc953=:k4?:%6ef?3182d?jl4>3:9l126=83.?jo4:619m0cg=9;10c89>:18'0cd==?:0b9hn:038?j30;3:1(9hm:443?k2ai3;;76a:7483>!2aj3?=<6`;f`8e?>i2??0;6):ib;754>h3nh0n76a:4g83>!2aj3?=<6`;f`8g?>i2=80;6):ib;754>h3nh0h76a:5383>!2aj3?=<6`;f`8a?>i2=:0;6):ib;754>h3nh0j76a:5583>!2aj3?=<6`;f`8:?>i2=?0;6):ib;754>h3nh0376a:5683>!2aj3?=<6`;f`84?>i2=10;6):ib;754>h3nh0=76a:5`83>!2aj3?=<6`;f`86?>i2=k0;6):ib;754>h3nh0?76a:5e83>!2aj3?=<6`;f`80?>i2=l0;6):ib;754>h3nh0976a:5g83>!2aj3?=<6`;f`82?>i2>80;6):ib;754>h3nh0;76sm35094?2>290;wA;m1;:x 0232k<:7):ie;032>"28=0>m?5+511911b<3=0=9789:|k14=<72->mn7<>a:l7bd<6?21b><850;&7bg<59h1e8ko51798m744290/8kl520c8j1`f28?07d<=8;29 1`e2;;j7c:ia;37?>o5:00;6):ib;02e>h3nh0:?65f23c94?"3nk09=l5a4gc957=k4?:%6ef?46i2d?jl4i;:k175<72->mn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bd<132c9=94?:%6ef?46i2d?jl4:;:k150<72->mn7<>a:l7bd<332c9=:4?:%6ef?46i2d?jl4<;:k15=<72->mn7<>a:l7bd<532c9=44?:%6ef?46i2d?jl4>;:k15g<72->mn7<>a:l7bd<732c9??4?:%6ef?43<2d?jl4>7:9j616=83.?jo4=459m0cg=9?10e?:k:18'0cd=:=>0b9hn:078?l42:3:1(9hm:367?k2ai3;?76g=5283>!2aj38?86`;f`827>=n:<>1<7*;fc8101=i5<#9:4n5db>47<3`8>;7>5$5da>7233g>mm7??;:k11<<72->mn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bd<>32c9?l4?:%6ef?43<2d?jl47;:k17g<72->mn7<;4:l7bd<032c9?n4?:%6ef?43<2d?jl49;:k17`<72->mn7<;4:l7bd<232c9?k4?:%6ef?43<2d?jl4;;:k104<72->mn7<;4:l7bd<432c98?4?:%6ef?43<2d?jl4=;:k106<72->mn7<;4:l7bd<632c9884?:%6ef?43<2d?jl4?;:k6e6<722e>8h4?:%6ef?3182d?jl4>7:9l10e=83.?jo4:619m0cg=9?10c886:18'0cd==?:0b9hn:078?j31m3:1(9hm:443?k2ai3;?76a:6g83>!2aj3?=<6`;f`827>=h=>:1<7*;fc8625=i5<#4n5db>47<3f?5$5da>0073g>mm7??;:m630<72->mn7;90:l7bd;;4?:%6ef?3182d?jl4j;:m60c<72->mn7;90:l7bd9<4?:%6ef?3182d?jl4l;:m617<72->mn7;90:l7bd9>4?:%6ef?3182d?jl4n;:m611<72->mn7;90:l7bd<>32e>9;4?:%6ef?3182d?jl47;:m612<72->mn7;90:l7bd<032e>954?:%6ef?3182d?jl49;:m61d<72->mn7;90:l7bd<232e>9o4?:%6ef?3182d?jl4;;:m61a<72->mn7;90:l7bd<432e>9h4?:%6ef?3182d?jl4=;:m61c<72->mn7;90:l7bd<632e>:<4?:%6ef?3182d?jl4?;:a712=83>26=4?{M7a5?>|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=911/94?51178j0?520?0q);n6;170>\a039p:9495;45>xo5810;6):ib;02e>h3nh0:;65f20494?"3nk09=l5a4gc953=44?:%6ef?46i2d?jl4>3:9j67g=83.?jo4=1`9m0cg=9;10e?!2aj38:m6`;f`8e?>o5;90;6):ib;02e>h3nh0n76g=0883>!2aj38:m6`;f`8g?>o58k0;6):ib;02e>h3nh0h76g=0b83>!2aj38:m6`;f`8a?>o58m0;6):ib;02e>h3nh0j76g=0d83>!2aj38:m6`;f`8:?>o5990;6):ib;02e>h3nh0376g=1083>!2aj38:m6`;f`84?>o59;0;6):ib;02e>h3nh0=76g=1583>!2aj38:m6`;f`86?>o59<0;6):ib;02e>h3nh0?76g=1683>!2aj38:m6`;f`80?>o5910;6):ib;02e>h3nh0976g=1883>!2aj38:m6`;f`82?>o59k0;6):ib;02e>h3nh0;76g=3383>!2aj38?86`;f`823>=n:=:1<7*;fc8101=i5<#9:4n5db>43<3`8>>7>5$5da>7233g>mm7?;;:k116<72->mn7<;4:l7bd<6;21b>8:50;&7bg<5<=1e8ko51398m732290/8kl52568j1`f28;07d<:7;29 1`e2;>?7c:ia;33?>o5=00;6):ib;070>h3nh0m76g=5`83>!2aj38?86`;f`8f?>o5;:0;6):ib;070>h3nh0o76g=3483>!2aj38?86`;f`8`?>o5;?0;6):ib;070>h3nh0i76g=3683>!2aj38?86`;f`8b?>o5;10;6):ib;070>h3nh0276g=3`83>!2aj38?86`;f`8;?>o5;k0;6):ib;070>h3nh0<76g=3b83>!2aj38?86`;f`85?>o5;l0;6):ib;070>h3nh0>76g=3g83>!2aj38?86`;f`87?>o5<80;6):ib;070>h3nh0876g=4383>!2aj38?86`;f`81?>o5<:0;6):ib;070>h3nh0:76g=4483>!2aj38?86`;f`83?>o2i:0;66a:4d83>!2aj3?=<6`;f`823>=h=5<#4n5db>43<3f?=i7>5$5da>0073g>mm7?;;:m62c<72->mn7;90:l7bd<6;21d9:>50;&7bg<2>91e8ko51398k016290/8kl55728j1`f28;07b;83;29 1`e2<<;7c:ia;33?>i2?<0;6):ib;754>h3nh0m76a:7783>!2aj3?=<6`;f`8f?>i2h3nh0o76a:5083>!2aj3?=<6`;f`8`?>i2=;0;6):ib;754>h3nh0i76a:5283>!2aj3?=<6`;f`8b?>i2==0;6):ib;754>h3nh0276a:5783>!2aj3?=<6`;f`8;?>i2=>0;6):ib;754>h3nh0<76a:5983>!2aj3?=<6`;f`85?>i2=h0;6):ib;754>h3nh0>76a:5c83>!2aj3?=<6`;f`87?>i2=m0;6):ib;754>h3nh0876a:5d83>!2aj3?=<6`;f`81?>i2=o0;6):ib;754>h3nh0:76a:6083>!2aj3?=<6`;f`83?>{em9n1<7<50;2x 0g12:;n7E;ne:k04=<722e?i;4?::ae61=8391<7>t$4c5>`1<@4?:1y'1d0=m>1C9lk4i22:>5<5<53;294~"2i?0n46F:ad9j75?=831b?=o50;9l0`0=831vn;j7:180>5<7s-?j:7k7;I7ba>o4800;66g<0`83>>i3m?0;66sm6e294?5=83:p(8o9:5ge?M3fm2c8<44?::k04d<722e?i;4?::a2a2=8391<7>t$4c5>1ca3A?ji6g<0883>>o48h0;66a;e783>>{e>l:1<7=50;2x 0g12=om7E;ne:k04<<722c8o4800;66g<0`83>>i3m?0;66sm6d694?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`b7`<72:0;6=u+5`490``<@4?:1y'1d0=5;n6f2?6=3thj894?:283>5}#=h<18k>4H4cf?l5713:17d=?a;29?j2b>3:17pl9e383>7<729q/9l8530g8L0gb3`9;47>5;n6f2?6=3th=h;4?:383>5}#=h<1?n:7>5;|`523<72=0;6=u+5`49ag=O=ho0e>>6:188m66f2900e>?>:188k1c12900qo8le;290?6=8r.>m;4ja:J6e`=n;931<75f31c94?=n;8;1<75`4d494?=zj?<36=4;:183!3f>3oj7E;ne:k04<<722c81<7>t$4c5>`d<@1;29?j2b>3:17pl96183>1<729q/9l85ec9K1dc5<5<7>54;294~"2i?0nm6F:ad9j75?=831b?=o50;9j747=831d8h850;9~f303290?6=4?{%7b2?2a92B>mh5f31;94?=n;9k1<75f30394?=h5<3290;w);n6;gg?M3fm2c8<44?::k04d<722c8=<4?::m7a3<722wx5kj50;0xZ<`c34k;97?=5:&64k4n019m1=c=:2wx5kh50;0xZ<`a34k;j7?=5:&6>;4k4n019m1=c=82wxi=650;7xZ`6?34o;h7=?8:?b7`<48016m>o531;89d232::j7p}n4983>12|Vh>370o>4;d:?8g6=3l270o?a;d:?8g7j3l270o?f;d:?8g683l270o;3;d:?8g4=3l270o;0;d:?8g393l270o;2;d:?8e1;3l270o?5;d:?8g7>3l270k=0;d:?8df=3l270ln6;d:?8df?3l270jmf;d:?8bd83l270jlb;d:?8bc83l270jk1;d:?8bc:3l270jk3;d:?8bc<3l270jk5;d:?8bc>3l270jl1;d:?8bd:3l270jl3;d:?8bd<3l270jl5;d:?8bd>3l270jl7;d:?8bd03l270jl9;d:?8bdi3l270jlc;d:?8bdl3l270jle;d:?8bdn3l270ji0;d:?8ba93l270jic;d:?8c793l270k?2;d:?8c7;3l270k?4;d:?8c7=3l270k?6;d:?8c7?3l270ji2;d:?8ba;3l270ji4;d:?8ba=3l270ji6;d:?8ba?3l270ji8;d:?8ba13l270jia;d:?8baj3l270jid;d:?8bam3l270jif;d:?8c783l270o61;310>{ti;l1<7{ti9=1<705a34k;n7?=5:&6m:41e?853938:463<40817f=:;=81>=j4=261>73f349?87<=a:?001<5<91/95h5a1:8j0>b291vl>l:1878g7n3?8j63n118260=:;=>1>?l4=267>72c3-?3j7o?d:l6<`<63tyje46==:l01>:>:33:?8539388i63<43814`=:;=81>>=4$4:e>d6c3g?3i7>4}rc25?6=:r7j=94:3g9>e43=9;?0(86i:`31?k3?m3;0q~o>3;293~;f9<0>?k52353964d<5:>:6?=i;<176?4682788?4=349>712=:;n01>:;:371?!3?n3k:>6`:8d83?xuf<:0;6:u2a51916`<5?nn6>>6;<4g4?57127j894<089>230=;9k01;mj:22:?801039;m6s|a2794?4|5h9>68=i;;1l108<45rs`21>5<5s4k;97;b281vl>;:1848g7>3?8j63<408152=:;=;1>>l4=261>76d349?>7<:9:?001<5:016?9:52208 0>a2h:87c;7e;28yvg413:18v3n428260=:i=;19>h4=`61>44234k8m7=?a:&6=838p1l:?:41e?8g393;996*:8g8b7f=i=1o1>6s|a2f94?5|5h9>6<<:;;b:90>?k526e0975?<5?nn6>>n;<4g0?57127=i=4<089>23>=;8;0q~<6f;297~;f18095k526e:975g<5?nj6>>6;|qb=1<72;q6m4?5a86893c32::27);7f;c:7>h20l0;7p}n9383>7}:i0;1m4<4=7g7>66f3-?3j7o63:l6<`<63tyh:?4?:3y]g34<5h3:6n8=;|qgf3<72>q6hnh552d89`67288>70=;1;071>;4<;09=o523509613<5:>?6??m;<170?43=2.>4k4kb49m1=c=82wxho:50;5x9aeb2<9m70jif;311>;4<8098>52350964?<5:>96?:<;<170?461278894=429'1=`=lk?0b86j:09~wad4290;cnl0:>8523539614<5:>96??7;<176?43:278894=199>712=:=80(86i:e`6?k3?m380q~jm2;293~;ckj0>?k52dgf9573<5:>:6?:>;<176?46?2788?4=409>712=:8=01>:;:362?!3?n3ni96`:8d80?xucj90;6:u2dbc916`<5mli6<<:;<175?46=2788?4=149>714=::l01>:;:336?853<388j6*:8g8gf0=i=1o186s|d`d94?1|5mi268=i;714=:8>01>:=:31f?853<38:863<45817`=#=1l1ho;4n4:f>0=z{mkn6=48{249>717=:8801>:=:331?853:388o63<458157=:;=>1>>m4$4:e>ad23g?3i784}rfb`?6=?r7oo:4:3g9>`c>=9;?01>:>:332?853:38:=63<43817g=:;=>1>75e3-?3j7jm5:l6<`<03tyomn4?:6y>`f0==:l01ih8:006?853938:<63<40817d=:;=81>>o4=267>777349?87<3;9963<40814`=:;=;1>>64=261>75?349?87b201vion:1848bd<3?8j63kf48260=:;=;1>=j4=262>750349?>7<<7:?001<58m16?9:52258 0>a2mh>7c;7e;c8yvbf13:1;v3kc2867c=:lo>1=?;4=262>76d349?=7<<6:?007<5;?16?9:521a896232;9=7);7f;fa1>h20l0i7p}ka983>2}:lj819>h4=ed0>442349?=7"20o0on85a59g9g>{tlh=1<79t=ea2>05a34nm>7?=5:?004<58016?9?5221896252;:270=;4;03=>;4<=09?>5+59d9`g35<0s4no:7;;4<=09?=52356960g<,<2m6il:;o7;a?c57z?g`0<2;o16i=85137896262;8m70=;1;06=>;4<;09>k52356967`<5:>?6?;6;%7;b?be=2d>4h4i;|qgff<72>q6hi:552d89`62288>70=;1;01`>;4<8099:52350967b<5:>96?;8;<170?42?2.>4k4kb49m1=c=991vilm:1848bc;3?8j63j058260=:;=;1>?l4=262>732349?>7<=b:?007<5=<16?9:52478 0>a2mh>7c;7e;32?xucjh0;6:u2de0916`<5l:86<<:;<175?45i2788<4=559>714=:;k01>:=:377?853<38>86*:8g8gf0=i=1o1=?5rse`:>5<0s4no=7;;4<;099>523569605<,<2m6il:;o7;a?743tyon54?:6y>`a6==:l01h>>:006?8539389463<408117=:;=81>?64=261>735349?87<=8:&6;cnj0:>8523539675<5:>:6?:k;<176?45;2788?4=4e9>712=:;90(86i:e`6?k3?m3;>7p}kb083>2}:lj:19>h4=ed2>442349?=7<>6:?004<5<916?9<5204896252;>;70=;4;022>"20o0on85a59g953=z{mk=6=48{249>717=:9201>:>:311?853:38;463<438177=:;=>1>=64$4:e>ad23g?3i7?8;|qga2<72;q6i=>552d891bc2;;i7);7f;ff2>h20l0;7p}ke483>7}:lol19>h4=5fg>77>3-?3j7jj6:l6<`<63tyoi94?:3y>`cc==:l019jk:33;?!3?n3nn:6`:8d81?xucm:0;6?u2dgf916`<5=no6??8;%7;b?bb>2d>4h4<;|qga4<72;q6hkl552d891bc2;;>7);7f;ff2>h20l0?7p}ke183>7}:lok19>h4=5fg>7733-?3j7jj6:l6<`<23tyohk4?:3y>`c?==:l019jk:331?!3?n3nn:6`:8d85?xucll0;6?u2dg:916`<5=no6??>;%7;b?bb>2d>4h48;|qg`a<72;q6hk9552d891bc2;;;7);7f;ff2>h20l037p}kdb83>7}:lo<19>h4=5fg>76b3-?3j7jj6:l6<`<>3tyoho4?:3y>`c3==:l019jk:32g?!3?n3nn:6`:8d8b?xuclh0;6?u2dg6916`<5=no6?>l;%7;b?bb>2d>4h4m;|qg`<<72;q6hk=552d891bc2;:i7);7f;ff2>h20l0h7p}kd983>7}:lo819>h4=5fg>76>3-?3j7jj6:l6<`a51==:l019jk:313?!3?n3nn:6`:8d8f?xucml0;6?u2e14916`<5=no6?2d>4h4i;|qgaa<72;q6i=;552d891bc2;8o7);7f;ff2>h20l0:<6s|dda94?4|5l:?68=i;<6g`?45j2.>4k4ke79m1=c=981vikm:1818c7;3?8j63;de816d=#=1l1hh84n4:f>4452z?f47<2;o168ij523;8 0>a2mo=7c;7e;30?xucm00;6?u2e13916`<5=no6?<7;%7;b?bb>2d>4h4>4:p``>=838p1ihl:41e?82cl389?6*:8g8ga3=i=1o1=85rseg1>5<5s4nm=7;b28<0q~jk7;296~;cn90>?k524ef965><,<2m6ik9;o7;a?703tyi4:4?:9y>fd1==:l01>=>:34a?82c93?j?639cd8054=:=9n1?67634<=>7=?9:?1e4<4801/95h5b948j0>b291vo6::18;8df>3?8j63<30812<=:;=919l=4=7af>66f34?;h7=?9:?525<48h16:;<531c897g62::j7);7f;`;2>h20l0:7p}m8583><}:jh?19>h4=212>73d349?=7;n3:?007<2i:16?9:55`18906c2::j70890;13=>;1>;08=<522`39747<,<2m6o69;o7;a?452z?f65<2<916:;854d48yv0dl3:1>v39d1804d=:>jo18h84}r453?6=fd0===:01oo8:463?80103>n:6s|a2494?5|5l8;6898;27=:;4<089~w3b62909w08k2;6f2>;1l=085<5s4n:639d`804d=z{m3o6=4={_f:`>;3lm0>:<5+59d9`5<5sWn2n63;de861c=#=1l1h4m4n4:f>4=z{m3j6=4={_f:e>;3lm0>9h5+59d9`5<5sWn2563;de861a=#=1l1h4m4n4:f>6=z{m3<6=4={_f:3>;3lm0>9o5+59d9`5<5sWn2:63;de861d=#=1l1h4m4n4:f>0=z{m3>6=4={_f:1>;3lm0>955+59d9`5<5sWn2863;de8612=#=1l1h4m4n4:f>2=z{m386=4={_f:7>;3lm0>9;5+59d9`5<5sWn2>63;de8611=#=1l1h4m4n4:f><=z{m3:6=4={_f:5>;3lm0>9>5+59d9`5<5sWn2<63;de8617=#=1l1h4m4n4:f>g=z{m2m6=4={_f;b>;3lm0>9<5+59d9`5<5sWn3i63;de860c=#=1l1h4m4n4:f>a=z{mk>6=4={_fb1>;3lm0>;;5+59d9`5<5sWnj863;de8630=#=1l1h4m4n4:f>c=z{mk86=4={_fb7>;3lm0>;>5+59d9`4}rfb6?6=:rTom?524ef9127<,<2m6i7l;o7;a?763tyom<4?:3y]`d7<5=no689?;%7;b?b>k2d>4h4>2:p`d6=838pRio?;<6g`?31n2.>4k4k9b9m1=c=9:1vi7i:181[b>n27?hi4:6d9'1=`=l0i0b86j:068yvb>m3:1>vPk9d9>0ab==?30(86i:e;`?k3?m3;>7p}k9983>7}Yl02019jk:47`?!3?n3n2o6`:8d822>{tl1n1<75<5s4hj;7?=5:?074<2>01/95h5b9c8j0>b291vo66:1818df>3;9963<30861f=#=1l1n5o4n4:f>4=z{k236=4={<`b1?75=278?<4:4d9'1=`=j1k0b86j:39~w3ea2909w08k2;13e>;1l90?i;5rs7f0>5<5s4n:639e3804==z{?n26=4={<4ge?2b>27=hn4<099~w3c42909w0:kd;7b7>;1m=0?i;5rs42`>5<3s4i=?7?=5:?523<498169=j54d4893032::27p}95g83>7}:>?:18h84=747>66f3ty=:<4?:3y>234=;%7;b?d>j2d>4h4?;|qa=d<72;q6hnk5137891b62h20l0:7p}m9883>7}:ljn1=?;4=5f2>03b3-?3j7l6b:l6<`<53tyi554?:3y>`fe=9;?019j>:47g?!3?n3h2n6`:8d80?xue1?0;6?u2dbc9573<5=n:68;m;%7;b?d>j2d>4h4;;|qa=0<72;q6hn75137891b62h20l0>7p}m9583>7}:lj21=?;4=5f2>03?3-?3j7l6b:l6<`<13tyi5>4?:3y>`f1=9;?019j>:474?!3?n3h2n6`:8d84?xue1;0;6?u2db49573<5=n:68;9;%7;b?d>j2d>4h47;|qa=4<72;q6hn;5137891b62h20l027p}m9183>7}:lj>1=?;4=5f2>0343-?3j7l6b:l6<``f5=9;?019j>:471?!3?n3h2n6`:8d8a?xue0l0;6?u2db09573<5=n:68;>;%7;b?d>j2d>4h4l;|qam7);7f;`:f>h20l0o7p}ma583>7}:lm<1=?;4=5f2>0113-?3j7l6b:l6<`4?:3y>`a3=9;?019j>:456?!3?n3h2n6`:8d8e?xuei;0;6?u2de69573<5=n:689<;%7;b?d>j2d>4h4>0:pfd7=838p1ij<:006?82c93?<=6*:8g8a=g=i=1o1=<5rscc3>5<5s4no>7?=5:?7`4<2?91/95h5b8`8j0>b2880q~l6f;296~;cl80:>8524e3913`<,<2m6o7m;o7;a?743tyi5h4?:3y>`a6=9;?019j>:44f?!3?n3h2n6`:8d820>{tj0n1<744234>o=7;99:&6;3l80>9n5+59d9f249>0a7===o0(86i:c;a?k3?m3;<7p}99b83>7}:0063-?3j786b:l6<`<73ty=m:4?:3y>0a7=:8301>:<:47e?!3?n3<2n6`:8d82?xu1ij0;6?u24e3964><5:>868;j;%7;b?0>j2d>4h4=;|q5ea<72;q68i?5205896242h20l087p}9ad83>7}:<;4=260>03e3-?3j786b:l6<`<33ty=mk4?:3y>0a7=:8>01>:<:47b?!3?n3<2n6`:8d86?xu1j90;6?u24e39644<5:>868;7;%7;b?0>j2d>4h49;|q5f4<72;q68i?5203896242h20l0<7p}9b383>7}:<>4=260>0313-?3j786b:l6<`4?:3y>0a7=:9o01>:<:477?!3?n3<2n6`:8d8:?xu11m0;6?u24e3965b<5:>868;<;%7;b?0>j2d>4h4n;|q5=`<72;q68i?521a896242h20l0i7p}99g83>7}:=l4=260>0363-?3j786b:l6<`0a7=:9301>:<:46e?!3?n3<2n6`:8d8g?xu1i80;6?u24e39666<5:>86899;%7;b?0>j2d>4h4j;|q5e7<72;q68i?523d896242<=>7);7f;4:f>h20l0m7p}9a283>7}:?j4=260>0143-?3j786b:l6<`<682wx:l:50;0x91b62;8i70=;3;745>"20o0=5o5a59g954=z{?k>6=4={<6g5?45i2788>4:719'1=`=>0h0b86j:008yv0f>3:1>v3;d0816<=:;=919;h4$4:e>3?e3g?3i7?<;|q5e=<72;q68i?523:896242<h20l0:86s|6`;94?4|5=n:6?<<;<177?3112.>4k499c9m1=c=9<1v;on:18182c938::63<42861f=#=1l1:4l4n4:f>4052z?7`4<58116?9=555g8 0>a2?3i7c;7e;34?xu1>h0;6?u2351964d<5:>:688>;%7;b?0112d>4h4?;|q530<72;q6?9=520;896262h20l0:7p}97`83>7}:;=91><64=262>03b3-?3j7899:l6<`<53ty=;o4?:3y>715=:8=01>:>:47g?!3?n3<=56`:8d80?xu1?j0;6?u23519643<5:>:68;m;%7;b?0112d>4h4;;|q53a<72;q6?9=5206896262h20l0>7p}97d83>7}:;=91><<4=262>03?3-?3j7899:l6<`<13ty=;k4?:3y>715=:8;01>:>:474?!3?n3<=56`:8d84?xu1090;6?u23519646<5:>:68;9;%7;b?0112d>4h47;|q5<4<72;q6?9=521g896262h20l027p}96c83>7}:;=91>=j4=262>0343-?3j7899:l6<`715=:9i01>:>:471?!3?n3<=56`:8d8a?xu1>m0;6?u2351965d<5:>:68;>;%7;b?0112d>4h4l;|q52`<72;q6?9=521;896262<>m7);7f;45=>h20l0o7p}96g83>7}:;=91>>>4=262>0113-?3j7899:l6<`715=:;l01>:>:456?!3?n3<=56`:8d8e?xu1?80;6?u2351967b<5:>:689<;%7;b?0112d>4h4>0:p224=838p1>:<:30a?85393?<=6*:8g852<=i=1o1=<5rs750>5<5s49??7<=a:?004<2?91/95h567;8j0>b2880q~884;296~;4<:09>452353913`<,<2m6;86;o7;a?743ty=;;4?:3y>715=:;201>:>:44f?!3?n3<=56`:8d820>{t>>=1<7744349?=7;99:&601e95k5149~w31?2909w0=;3;022>;4<80>9n5+59d923?4=099>717===o0(86i:74:?k3?m3;<7p}98283>7}:;=91>9;4=261>0063-?3j7872:l6<`<73ty=4h4?:3y>715=:=901>:=:47e?!3?n3<3>6`:8d82?xu11:0;6?u23519614<5:>968;j;%7;b?0?:2d>4h4=;|q5=1<72;q6?9=5253896252h20l087p}99483>7}:;=91>>h4=261>03e3-?3j7872:l6<`<33ty=5;4?:3y>715=::o01>:=:47b?!3?n3<3>6`:8d86?xu11>0;6?u2351966e<5:>968;7;%7;b?0?:2d>4h49;|q5==<72;q6?9=522`896252h20l0<7p}99883>7}:;=91>>o4=261>0313-?3j7872:l6<`715=::201>:=:477?!3?n3<3>6`:8d8:?xu10=0;6?u23519661<5:>968;<;%7;b?0?:2d>4h4n;|q5<0<72;q6?9=5224896252h20l0i7p}98783>7}:;=91>>;4=261>0363-?3j7872:l6<`715=::901>:=:46e?!3?n3<3>6`:8d8g?xu1010;6?u2351960g<5:>96899;%7;b?0?:2d>4h4j;|q5<<<72;q6?9=524;896252<=>7);7f;4;6>h20l0m7p}98`83>7}:;=91>894=261>0143-?3j7872:l6<`<682wx:5l50;0x96242;?>70=;2;745>"20o0=4?5a59g954=z{?2h6=4={<177?42<2788?4:719'1=`=>180b86j:008yv0?l3:1>v3<428116=:;=819;h4$4:e>3>53g?3i7?<;|q5h20l0:86s|68294?4|5:>86?:k;<176?3112.>4k49839m1=c=9<1v;7>:181853;38?<63<43861f=#=1l1:5<4n4:f>407>52z?006<5;;16?9<555g8 0>a2?297c;7e;34?xu1j<0;6?u24e39613<5:>?688>;%7;b?0e<2d>4h4?;|q5g5<72;q68i?5251896232h20l0:7p}9c483>7}:9<4=267>03b3-?3j78m4:l6<`<53ty=o;4?:3y>0a7=:=;01>:;:47g?!3?n30;6?u24e3966`<5:>?68;m;%7;b?0e<2d>4h4;;|q5g=<72;q68i?522g896232h20l0>7p}9c883>7}:>m4=267>03?3-?3j78m4:l6<`<13ty=ol4?:3y>0a7=::h01>:;:474?!3?n3?68;9;%7;b?0e<2d>4h47;|q5gf<72;q68i?522:896232h20l027p}9b783>7}:>94=267>0343-?3j78m4:l6<`0a7=::<01>:;:471?!3?n3?68;>;%7;b?0e<2d>4h4l;|q5f<<72;q68i?5221896232<>m7);7f;4a0>h20l0o7p}9b`83>7}:8o4=267>0113-?3j78m4:l6<`0a7=:<301>:;:456?!3?n3?689<;%7;b?0e<2d>4h4>0:p2gb=838p19j>:376?853<3?<=6*:8g85f1=i=1o1=<5rs7`f>5<5s4>o=7<:4:?001<2?91/95h56c68j0>b2880q~8mf;296~;3l8099>52356913`<,<2m6;l;;o7;a?743ty=o<4?:3y>0a7=:<801>:;:44f?!3?n3{t>j81<772c349?87;99:&6;4<=0>9n5+59d92g2712===o0(86i:7`7?k3?m3;<7p}96283>7}:>?21?=74=747>1c13ty9m=4?:3y>e61=;9k01?o>:5g5?xu3m;0;6<7t=4ce>7?e34>oh7<<2:?7`a<5<9168ij525f891bc2;?970:kd;067>;3lm0999524ef9603<5=no6?;8;<6g`?42127?hi4=5`9>0ab=::9019jk:316?82cl388:63;de8172=:>64=5fg>75f34>oh7<;3lm098?524ef9615<5=no6?::;|qf4f<72mq6m<:555289d722<>;70o?a;774>;f8k0>8=52a1d9116<5h;;68:?;e14==>=01l>::463?8g7>3??<63n9086e4=:m9n18h84}rc0b?6=:r7j8>4:419>e6c=8=52a2c90`052z?`26<2<916m9:54d48yv0b93:18v3ma4824<=:jh<1==74=cc4>46>347:j6:p2a3=83;2w0jmf;33=>;ck90:<452db`955?<5mn;6<>6;089>`a5=99301ij;:02:?8bc=3;;563kd7824<=:lj;1==74=ea1>46>34nh?7??9:?gg1<68016hn;511;89ae128:270jl7;33=>;ck10:<452db;955?<5mij6<>6;089>`fc=99301imi:02:?80c>3>n:6s|6e`94?7>s4nm<7??9:?gb4<68016hkm511;89`6628:270k?2;33=>;b8:0:<452e16955?<5l:>6<>6;089>`c4=99301ih<:02:?8ba<3;;563kf4824<=:lo<1==74=ed4>46>34nm47??9:?gb<<68016hko511;89a`e28:270jid;33=>;cnl0:<452dgd955?<5l:;6<>6;<4gg?2b>2wvnoom:1815?042okp@8l?:0yK7764603g?2>7?=9:'1d0=jh20Vk652z;`>31=uS?3h7?>{`793<<0i3=i6:659384g?142>>15>482;;7>3e=>h0=4786:7`9ylg793:1(9hm:`23?k2ai3:07d7if;29 1`e2h:;7c:ia;38?l?am3:1(9hm:`23?k2ai3807d7id;29 1`e2h:;7c:ia;18?lc703:17do;8;29?lg5n3:17dl:a;29?jb>l3:1(9hm:e;`?k2ai3:07bj6b;29 1`e2m3h7c:ia;38?jb>i3:1(9hm:e;`?k2ai3807bj69;29 1`e2m3h7c:ia;18?jb>?3:1(9hm:e;`?k2ai3>07bj66;29 1`e2m3h7c:ia;78?jb>=3:1(9hm:e;`?k2ai3<07bj64;29 1`e2m3h7c:ia;58?jb>;3:1(9hm:e;`?k2ai3207bj62;29 1`e2m3h7c:ia;;8?jb>93:1(9hm:e;`?k2ai3k07bj60;29 1`e2m3h7c:ia;`8?jb?n3:1(9hm:e;`?k2ai3i07bj7e;29 1`e2m3h7c:ia;f8?jbf=3:1(9hm:e;`?k2ai3o07bjn4;29 1`e2m3h7c:ia;d8?jbf;3:1(9hm:e;`?k2ai3;;76aka383>!2aj3n2o6`;f`825>=hlh;1<7*;fc8g=f=i5<#45<3fn2j7>5$5da>a?d3g>mm7?;;:mg=`<72->mn7j6c:l7bd<6=21dh4650;&7bgc290/8kl5d8a8j1`f28=07bm92;29?g3fn3:1=7>50z&6e3<51j1C9lk4o3;a>5<54;294~"2i?095>5G5`g8H0d728q/?5<4>049~mc?=831b=?;50;9j116=831d9>h50;9~fd72290?6=4?{%7b2?4>;2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6:<0;66g:4183>>i2;o0;66sma1c94?2=83:p(8o9:3;0?M3fm2F>n=4>{%12`?64623tcm57>5;h311?6=3`??<7>5;n70b?6=3thj5}#=h<1>4=4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<<::188m0272900c8=i:188ygg7n3:187>50z&6e3<51:1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9;?1<75f55294?=h=:l1<75rb`33>5<3290;w);n6;0:7>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k260<722c>8=4?::m67c<722wim9=50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d?=5;29?l3383:17b;4}#=0;1==;4}hd:>5<6=44i463>5<2wG9o?51z&6=4<68<1vek750;9j573=831b9:950;9l16`=831vnl:>:187>5<7s-?j:7<66:J6e`=K=k:1=v*<1e85?xJ2j80:w);61;331>{nn00;66g>2483>>o2?>0;66a:3g83>>{ei=81<7:50;2x 0g12;3=7E;ne:N6f5<6s-9:h784}M7a5?7|,<3:6<>:;|ke=?6=3`;997>5;h743?6=3f?8j7>5;|``26<72=0;6=u+5`496<5<@:0y'1<7=99?0qdh6:188m4422900e8:?:188k05a2900qoo?5;290?6=8r.>m;4=929K1dc{K=k;1=v*:908240=zao31<75f13794?=n==:1<75`52d94?=zjh:=6=4;:183!3f>382?6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:>84?::k605<722e>?k4?::aa76=83?1<7>t$4c5>7?23A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l75=3:17d;;0;29?l30?3:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1==;4}hd:>5<5<;6=44o41e>5<55;294~"2i?09595G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831b99>50;9l16`=831vnoo8:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66g:4183>>i2;o0;66smdcd94?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thoo=4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygbdj3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbef3>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihi?50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elm?1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`g`3<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojl1;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmi96=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`f5=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkc583>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fae1290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdb594?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thoo54?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygbd13:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbeab>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihnm50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elo:1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`gb4<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojic;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjl::6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::aa54=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plj0283>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~f`62290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66sme1494?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thn<:4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygba:3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbed0>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihk:50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elo21<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`gb<<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojia;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmli6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`cb=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkfd83>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~f`67290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66sma8394?>=83:p@8l>:7y'112=j=30b?76:29m62.95546ab9'1<7=99?0b87=:5`8y!3f>3k2=6gl6283>>oe=h0;66g>2583>>o2i80;66a=9g83>>if1=0;66an9383>>id>;0;66sm4ef94?2>290;wA;m1;:x 0232k<:7):ie;032>"28=0>m?5+511911b7b=0=9789:|k14=<72->mn7<>a:l7bd<6?21b><850;&7bg<59h1e8ko51798m744290/8kl520c8j1`f28?07d<=8;29 1`e2;;j7c:ia;37?>o5:00;6):ib;02e>h3nh0:?65f23c94?"3nk09=l5a4gc957=k4?:%6ef?46i2d?jl4i;:k175<72->mn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bd<132c9=94?:%6ef?46i2d?jl4:;:k150<72->mn7<>a:l7bd<332c9=:4?:%6ef?46i2d?jl4<;:k15=<72->mn7<>a:l7bd<532c9=44?:%6ef?46i2d?jl4>;:k15g<72->mn7<>a:l7bd<732c9??4?:%6ef?43<2d?jl4>7:9j616=83.?jo4=459m0cg=9?10e?:k:18'0cd=:=>0b9hn:078?l42:3:1(9hm:367?k2ai3;?76g=5283>!2aj38?86`;f`827>=n:<>1<7*;fc8101=i5<#9:4n5db>47<3`8>;7>5$5da>7233g>mm7??;:k11<<72->mn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bd<>32c9?l4?:%6ef?43<2d?jl47;:k17g<72->mn7<;4:l7bd<032c9?n4?:%6ef?43<2d?jl49;:k17`<72->mn7<;4:l7bd<232c9?k4?:%6ef?43<2d?jl4;;:k104<72->mn7<;4:l7bd<432c98?4?:%6ef?43<2d?jl4=;:k106<72->mn7<;4:l7bd<632c9884?:%6ef?43<2d?jl4?;:k6e6<722e>8h4?:%6ef?3182d?jl4>7:9l10e=83.?jo4:619m0cg=9?10c886:18'0cd==?:0b9hn:078?j31m3:1(9hm:443?k2ai3;?76a:6g83>!2aj3?=<6`;f`827>=h=>:1<7*;fc8625=i5<#4n5db>47<3f?5$5da>0073g>mm7??;:m630<72->mn7;90:l7bd;;4?:%6ef?3182d?jl4j;:m60c<72->mn7;90:l7bd9<4?:%6ef?3182d?jl4l;:m617<72->mn7;90:l7bd9>4?:%6ef?3182d?jl4n;:m611<72->mn7;90:l7bd<>32e>9;4?:%6ef?3182d?jl47;:m612<72->mn7;90:l7bd<032e>954?:%6ef?3182d?jl49;:m61d<72->mn7;90:l7bd<232e>9o4?:%6ef?3182d?jl4;;:m61a<72->mn7;90:l7bd<432e>9h4?:%6ef?3182d?jl4=;:m61c<72->mn7;90:l7bd<632e>:<4?:%6ef?3182d?jl4?;:a767=8331<7>tL4`2>2}#==>15<64$422>73e3-?j47;4$420>02c3-?j;7>4$4;2>1c43g?2>7:k9:'1d0=;:;0Vk653z::>=g=0j0ve?;l:18'0cd=:?k0b9hn:398m70>290/8kl527c8j1`f2810e?8m:18'0cd=:?k0b9hn:198m711290/8kl52968j1`f2;10e?6<:18'0cd=:1>0b9hn:098m7>2290/8kl52968j1`f2910c8:j:18'0cd==?20b9hn:398k03d290/8kl557:8j1`f2810c886:18'0cd==?20b9hn:198yg2c93:1844?:1yO1g7=0r.>894m609'0cc=:9<0(8>;:4c1?!37;3??h6`:9181?k3fj3;37);61;331>h21;0296s+5`490a7>4?:%6ef?46i2d?jl4>5:9j67>=83.?jo4=1`9m0cg=9=10e?<6:18'0cd=:8k0b9hn:018?l45i3:1(9hm:33b?k2ai3;976g=2c83>!2aj38:m6`;f`825>=n:;n1<7*;fc815d=i5<#c=5<#a=5<#g=5<#<=5<#2=5<#0=6=4+4g`964g5<#6=5<#4=5<#9:4n5db>41<3`8?<7>5$5da>7233g>mm7?9;:k10a<72->mn7<;4:l7bd<6=21b>8<50;&7bg<5<=1e8ko51598m734290/8kl52568j1`f28907d<:4;29 1`e2;>?7c:ia;31?>o5=<0;6):ib;070>h3nh0:=65f24594?"3nk09895a4gc955=5<#9:4n5db>`=5<#9:4n5db>f=5<#9:4n5db>d=5<#9:4n5db>==5<#9:4n5db>3=5<#9:4n5db>1=:6=4+4g`961254i361>5<#9:4n5db>7=86=4+4g`96125<#9:4n5db>5=5<#4n5db>41<3f?>o7>5$5da>0073g>mm7?9;:m62<<72->mn7;90:l7bd<6=21d9;k50;&7bg<2>91e8ko51598k00a290/8kl55728j1`f28907b;80;29 1`e2<<;7c:ia;31?>i2?80;6):ib;754>h3nh0:=65`56194?"3nk0>:=5a4gc955=6=4+4g`91365<#4n5db>`=m6=4+4g`91365<#4n5db>f=5<#4n5db>d=5<#4n5db>==5<#4n5db>3=5<#4n5db>1=54o47f>5<#4n5db>7=5<#4n5db>5=54883>5}K=k;14v*:458a24=#=84$427>0g53-?;?7;;d:l6=5<53g?jn7?7;%7:5?77=2d>5?465:'1d0=;=90Vk653z47>33=>?0ve?>7:18'0cd=:8k0b9hn:058?l46>3:1(9hm:33b?k2ai3;=76g=2283>!2aj38:m6`;f`821>=n:;21<7*;fc815d=i5<#45<3`89m7>5$5da>77f3g>mm7?=;:k16g<72->mn7<>a:l7bd<6921b>?j50;&7bg<59h1e8ko51198m74a290/8kl520c8j1`f2o10e?=?:18'0cd=:8k0b9hn:d98m76>290/8kl520c8j1`f2m10e?>m:18'0cd=:8k0b9hn:b98m76d290/8kl520c8j1`f2k10e?>k:18'0cd=:8k0b9hn:`98m76b290/8kl520c8j1`f2010e???:18'0cd=:8k0b9hn:998m776290/8kl520c8j1`f2>10e??=:18'0cd=:8k0b9hn:798m773290/8kl520c8j1`f2<10e??::18'0cd=:8k0b9hn:598m770290/8kl520c8j1`f2:10e??7:18'0cd=:8k0b9hn:398m77>290/8kl520c8j1`f2810e??m:18'0cd=:8k0b9hn:198m755290/8kl52568j1`f28=07d<;0;29 1`e2;>?7c:ia;35?>o5h3nh0:965f24094?"3nk09895a4gc951=1:9j601=83.?jo4=459m0cg=9910e?;6:18'0cd=:=>0b9hn:g98m73f290/8kl52568j1`f2l10e?=<:18'0cd=:=>0b9hn:e98m752290/8kl52568j1`f2j10e?=9:18'0cd=:=>0b9hn:c98m750290/8kl52568j1`f2h10e?=7:18'0cd=:=>0b9hn:898m75f290/8kl52568j1`f2110e?=m:18'0cd=:=>0b9hn:698m75d290/8kl52568j1`f2?10e?=j:18'0cd=:=>0b9hn:498m75a290/8kl52568j1`f2=10e?:>:18'0cd=:=>0b9hn:298m725290/8kl52568j1`f2;10e?:<:18'0cd=:=>0b9hn:098m722290/8kl52568j1`f2910e8o<:188k02b290/8kl55728j1`f28=07b;:c;29 1`e2<<;7c:ia;35?>i2>00;6):ib;754>h3nh0:965`57g94?"3nk0>:=5a4gc951=;<4?:%6ef?3182d?jl4>1:9l125=83.?jo4:619m0cg=9910c89::18'0cd==?:0b9hn:g98k011290/8kl55728j1`f2l10c8:i:18'0cd==?:0b9hn:e98k036290/8kl55728j1`f2j10c8;=:18'0cd==?:0b9hn:c98k034290/8kl55728j1`f2h10c8;;:18'0cd==?:0b9hn:898k031290/8kl55728j1`f2110c8;8:18'0cd==?:0b9hn:698k03?290/8kl55728j1`f2?10c8;n:18'0cd==?:0b9hn:498k03e290/8kl55728j1`f2=10c8;k:18'0cd==?:0b9hn:298k03b290/8kl55728j1`f2;10c8;i:18'0cd==?:0b9hn:098k006290/8kl55728j1`f2910qo=;1;290<<729qG9o?58z&60181/8kk52148 0632h219097c;nb;3;?!3>93;;96`:938:1>{#=h<1?9?4Zg:97~032??1:;4ri32;>5<#41<3`8::7>5$5da>77f3g>mm7?9;:k166<72->mn7<>a:l7bd<6=21b>?650;&7bg<59h1e8ko51598m74>290/8kl520c8j1`f28907d<=a;29 1`e2;;j7c:ia;31?>o5:k0;6):ib;02e>h3nh0:=65f23f94?"3nk09=l5a4gc955=5<#`=5<#f=5<#d=5<#==5<#3=5<#1=54i33;>5<#7=5<#5=5:9j604=83.?jo4=459m0cg=9=10e?;<:18'0cd=:=>0b9hn:018?l42<3:1(9hm:367?k2ai3;976g=5483>!2aj38?86`;f`825>=n:<=1<7*;fc8101=i5<#9:4n5db>c=5<#9:4n5db>a=6=4+4g`96125<#9:4n5db>g=5<#9:4n5db><=5<#9:4n5db>2=5<#9:4n5db>0=5<#9:4n5db>6=96=4+4g`96125<#9:4n5db>4=>6=4+4g`96125<n6=4+4g`9136:44?:%6ef?3182d?jl4>5:9l13c=83.?jo4:619m0cg=9=10c88i:18'0cd==?:0b9hn:018?j3083:1(9hm:443?k2ai3;976a:7083>!2aj3?=<6`;f`825>=h=>91<7*;fc8625=i5<#4n5db>c=5<#4n5db>a=5<#4n5db>g=5<#4n5db><=5<#4n5db>2=5<#4n5db>0=5<#4n5db>6=5<#4n5db>4=5=4=;o7bf?7?3-?2=7??5:l6=7<>=2w/9l853508^c>=;r6;29 1`e2;;j7c:ia;35?>o5::0;6):ib;02e>h3nh0:965f23:94?"3nk09=l5a4gc951=o4?:%6ef?46i2d?jl4>1:9j67b=83.?jo4=1`9m0cg=9910e?6:18'0cd=:8k0b9hn:e98m76e290/8kl520c8j1`f2j10e?>l:18'0cd=:8k0b9hn:c98m76c290/8kl520c8j1`f2h10e?>j:18'0cd=:8k0b9hn:898m777290/8kl520c8j1`f2110e??>:18'0cd=:8k0b9hn:698m775290/8kl520c8j1`f2?10e??;:18'0cd=:8k0b9hn:498m772290/8kl520c8j1`f2=10e??8:18'0cd=:8k0b9hn:298m77?290/8kl520c8j1`f2;10e??6:18'0cd=:8k0b9hn:098m77e290/8kl520c8j1`f2910e?==:18'0cd=:=>0b9hn:058?l4383:1(9hm:367?k2ai3;=76g=4e83>!2aj38?86`;f`821>=n:<81<7*;fc8101=i5<#9:4n5db>45<3`8>87>5$5da>7233g>mm7?=;:k110<72->mn7<;4:l7bd<6921b>8950;&7bg<5<=1e8ko51198m73>290/8kl52568j1`f2o10e?;n:18'0cd=:=>0b9hn:d98m754290/8kl52568j1`f2m10e?=::18'0cd=:=>0b9hn:b98m751290/8kl52568j1`f2k10e?=8:18'0cd=:=>0b9hn:`98m75?290/8kl52568j1`f2010e?=n:18'0cd=:=>0b9hn:998m75e290/8kl52568j1`f2>10e?=l:18'0cd=:=>0b9hn:798m75b290/8kl52568j1`f2<10e?=i:18'0cd=:=>0b9hn:598m726290/8kl52568j1`f2:10e?:=:18'0cd=:=>0b9hn:398m724290/8kl52568j1`f2810e?:::18'0cd=:=>0b9hn:198m0g42900c8:j:18'0cd==?:0b9hn:058?j32k3:1(9hm:443?k2ai3;=76a:6883>!2aj3?=<6`;f`821>=h=?o1<7*;fc8625=i5<#4n5db>45<3f?<<7>5$5da>0073g>mm7?=;:m634<72->mn7;90:l7bd<6921d9:=50;&7bg<2>91e8ko51198k012290/8kl55728j1`f2o10c899:18'0cd==?:0b9hn:d98k02a290/8kl55728j1`f2m10c8;>:18'0cd==?:0b9hn:b98k035290/8kl55728j1`f2k10c8;<:18'0cd==?:0b9hn:`98k033290/8kl55728j1`f2010c8;9:18'0cd==?:0b9hn:998k030290/8kl55728j1`f2>10c8;7:18'0cd==?:0b9hn:798k03f290/8kl55728j1`f2<10c8;m:18'0cd==?:0b9hn:598k03c290/8kl55728j1`f2:10c8;j:18'0cd==?:0b9hn:398k03a290/8kl55728j1`f2810c88>:18'0cd==?:0b9hn:198yg53<3:1844?:1yO1g7=0r.>894m609'0cc=:9<0(8>;:4c1?!37;3??h6`:9181?k3fj3;37);61;331>h21;0296s+5`49712>4?:%6ef?46i2d?jl4>5:9j67>=83.?jo4=1`9m0cg=9=10e?<6:18'0cd=:8k0b9hn:018?l45i3:1(9hm:33b?k2ai3;976g=2c83>!2aj38:m6`;f`825>=n:;n1<7*;fc815d=i5<#c=5<#a=5<#g=5<#<=5<#2=5<#0=6=4+4g`964g5<#6=5<#4=5<#9:4n5db>41<3`8?<7>5$5da>7233g>mm7?9;:k10a<72->mn7<;4:l7bd<6=21b>8<50;&7bg<5<=1e8ko51598m734290/8kl52568j1`f28907d<:4;29 1`e2;>?7c:ia;31?>o5=<0;6):ib;070>h3nh0:=65f24594?"3nk09895a4gc955=5<#9:4n5db>`=5<#9:4n5db>f=5<#9:4n5db>d=5<#9:4n5db>==5<#9:4n5db>3=5<#9:4n5db>1=:6=4+4g`961254i361>5<#9:4n5db>7=86=4+4g`96125<#9:4n5db>5=5<#4n5db>41<3f?>o7>5$5da>0073g>mm7?9;:m62<<72->mn7;90:l7bd<6=21d9;k50;&7bg<2>91e8ko51598k00a290/8kl55728j1`f28907b;80;29 1`e2<<;7c:ia;31?>i2?80;6):ib;754>h3nh0:=65`56194?"3nk0>:=5a4gc955=6=4+4g`91365<#4n5db>`=m6=4+4g`91365<#4n5db>f=5<#4n5db>d=5<#4n5db>==5<#4n5db>3=5<#4n5db>1=54o47f>5<#4n5db>7=5<#4n5db>5=52;294~"2i?08=h5G5`g8m66?2900c9k9:188ygg4?3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=zj?n96=4<:183!3f>3o<7E;ne:k04<<722c83:17pl9d983>6<729q/9l85e99K1dc5<>6:188m66f2900c9k9:188yg0c<3:1?7>50z&6e3<3mo1C9lk4i22:>5<5<53;294~"2i?0?ik5G5`g8m66>2900e>>n:188k1c12900qo8ka;297?6=8r.>m;4;eg9K1dc5<N2il1b?=750;9j75g=831d8h850;9~fd5b29086=4?{%7b2?2bn2B>mh5f31;94?=n;9k1<75`4d494?=zjh9j6=4<:183!3f>3>nj6F:ad9j75?=831b?=o50;9l0`0=831vnl:;:180>5<7s-?j:7:i0:J6e`=n;931<75f31c94?=h5<5290;w);n6;12a>N2il1b?=650;9l0`0=831vn;j9:181>5<7s-?j:7=>e:J6e`=n;921<75`4d494?=zj?nh6=4=:183!3f>39:i6F:ad9j75>=831d8h850;9~f301290?6=4?{%7b2?ce3A?ji6g<0883>>o48h0;66g<1083>>i3m?0;66sm6bg94?2=83:p(8o9:dc8L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3th=:54?:583>5}#=h<1il5G5`g8m66>2900e>>n:188m6762900c9k9:188yg37l3:187>50z&6e3mh5f31;94?=n;9k1<75f30394?=h5<3290;w);n6;ga?M3fm2c8<44?::k04d<722c8=<4?::m7a3<722wi:;<50;694?6|,=0;694?:1y'1d0=5;h125?6=3f>n:7>5;|`1e4<72=0;6=u+5`49aa=O=ho0e>>6:188m66f2900e>?>:188k1c12900q~7id;296~X>nm16m=;51378 0>a2h:;7c;7e;18yv?am3:1>vP6fd9>e5g=9;?0(86i:`23?k3?m380q~7if;296~X>no16m=h51378 0>a2h:;7c;7e;38yvg793:1>vPn009>e42=9;?0(86i:`23?k3?m3:0q~k?8;291~Xb8116i=j531:89d5b2::270o;f<=085<31j452de79b<=:lm<1j452db39b<=:lj81j452db19b<=:lj>1j452db79b<=:lj<1j452db59b<=:lj21j452db;9b<=:ljk1j452dba9b<=:ljn1j452dbg9b<=:ljl1j452dg29b<=:lo;1j452dga9b<=:m9;1j452e109b<=:m991j452e169b<=:m9?1j452e149b<=:m9=1j452dg09b<=:lo91j452dg69b<=:lo?1j452dg49b<=:lo=1j452dg:9b<=:lo31j452dgc9b<=:loh1j452dgf9b<=:loo1j452dgd9b<=:m9:1j452a83957252z\b6c=:i=:1=?;4}r`6e?6=:rTi9l52a839f0g52z?b4d<2;o16m=l51378 0>a2h:37c;7e;38yvg713:1;v3n0c867c=:;=;1><64=262>75d349?>7;7);7f;c3<>h20l0;7p}n0b83>1}:i9l19>h4=`33>442349?87<=b:?001<5b281vl>j:1868g683?8j63<40815<=:;=;1>>k4=261>76b349?>7<<3:&668=i;<175?46j2788<4=3g9>714=:8:01>:=:316?853<389h63<458117=#=1l1m<<4n4:f>5=z{h>86=48{2a6=;9301l:;:22:?801>39;m639cd804<=:>?21?=o4}rc01?6=:r7j?84:3g9>e61=;930q~m93;297~;d>:0>?k52a839g35<5?n36>>6;|qb47<72;q6m=;552d89d61288>7);7f;c37>h20l0:7p}n0583>2}:i9<19>h4=262>770349?=7<"20o0j<>5a59g94>{ti:31<7:t=`60>44234k?=7;o531c8 0>a2h9h7c;7e;38yvg403:1>v3n41867c=:i=;1=?;4$4:e>d5d3g?3i7<4}rc0`?6=;r7j?84>249>e14==:l01l=j:22b?!3?n3k8o6`:8d83?xub:90;6:u2e329573<5l8;68=i;<4g6?57127=hh4<0`9>2a2=;9301;k?:22:?801039:=6s|28d94?5|5h3:6?7i;<4g;1m=08<45+59d9e<55<5s4k2=7o62:?5a1<48h1/95h5a818j0>b281vn8=:181[e1:27j5<4l639~wad1290;b890:>8523539613<5:>96??m;<176?43=278894=1c9>712=:=?0(86i:e`6?k3?m3:0q~jm4;293~;ckl0>?k52dgd9573<5:>:6?:<;<176?4612788?4=429>712=:8301>:;:360?!3?n3ni96`:8d82?xucj:0;6:u2dbf916`<5mln6<<:;<175?43:2788?4=199>714=:=801>:;:33;?853<38?>6*:8g8gf0=i=1o1>6s|dc094?1|5mih68=i;714=:8=01>:=:362?853<38:;63<458104=#=1l1ho;4n4:f>6=z{mh;6=48{249>717=:8?01>:=:336?853:388j63<458150=:;=>1>>h4$4:e>ad23g?3i7:4}rfbb?6=?r7oo44:3g9>`cg=9;?01>:>:337?853:38:863<43817`=:;=>1><:4=267>75b3-?3j7jm5:l6<`<23tyomh4?:6y>`f>==:l01ih6:006?853938:>63<438157=:;=81>>m4=267>775349?87<75e349?87<>1:?001<5;k1/95h5dc78j0>b2>1viol:1848bd>3?8j63kf68260=:;=;1><>4=262>75f349?>7<a2mh>7c;7e;:8yvbfj3:1;v3kc4867c=:lo<1=?;4=262>76b349?=7<<8:?007<5;116?9:521g896232;937);7f;fa1>h20l027p}ka`83>2}:lj>19>h4=ed6>442349?=716?9<5225896232;:o70=;4;003>"20o0on85a59g9e>{tlh31<79t=ea0>05a34nm87?=5:?004<58j16?9?5224896252;9=70=;4;03g>;4<=09?;5+59d9`g35<0s4nh>7;70=;2;03f>;4<=0957z?gg4<2;o16hk<5137896262;:270=;1;007>;4<;09<452356965?<5:>?6?=<;%7;b?be=2d>4h4k;|qgf`<72>q6hi8552d89`60288>70=;1;004>;4<8099l523509666<5:>?6?=?;<170?42i2.>4k4kb49m1=c=m2wxhoj50;5x9ab22<9m70k?6;311>;4<809>k52353960?<5:>96?;b8<0:>852353967b<5:>:6?;8;<176?45l2788?4=569>712=:<=0(86i:e`6?k3?m3;;7p}kbc83>2}:lm919>h4=d27>442349?=7<=b:?004<5=<16?9<523`896252;?>70=;4;061>"20o0on85a59g954=z{mhj6=48{4>249>717=:;k01>:>:377?853:389m63<438111=:;=>1>8:4$4:e>ad23g?3i7?=;|qgf<<72>q6hi?552d89`65288>70=;1;01=>;4<8099>52350967?<5:>96?;<;<170?42;2.>4k4kb49m1=c=9:1vil7:1848bc83?8j63j008260=:;=;1>?64=262>735349?>7<=8:?007<5=;16?9:523:8 0>a2mh>7c;7e;37?xucj>0;6:u2db`916`<5mlh6<<:;<175?45;2788<4=4e9>714=:;901>:=:36g?853<389?6*:8g8gf0=i=1o1=85rse`2>5<0s4nh<7;;70=;2;022>;4<;098=523569640<,<2m6il:;o7;a?713tyom;4?:6y>`g`==:l01ih?:006?853938;463<408177=:;=81>=64=261>755349?87;3lm09=o5+59d9``05<5s4nmj7;b281vik;:1818bam3?8j63;de815==#=1l1hh84n4:f>7=z{mo86=4={;3lm09=85+59d9``05<5s4nmm7;b2<1viji:1818ba13?8j63;de8157=#=1l1hh84n4:f>3=z{mnn6=4={;3lm09==5+59d9``05<5s4nm:7;b201vijm:1818ba=3?8j63;de814a=#=1l1hh84n4:f>d=z{mnj6=4={2909w0ji3;70b>;3lm095<5s4nm>7;b2m1viki:1818c7?3?8j63;de8175=#=1l1hh84n4:f>`=z{mon6=4={;3lm09>i5+59d9``04}rffg?6=:r7n<94:3g9>0ab=:;h0(86i:eg5?k3?m3;:7p}kec83>7}:m9919>h4=5fg>74f3-?3j7jj6:l6<`<6:2wxhho50;0x9`652<9m70:kd;01=>"20o0oi;5a59g956=z{mo26=4={v3kfb867c=:?=4$4:e>ac13g?3i7?:;|qga7<72;q6hk?552d891bc2;;=7);7f;ff2>h20l0::6s|de594?4|5ml;68=i;<6g`?4702.>4k4ke79m1=c=9>1vo68:18;8df?3?8j63<30812g=:67634?;h7=>1:?525<49816:;<531;897g62::27);7f;`;2>h20l0;7p}m8483>=}:jh<19>h4=212>70>349??7;n3:?5g`<48h169=j531;893072::j70892;13e>;5i8085<>s4hj97;;28m08?>;<0b5?5692.>4k4m879m1=c=:2wx:;;50;0x9`472<>;70896;6f2>{t>jn1<766f34p1oo::463?8df>3??<63ma68605=:>?218h84}rc02?6=;r7n>=4:769>e61=>n;|q5`a<72;q6:ik54d4893c72::j7p}9d683>7}:>m218h84=7fb>66f3tyo5i4?:3y]`;%7;b?b>k2d>4h4?;|qg=g<72;qUh4l4=5fg>03a3-?3j7j6c:l6<`<63tyo5l4?:3y]`k2d>4h4=;|qg=<<72;qUh474=5fg>03c3-?3j7j6c:l6<`<43tyo5:4?:3y]`<1<5=no68;m;%7;b?b>k2d>4h4;;|qg=3<72;qUh484=5fg>03f3-?3j7j6c:l6<`<23tyo584?:3y]`<3<5=no68;7;%7;b?b>k2d>4h49;|qg=1<72;qUh4:4=5fg>0303-?3j7j6c:l6<`<03tyo5>4?:3y]`<5<5=no68;9;%7;b?b>k2d>4h47;|qg=7<72;qUh4<4=5fg>0333-?3j7j6c:l6<`<>3tyo5<4?:3y]`<7<5=no68;<;%7;b?b>k2d>4h4n;|qg=5<72;qUh4>4=5fg>0353-?3j7j6c:l6<`;%7;b?b>k2d>4h4l;|qg<`<72;qUh5k4=5fg>02a3-?3j7j6c:l6<`k2d>4h4j;|qge1<72;qUhl:4=5fg>0123-?3j7j6c:l6<`4?:3y]`d5<5=no689<;%7;b?b>k2d>4h4>0:p`d4=838pRio=;<6g`?3092.>4k4k9b9m1=c=981vio>:181[bf927?hi4:719'1=`=l0i0b86j:008yvbf83:1>vPka19>0ab==?l0(86i:e;`?k3?m3;87p}k9g83>7}Yl0l019jk:44f?!3?n3n2o6`:8d820>{tl0o1<75<5sWn2463;de861f=#=1l1h4m4n4:f>4052z\ga?d3g?3i7?8;|qah20l0;7p}m8883>7}:jh<1=?;4=212>03d3-?3j7l7a:l6<`<63tyi454?:3y>fd3=9;?01>=>:46f?!3?n3h3m6`:8d81?xu1ko0;6?u26e0975g<5?n;69k9;|q5`6<72;q6:i:54d4893b12::37p}9dg83>7}:>l:18h84=7g1>66?3ty=h44?:3y>2ag=;1>=08<45rs77e>5<5s4<=<7:j6:?521<48h1v;8>:181801:3>n:639658054=z{k3h6=4={;3l80>9k5+59d9f5<5s4nhh7?=5:?7`4<2=l1/95h5b8`8j0>b2;1vo77:1818bdk3;9963;d0861a=#=1l1n4l4n4:f>6=z{k3=6=4={;3l80>9l5+59d9f5<5s4nh47?=5:?7`4<2=11/95h5b8`8j0>b2?1vo7<:1818bd?3;9963;d08612=#=1l1n4l4n4:f>2=z{k396=4={;3l80>995+59d9f5<5s4nh87?=5:?7`4<2=:1/95h5b8`8j0>b2h1vo6i:1818bd;3;9963;d08617=#=1l1n4l4n4:f>g=z{k2n6=4={c2909w0jl1;311>;3l80>8k5+59d9f5<5s4no:7?=5:?7`4<2??1/95h5b8`8j0>b2l1voo<:1818bc=3;9963;d08630=#=1l1n4l4n4:f>c=z{kk96=4={v3kd28260=:g?e3g?3i7?>;|qae5<72;q6hi<5137891b62<=;7);7f;`:f>h20l0:>6s|b8d94?4|5mn:6<<:;<6g5?31n2.>4k4m9c9m1=c=9:1vo7j:1818bc83;9963;d0862`=#=1l1n4l4n4:f>4252z?ggg<6:<168i?557;8 0>a2k3i7c;7e;36?xue1>0;6?u2db29573<5=n:68;l;%7;b?d>j2d>4h4>6:pf=e=838p1ili:006?82c93??i6*:8g8a=g=i=1o1=:5rs7;`>5<5s4>o=7<>b:?006<2>81/95h568`8j0>b291v;o8:18182c938:563<42861c=#=1l1:4l4n4:f>4=z{?kh6=4={<6g5?4602788>4:5d9'1=`=>0h0b86j:39~w3gc2909w0:k1;023>;4<:0>9i5+59d925rs7cf>5<5s4>o=7<>5:?006<2=k1/95h568`8j0>b2=1v;oi:18182c938:863<42861d=#=1l1:4l4n4:f>0=z{?h;6=4={<6g5?46:2788>4:599'1=`=>0h0b86j:79~w3d62909w0:k1;025>;4<:0>9:5+59d925<5s4>o=7<>0:?006<2=?1/95h568`8j0>b211v;l<:18182c938;i63<428611=#=1l1:4l4n4:f><=z{?3o6=4={<6g5?47l2788>4:529'1=`=>0h0b86j:`9~w3?b2909w0:k1;03g>;4<:0>9?5+59d925<5s4>o=7b2j1v;o?:18182c938;563<42860c=#=1l1:4l4n4:f>a=z{?k:6=4={<6g5?4482788>4:779'1=`=>0h0b86j:d9~w3g52909w0:k1;01b>;4<:0>;85+59d925<5s4>o=7<=d:?006<2?:1/95h568`8j0>b28:0q~8n4;296~;3l809>o523519127<,<2m6;7m;o7;a?763ty=m84?:3y>0a7=:;k01>:<:453?!3?n3<2n6`:8d826>{t>h<1<774>349??7;9f:&6;4<:0>:h5+59d92715==?30(86i:7;a?k3?m3;>7p}9a`83>7}:<84=260>03d3-?3j786b:l6<`<6>2wx:ll50;0x91b62;:370=;3;77a>"20o0=5o5a59g952=z{??30b86j:19~w3122909w0=;3;02=>;4<80>9k5+59d923?5<5s49??7<>8:?004<2=l1/95h567;8j0>b2;1v;9m:181853;38:;63<40861a=#=1l1:;74n4:f>6=z{?=h6=4={<177?46=2788<4:5c9'1=`=>?30b86j:59~w31c2909w0=;3;020>;4<80>9l5+59d923?5<5s49??7<>2:?004<2=11/95h567;8j0>b2?1v;9i:181853;38:=63<408612=#=1l1:;74n4:f>2=z{?2;6=4={<177?4682788<4:579'1=`=>?30b86j:99~w3>62909w0=;3;03a>;4<80>995+59d923?5<5s49??7b2h1v;8l:181853;38;o63<408617=#=1l1:;74n4:f>g=z{??30b86j:b9~w30b2909w0=;3;03=>;4<80>8k5+59d923?5<5s49??7<<0:?004<2??1/95h567;8j0>b2l1v;9?:181853;389j63<408630=#=1l1:;74n4:f>c=z{?=:6=4={<177?45l2788<4:729'1=`=>?30b86j:028yv00:3:1>v3<42816g=:;=;19:?4$4:e>30>3g?3i7?>;|q536<72;q6?9=523c896262<=;7);7f;45=>h20l0:>6s|66694?4|5:>86?<6;<175?31n2.>4k49689m1=c=9:1v;99:181853;389463<40862`=#=1l1:;74n4:f>4252z?006<5::16?9?557;8 0>a2?<27c;7e;36?xu1?10;6?u23519640<5:>:68;l;%7;b?0112d>4h4>6:p22?=838p1>:<:32;?85393??i6*:8g852<=i=1o1=:5rs7:0>5<5s49??7<;5:?007<2>81/95h56908j0>b291v;6j:181853;38??63<43861c=#=1l1:5<4n4:f>4=z{?386=4={<177?43:2788?4:5d9'1=`=>180b86j:39~w3?32909w0=;3;075>;4<;0>9i5+59d92=45rs7;6>5<5s49??7<b2=1v;79:181853;388i63<43861d=#=1l1:5<4n4:f>0=z{?3<6=4={<177?44k2788?4:599'1=`=>180b86j:79~w3??2909w0=;3;00f>;4<;0>9:5+59d92=45<5s49??7<b211v;7n:181853;388463<438611=#=1l1:5<4n4:f><=z{?2?6=4={<177?44?2788?4:529'1=`=>180b86j:`9~w3>22909w0=;3;002>;4<;0>9?5+59d92=45<5s49??7<<5:?007<2=81/95h56908j0>b2j1v;68:181853;388?63<43860c=#=1l1:5<4n4:f>a=z{?236=4={<177?42i2788?4:779'1=`=>180b86j:d9~w3>>2909w0=;3;06=>;4<;0>;85+59d92=45<5s49??7<:7:?007<2?:1/95h56908j0>b28:0q~87b;296~;4<:0998523509127<,<2m6;6=;o7;a?763ty=4n4?:3y>715=:<>01>:=:453?!3?n3<3>6`:8d826>{t>1n1<7734349?>7;9f:&6a2909w0=;3;066>;4<;0>:h5+59d92=44=4e9>714==?30(86i:7:1?k3?m3;>7p}99083>7}:;=91>9>4=261>03d3-?3j7872:l6<`<6>2wx:4<50;0x96242;9970=;2;77a>"20o0=4?5a59g952=z{?h>6=4={<6g5?43=278894:609'1=`=>k>0b86j:19~w3e72909w0:k1;077>;4<=0>9k5+59d92g25<5s4>o=7<;2:?001<2=l1/95h56c68j0>b2;1v;m9:18182c938?=63<45861a=#=1l1:o:4n4:f>6=z{?i<6=4={<6g5?44n278894:5c9'1=`=>k>0b86j:59~w3e?2909w0:k1;00a>;4<=0>9l5+59d92g25<5s4>o=7<b2?1v;mn:18182c9388n63<458612=#=1l1:o:4n4:f>2=z{?ii6=4={<6g5?44i278894:579'1=`=>k>0b86j:99~w3ed2909w0:k1;00<>;4<=0>995+59d92g25<5s4>o=7<<7:?001<2=:1/95h56c68j0>b2h1v;l8:18182c9388:63<458617=#=1l1:o:4n4:f>g=z{?h36=4={<6g5?44=278894:509'1=`=>k>0b86j:b9~w3d>2909w0:k1;007>;4<=0>8k5+59d92g25<5s4>o=7<:a:?001<2??1/95h56c68j0>b2l1v;lm:18182c938>563<458630=#=1l1:o:4n4:f>c=z{?hh6=4={<6g5?42?278894:729'1=`=>k>0b86j:028yv0el3:1>v3;d08110=:;=>19:?4$4:e>3d33g?3i7?>;|q5f`<72;q68i?5246896232<=;7);7f;4a0>h20l0:>6s|6cd94?4|5=n:6?;<;<170?31n2.>4k49b59m1=c=9:1v;m>:18182c938>>63<45862`=#=1l1:o:4n4:f>427>52z?7`4<5a2?h?7c;7e;36?xu1k:0;6?u24e39616<5:>?68;l;%7;b?0e<2d>4h4>6:p2f2=838p19j>:311?853<3??i6*:8g85f1=i=1o1=:5rs740>5<5s4<=47=?9:?521<3m?1v?o?:1818g4?39;m63=a087a3=z{=o96=4>9z?6ec<51k168ij5220891bc2;>;70:kd;07`>;3lm099?524ef9605<5=no6?;;;<6g`?42=27?hi4=569>0ab=:<3019jk:37b?82cl388?63;de8170=:>84=5fg>75034>oh7<<8:?7`a<5;h168ij522`891bc2;9h70:kd;00a>;3lm09?k524ef9617<5=no6?:=;<6g`?43;27?hi4=449~w`6d290ow0o>4;774>;f9<0>8=52a1c9116<5h:i68:?;e16==>=01l:>:454?8g3:3?<;63n048605=:i9<199>4=`;2>0g634o;h7:j6:pe6`=838p1l:<:463?8g4m3>n:6s|a2`94?4|5h9>68:?;2wxm9;50;0x9f042<>;70o;4;6f2>{t>l;1<7:t=cc6>46>34hj:7??9:?ae2<68016:h<54d48yv0c=3:1=4u2dcd955?<5mi;6<>6;089>`a7=99301ij=:02:?8bc;3;;563kd5824<=:lm?1==74=ef5>46>34nh=7??9:?gg7<68016hn=511;89ae328:270jl5;33=>;ck?0:<452db5955?<5mi36<>6;089>`fe=99301imk:02:?8bdm3;;563kcg824<=:>m<18h84}r4gf?6=90q6hk>511;89a`628:270jic;33=>;b880:<452e10955?<5l:86<>6;089>a50=99301h>8:02:?8ba:3;;563kf2824<=:lo>1==74=ed6>46>34nm:7??9:?gb2<68016hk6511;89a`>28:270jia;33=>;cnk0:<452dgf955?<5mln6<>6;089>2ae=77=>:0mmvB:b182M5582wG9o?52z&6=4<68>1e94<51508y!3f>3hj46Ti8;0x=f<1?3wQ95j510yb1?1>2>k1;o488;;1>2e=?:0<877<:609=1<1k3=n1oo1<7*;fc8b45=i65f9gf94?"3nk0j<=5a4gc97>=nm921<75fa5:94?=ni;l1<75fb4c94?=hl0n1<7*;fc8g=f=i=hl0k1<7*;fc8g=f=i65`d8;94?"3nk0o5n5a4gc97>=hl0=1<7*;fc8g=f=i=hl0?1<7*;fc8g=f=i=hl091<7*;fc8g=f=i=hl0;1<7*;fc8g=f=i=hl1l1<7*;fc8g=f=i=hlh?1<7*;fc8g=f=i=hlh91<7*;fc8g=f=i5<#47<3fnj=7>5$5da>a?d3g>mm7?=;:mge5<72->mn7j6c:l7bd<6;21dh4h50;&7bgic0m0;6):ib;f:g>h3nh0:;65`c7094?=e=hl1<7?50;2x 0g12;3h7E;ne:m1=g<722wim<:50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d?=5;29?l3383:17b;4}#=0;1==;4}hd:>5<6=44i463>5<50;9l16`=831vnl>m:187>5<7s-?j:7<63:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>2483>>o2<90;66a:3g83>>{ei9l1<7:50;2x 0g12;387E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;997>5;h774?6=3f?8j7>5;|`b55<72=0;6=u+5`496<5<@:0y'1<7=99?0qdh6:188m4422900e8:?:188k05a2900qoo;3;290?6=8r.>m;4=929K1dc{K=k;1=v*:908240=zao31<75f13794?=n==:1<75`52d94?=zjh9>6=4;:183!3f>382?6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:>84?::k605<722e>?k4?::ae16=83>1<7>t$4c5>7?13A?ji6B:b182!56l3<0qA;m1;3x 0?628:>7pgi9;29?l75=3:17d;87;29?j34n3:17pln4083>1<729q/9l852848L0gb3E?i<7?t$23g>3=zD5<5<7>54;294~"2i?095;5G5`g8H0d728q/?5<4>049~mc?=831b=?;50;9j121=831d9>h50;9~ff04290?6=4?{%7b2?4>;2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6:<0;66g:4183>>i2;o0;66sma1794?2=83:p(8o9:3;0?M3fm2F>n=4>{%12`?64623tcm57>5;h311?6=3`??<7>5;n70b?6=3thj<;4?:583>5}#=h<1>4=4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<<::188m0272900c8=i:188ygc583:197>50z&6e3<51<1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9;?1<75f55294?=n=>=1<75`52d94?=zjkk>6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722c>8=4?::m67c<722winl850;794?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17plma683>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<6=44i463>5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{eljh1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`g`5<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojk1;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmn96=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`a5=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkd583>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fab1290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdb394?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thoo?4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygbd;3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbea7>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihn;50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elj31<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`ggd<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojlc;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmio6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`fc=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkcg83>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fa`6290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdga94?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thn<<4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygc7:3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbd20>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wii=:50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<8:187>5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elo81<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`gb6<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qoji4;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjml>6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`c0=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkf683>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fa`>290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdgc94?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thojo4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygbal3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbedf>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihkh50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<i3<0(?77:8c`?!3>93;;96`:9387f>{#=h<1m4?4ib40>5<5<5<5<5=4=;o7bf?7?3-?2=7??5:l6=7<5l2w/9l854ef8^c>=;r6;29 1`e2;;j7c:ia;35?>o5::0;6):ib;02e>h3nh0:965f23:94?"3nk09=l5a4gc951=o4?:%6ef?46i2d?jl4>1:9j67b=83.?jo4=1`9m0cg=9910e?6:18'0cd=:8k0b9hn:e98m76e290/8kl520c8j1`f2j10e?>l:18'0cd=:8k0b9hn:c98m76c290/8kl520c8j1`f2h10e?>j:18'0cd=:8k0b9hn:898m777290/8kl520c8j1`f2110e??>:18'0cd=:8k0b9hn:698m775290/8kl520c8j1`f2?10e??;:18'0cd=:8k0b9hn:498m772290/8kl520c8j1`f2=10e??8:18'0cd=:8k0b9hn:298m77?290/8kl520c8j1`f2;10e??6:18'0cd=:8k0b9hn:098m77e290/8kl520c8j1`f2910e?==:18'0cd=:=>0b9hn:058?l4383:1(9hm:367?k2ai3;=76g=4e83>!2aj38?86`;f`821>=n:<81<7*;fc8101=i5<#9:4n5db>45<3`8>87>5$5da>7233g>mm7?=;:k110<72->mn7<;4:l7bd<6921b>8950;&7bg<5<=1e8ko51198m73>290/8kl52568j1`f2o10e?;n:18'0cd=:=>0b9hn:d98m754290/8kl52568j1`f2m10e?=::18'0cd=:=>0b9hn:b98m751290/8kl52568j1`f2k10e?=8:18'0cd=:=>0b9hn:`98m75?290/8kl52568j1`f2010e?=n:18'0cd=:=>0b9hn:998m75e290/8kl52568j1`f2>10e?=l:18'0cd=:=>0b9hn:798m75b290/8kl52568j1`f2<10e?=i:18'0cd=:=>0b9hn:598m726290/8kl52568j1`f2:10e?:=:18'0cd=:=>0b9hn:398m724290/8kl52568j1`f2810e?:::18'0cd=:=>0b9hn:198m0g42900c8:j:18'0cd==?:0b9hn:058?j32k3:1(9hm:443?k2ai3;=76a:6883>!2aj3?=<6`;f`821>=h=?o1<7*;fc8625=i5<#4n5db>45<3f?<<7>5$5da>0073g>mm7?=;:m634<72->mn7;90:l7bd<6921d9:=50;&7bg<2>91e8ko51198k012290/8kl55728j1`f2o10c899:18'0cd==?:0b9hn:d98k02a290/8kl55728j1`f2m10c8;>:18'0cd==?:0b9hn:b98k035290/8kl55728j1`f2k10c8;<:18'0cd==?:0b9hn:`98k033290/8kl55728j1`f2010c8;9:18'0cd==?:0b9hn:998k030290/8kl55728j1`f2>10c8;7:18'0cd==?:0b9hn:798k03f290/8kl55728j1`f2<10c8;m:18'0cd==?:0b9hn:598k03c290/8kl55728j1`f2:10c8;j:18'0cd==?:0b9hn:398k03a290/8kl55728j1`f2810c88>:18'0cd==?:0b9hn:198yg5493:157>50zN6f4<0s-??877>8:&644<5=k1/9l655:&646<2398=6Ti8;1x<<!2aj38=m6`;f`81?>o5>00;6):ib;05e>h3nh0:76g=6c83>!2aj38=m6`;f`83?>o5??0;6):ib;0;0>h3nh0976g=8283>!2aj38386`;f`82?>o50<0;6):ib;0;0>h3nh0;76a:4d83>!2aj3?=46`;f`81?>i2=j0;6):ib;75<>h3nh0:76a:6883>!2aj3?=46`;f`83?>{e7=i=hh1=55+58395537:9j640=83.?jo4=1`9m0cg=9?10e?<<:18'0cd=:8k0b9hn:078?l4503:1(9hm:33b?k2ai3;?76g=2883>!2aj38:m6`;f`827>=n:;k1<7*;fc815d=i5<#47<3`89h7>5$5da>77f3g>mm7??;:k16c<72->mn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bd<>32c9==4?:%6ef?46i2d?jl47;:k154<72->mn7<>a:l7bd<032c9=?4?:%6ef?46i2d?jl49;:k151<72->mn7<>a:l7bd<232c9=84?:%6ef?46i2d?jl4;;:k152<72->mn7<>a:l7bd<432c9=54?:%6ef?46i2d?jl4=;:k15<<72->mn7<>a:l7bd<632c9=o4?:%6ef?46i2d?jl4?;:k177<72->mn7<;4:l7bd<6?21b>9>50;&7bg<5<=1e8ko51798m72c290/8kl52568j1`f28?07d<:2;29 1`e2;>?7c:ia;37?>o5=:0;6):ib;070>h3nh0:?65f24694?"3nk09895a4gc957=6=4+4g`9612mn7<;4:l7bd4?:%6ef?43<2d?jl4k;:k170<72->mn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bd<132c9?h4?:%6ef?43<2d?jl4:;:k17c<72->mn7<;4:l7bd<332c98<4?:%6ef?43<2d?jl4<;:k107<72->mn7<;4:l7bd<532c98>4?:%6ef?43<2d?jl4>;:k100<72->mn7<;4:l7bd<732c>m>4?::m60`<72->mn7;90:l7bd<6?21d98m50;&7bg<2>91e8ko51798k00>290/8kl55728j1`f28?07b;9e;29 1`e2<<;7c:ia;37?>i2>o0;6):ib;754>h3nh0:?65`56294?"3nk0>:=5a4gc957=;84?:%6ef?3182d?jl4i;:m633<72->mn7;90:l7bd8k4?:%6ef?3182d?jl4k;:m614<72->mn7;90:l7bd9?4?:%6ef?3182d?jl4m;:m616<72->mn7;90:l7bd994?:%6ef?3182d?jl46;:m613<72->mn7;90:l7bd9:4?:%6ef?3182d?jl48;:m61=<72->mn7;90:l7bd<132e>9l4?:%6ef?3182d?jl4:;:m61g<72->mn7;90:l7bd<332e>9i4?:%6ef?3182d?jl4<;:m61`<72->mn7;90:l7bd<532e>9k4?:%6ef?3182d?jl4>;:m624<72->mn7;90:l7bd<732wi?9=50;6:>5<7sE?i=76t$467>g063->mi739??6Ti8;1x21<1=3<=6pg=0983>!2aj38:m6`;f`823>=n:8<1<7*;fc815d=i5<#43<3`8947>5$5da>77f3g>mm7?;;:k16<<72->mn7<>a:l7bd<6;21b>?o50;&7bg<59h1e8ko51398m74e290/8kl520c8j1`f28;07d<=d;29 1`e2;;j7c:ia;33?>o5:o0;6):ib;02e>h3nh0m76g=3183>!2aj38:m6`;f`8f?>o5800;6):ib;02e>h3nh0o76g=0c83>!2aj38:m6`;f`8`?>o58j0;6):ib;02e>h3nh0i76g=0e83>!2aj38:m6`;f`8b?>o58l0;6):ib;02e>h3nh0276g=1183>!2aj38:m6`;f`8;?>o5980;6):ib;02e>h3nh0<76g=1383>!2aj38:m6`;f`85?>o59=0;6):ib;02e>h3nh0>76g=1483>!2aj38:m6`;f`87?>o59>0;6):ib;02e>h3nh0876g=1983>!2aj38:m6`;f`81?>o5900;6):ib;02e>h3nh0:76g=1c83>!2aj38:m6`;f`83?>o5;;0;6):ib;070>h3nh0:;65f25294?"3nk09895a4gc953=o6=4+4g`96124?:%6ef?43<2d?jl4>3:9j602=83.?jo4=459m0cg=9;10e?;::18'0cd=:=>0b9hn:038?l42?3:1(9hm:367?k2ai3;;76g=5883>!2aj38?86`;f`8e?>o5=h0;6):ib;070>h3nh0n76g=3283>!2aj38?86`;f`8g?>o5;<0;6):ib;070>h3nh0h76g=3783>!2aj38?86`;f`8a?>o5;>0;6):ib;070>h3nh0j76g=3983>!2aj38?86`;f`8:?>o5;h0;6):ib;070>h3nh0376g=3c83>!2aj38?86`;f`84?>o5;j0;6):ib;070>h3nh0=76g=3d83>!2aj38?86`;f`86?>o5;o0;6):ib;070>h3nh0?76g=4083>!2aj38?86`;f`80?>o5<;0;6):ib;070>h3nh0976g=4283>!2aj38?86`;f`82?>o5<<0;6):ib;070>h3nh0;76g:a283>>i2h3nh0:;65`54a94?"3nk0>:=5a4gc953=:k4?:%6ef?3182d?jl4>3:9l126=83.?jo4:619m0cg=9;10c89>:18'0cd==?:0b9hn:038?j30;3:1(9hm:443?k2ai3;;76a:7483>!2aj3?=<6`;f`8e?>i2??0;6):ib;754>h3nh0n76a:4g83>!2aj3?=<6`;f`8g?>i2=80;6):ib;754>h3nh0h76a:5383>!2aj3?=<6`;f`8a?>i2=:0;6):ib;754>h3nh0j76a:5583>!2aj3?=<6`;f`8:?>i2=?0;6):ib;754>h3nh0376a:5683>!2aj3?=<6`;f`84?>i2=10;6):ib;754>h3nh0=76a:5`83>!2aj3?=<6`;f`86?>i2=k0;6):ib;754>h3nh0?76a:5e83>!2aj3?=<6`;f`80?>i2=l0;6):ib;754>h3nh0976a:5g83>!2aj3?=<6`;f`82?>i2>80;6):ib;754>h3nh0;76sm35394?2>290;wA;m1;:x 0232k<:7):ie;032>"28=0>m?5+511911b<3=0=9789:|k14=<72->mn7<>a:l7bd<6?21b><850;&7bg<59h1e8ko51798m744290/8kl520c8j1`f28?07d<=8;29 1`e2;;j7c:ia;37?>o5:00;6):ib;02e>h3nh0:?65f23c94?"3nk09=l5a4gc957=k4?:%6ef?46i2d?jl4i;:k175<72->mn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bd<132c9=94?:%6ef?46i2d?jl4:;:k150<72->mn7<>a:l7bd<332c9=:4?:%6ef?46i2d?jl4<;:k15=<72->mn7<>a:l7bd<532c9=44?:%6ef?46i2d?jl4>;:k15g<72->mn7<>a:l7bd<732c9??4?:%6ef?43<2d?jl4>7:9j616=83.?jo4=459m0cg=9?10e?:k:18'0cd=:=>0b9hn:078?l42:3:1(9hm:367?k2ai3;?76g=5283>!2aj38?86`;f`827>=n:<>1<7*;fc8101=i5<#9:4n5db>47<3`8>;7>5$5da>7233g>mm7??;:k11<<72->mn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bd<>32c9?l4?:%6ef?43<2d?jl47;:k17g<72->mn7<;4:l7bd<032c9?n4?:%6ef?43<2d?jl49;:k17`<72->mn7<;4:l7bd<232c9?k4?:%6ef?43<2d?jl4;;:k104<72->mn7<;4:l7bd<432c98?4?:%6ef?43<2d?jl4=;:k106<72->mn7<;4:l7bd<632c9884?:%6ef?43<2d?jl4?;:k6e6<722e>8h4?:%6ef?3182d?jl4>7:9l10e=83.?jo4:619m0cg=9?10c886:18'0cd==?:0b9hn:078?j31m3:1(9hm:443?k2ai3;?76a:6g83>!2aj3?=<6`;f`827>=h=>:1<7*;fc8625=i5<#4n5db>47<3f?5$5da>0073g>mm7??;:m630<72->mn7;90:l7bd;;4?:%6ef?3182d?jl4j;:m60c<72->mn7;90:l7bd9<4?:%6ef?3182d?jl4l;:m617<72->mn7;90:l7bd9>4?:%6ef?3182d?jl4n;:m611<72->mn7;90:l7bd<>32e>9;4?:%6ef?3182d?jl47;:m612<72->mn7;90:l7bd<032e>954?:%6ef?3182d?jl49;:m61d<72->mn7;90:l7bd<232e>9o4?:%6ef?3182d?jl4;;:m61a<72->mn7;90:l7bd<432e>9h4?:%6ef?3182d?jl4=;:m61c<72->mn7;90:l7bd<632e>:<4?:%6ef?3182d?jl4?;:a714=83>26=4?{M7a5?>|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=911/94?51178j0?520?0q);n6;176>\a039p:9495;45>xo5810;6):ib;02e>h3nh0:;65f20494?"3nk09=l5a4gc953=44?:%6ef?46i2d?jl4>3:9j67g=83.?jo4=1`9m0cg=9;10e?!2aj38:m6`;f`8e?>o5;90;6):ib;02e>h3nh0n76g=0883>!2aj38:m6`;f`8g?>o58k0;6):ib;02e>h3nh0h76g=0b83>!2aj38:m6`;f`8a?>o58m0;6):ib;02e>h3nh0j76g=0d83>!2aj38:m6`;f`8:?>o5990;6):ib;02e>h3nh0376g=1083>!2aj38:m6`;f`84?>o59;0;6):ib;02e>h3nh0=76g=1583>!2aj38:m6`;f`86?>o59<0;6):ib;02e>h3nh0?76g=1683>!2aj38:m6`;f`80?>o5910;6):ib;02e>h3nh0976g=1883>!2aj38:m6`;f`82?>o59k0;6):ib;02e>h3nh0;76g=3383>!2aj38?86`;f`823>=n:=:1<7*;fc8101=i5<#9:4n5db>43<3`8>>7>5$5da>7233g>mm7?;;:k116<72->mn7<;4:l7bd<6;21b>8:50;&7bg<5<=1e8ko51398m732290/8kl52568j1`f28;07d<:7;29 1`e2;>?7c:ia;33?>o5=00;6):ib;070>h3nh0m76g=5`83>!2aj38?86`;f`8f?>o5;:0;6):ib;070>h3nh0o76g=3483>!2aj38?86`;f`8`?>o5;?0;6):ib;070>h3nh0i76g=3683>!2aj38?86`;f`8b?>o5;10;6):ib;070>h3nh0276g=3`83>!2aj38?86`;f`8;?>o5;k0;6):ib;070>h3nh0<76g=3b83>!2aj38?86`;f`85?>o5;l0;6):ib;070>h3nh0>76g=3g83>!2aj38?86`;f`87?>o5<80;6):ib;070>h3nh0876g=4383>!2aj38?86`;f`81?>o5<:0;6):ib;070>h3nh0:76g=4483>!2aj38?86`;f`83?>o2i:0;66a:4d83>!2aj3?=<6`;f`823>=h=5<#4n5db>43<3f?=i7>5$5da>0073g>mm7?;;:m62c<72->mn7;90:l7bd<6;21d9:>50;&7bg<2>91e8ko51398k016290/8kl55728j1`f28;07b;83;29 1`e2<<;7c:ia;33?>i2?<0;6):ib;754>h3nh0m76a:7783>!2aj3?=<6`;f`8f?>i2h3nh0o76a:5083>!2aj3?=<6`;f`8`?>i2=;0;6):ib;754>h3nh0i76a:5283>!2aj3?=<6`;f`8b?>i2==0;6):ib;754>h3nh0276a:5783>!2aj3?=<6`;f`8;?>i2=>0;6):ib;754>h3nh0<76a:5983>!2aj3?=<6`;f`85?>i2=h0;6):ib;754>h3nh0>76a:5c83>!2aj3?=<6`;f`87?>i2=m0;6):ib;754>h3nh0876a:5d83>!2aj3?=<6`;f`81?>i2=o0;6):ib;754>h3nh0:76a:6083>!2aj3?=<6`;f`83?>{e;=>1<7:6:183I3e932p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=55+58395537:9j640=83.?jo4=1`9m0cg=9?10e?<<:18'0cd=:8k0b9hn:078?l4503:1(9hm:33b?k2ai3;?76g=2883>!2aj38:m6`;f`827>=n:;k1<7*;fc815d=i5<#47<3`89h7>5$5da>77f3g>mm7??;:k16c<72->mn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bdmn7<>a:l7bd<>32c9==4?:%6ef?46i2d?jl47;:k154<72->mn7<>a:l7bd<032c9=?4?:%6ef?46i2d?jl49;:k151<72->mn7<>a:l7bd<232c9=84?:%6ef?46i2d?jl4;;:k152<72->mn7<>a:l7bd<432c9=54?:%6ef?46i2d?jl4=;:k15<<72->mn7<>a:l7bd<632c9=o4?:%6ef?46i2d?jl4?;:k177<72->mn7<;4:l7bd<6?21b>9>50;&7bg<5<=1e8ko51798m72c290/8kl52568j1`f28?07d<:2;29 1`e2;>?7c:ia;37?>o5=:0;6):ib;070>h3nh0:?65f24694?"3nk09895a4gc957=6=4+4g`9612mn7<;4:l7bd4?:%6ef?43<2d?jl4k;:k170<72->mn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bdmn7<;4:l7bd<132c9?h4?:%6ef?43<2d?jl4:;:k17c<72->mn7<;4:l7bd<332c98<4?:%6ef?43<2d?jl4<;:k107<72->mn7<;4:l7bd<532c98>4?:%6ef?43<2d?jl4>;:k100<72->mn7<;4:l7bd<732c>m>4?::m60`<72->mn7;90:l7bd<6?21d98m50;&7bg<2>91e8ko51798k00>290/8kl55728j1`f28?07b;9e;29 1`e2<<;7c:ia;37?>i2>o0;6):ib;754>h3nh0:?65`56294?"3nk0>:=5a4gc957=;84?:%6ef?3182d?jl4i;:m633<72->mn7;90:l7bd8k4?:%6ef?3182d?jl4k;:m614<72->mn7;90:l7bd9?4?:%6ef?3182d?jl4m;:m616<72->mn7;90:l7bd994?:%6ef?3182d?jl46;:m613<72->mn7;90:l7bd9:4?:%6ef?3182d?jl48;:m61=<72->mn7;90:l7bd<132e>9l4?:%6ef?3182d?jl4:;:m61g<72->mn7;90:l7bd<332e>9i4?:%6ef?3182d?jl4<;:m61`<72->mn7;90:l7bd<532e>9k4?:%6ef?3182d?jl4>;:m624<72->mn7;90:l7bd<732wii=j50;094?6|,?j;I7ba>o4810;66a;e783>>{ei:=1<7=50;2x 0g12l=0D8oj;h13=?6=3`9;m7>5;n6f2?6=3th=h?4?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900qo8ke;297?6=8r.>m;4j8:J6e`=n;931<75f31c94?=h5<4290;w);n6;g;?M3fm2c8<44?::k04d<722e?i;4?::a2a6=8391<7>t$4c5>1ca3A?ji6g<0883>>o48h0;66a;e783>>{e>m>1<7=50;2x 0g12=om7E;ne:k04<<722c850;194?6|,o4800;66g<0`83>>i3m?0;66sm6ec94?5=83:p(8o9:5ge?M3fm2c8<44?::k04d<722e?i;4?::a2`2=8391<7>t$4c5>`?<@4?:1y'1d0=5;n6f2?6=3thj?l4?:283>5}#=h<18hh4H4cf?l5713:17d=?a;29?j2b>3:17pln4583>6<729q/9l854g28L0gb3`9;57>5;h13e?6=3f>n:7>5;|`5a7<72;0;6=u+5`4974c<@3:17pl9d783>7<729q/9l8530g8L0gb3`9;47>5;n6f2?6=3th=hn4?:383>5}#=h<1??0;694?:1y'1d0=mk1C9lk4i22:>5<5<N2il1b?=750;9j75g=831b?5<7s-?j:7kn;I7ba>o4800;66g<0`83>>o4980;66a;e783>>{e=9n1<7:50;2x 0g12lh0D8oj;h13=?6=3`9;m7>5;h125?6=3f>n:7>5;|`525<72=0;6=u+5`49ag=O=ho0e>>6:188m66f2900e>?>:188k1c12900qo892;290?6=8r.>m;4ja:J6e`=n;931<75f31c94?=n;8;1<75`4d494?=zj?3>m=6F:ad9j75?=831b?=o50;9j747=831d8h850;9~f7g6290?6=4?{%7b2?cc3A?ji6g<0883>>o48h0;66g<1083>>i3m?0;66s|9gf94?4|V0lo70o?5;311>"20o0j<=5a59g97>{t1oo1<76s|9gd94?4|V0lm70o?f;311>"20o0j<=5a59g95>{ti9;1<7;f;l08<452a2c975?<5h>?6>>n;|qb0=<72=>pRl:7;34k:97h6;34k;n7h6;34k:<7h6;34k897h6;34k?=7h6;34i=?7h6;34k;:7h6;34hj97h6;<`b2?`>34hj;7h6;34nh<7h6;34no<7h6;34no>7h6;34no87h6;34no:7h6;34nh>7h6;34nh87h6;34nh:7h6;34nh47h6;34nhm7h6;34nhh7h6;34nhj7h6;34nm=7h6;34o;=7h6;34o;?7h6;34o;97h6;34o;;7h6;34nm?7h6;34nm97h6;34nm;7h6;34nm57h6;34nmn7h6;34nmi7h6;34o;<7h6;"20o0j<55a59g95>{ti931<79t=`2a>05a349?=7<>8:?004<5;j16?9<521f896252;?j70=;4;01e>;4<=098=5+59d9e5>5<3s4k;j7;o7);7f;c3`>h20l0:7p}n0d83>0}:i8:19>h4=262>77>349?=7<a2h:o7c;7e;28yvg693:1>v3n15867c=:i8?1=?;4$4:e>d753g?3i7?4}rc27?6=?r7j=84:3g9>717=:8h01>:>:31e?853:38:<63<438170=:;=>1>?j4=267>7353-?3j7o>2:l6<`<73tyj8>4?:6y>e15==:l01;jj:22:?80c839;563n45804<=:>?<1?=o4=7af>66>34<=47=?a:pe63=838p1l=::41e?8g4?39;56s|c7194?5|5j<868=i;;f8?0:>85+59d9e555<0s4k;:7;16?9?522`896252;:h70=;2;06=>;4<=09>4523569664<,<2m6l><;o7;a?654z?b06<6:<16m9?552d89d25288>70o"20o0j?n5a59g95>{ti:21<705a34k?=7?=5:&6m4n4:f>5=z{l8;6=48{=4:3g9>2a4=;9301;jj:22b?80c<39;5639e1804<=:>?21?2a>=;9k01;jn:22:?xuf1=0;6?u2a839e<2<5?o?6>>6;%7;b?g>;2d>4h4?;|qb=7<72;q6m4?5a80893c32::j7);7f;c:7>h20l0:7p}l6383>7}Yk?801l7>:b41?xucj?0;6:u2dbd916`<5l:;6<<:;<175?43=2788?4=1c9>714=:=?01>:;:33a?853<38?96*:8g8gf0=i=1o1<6s|dc694?1|5min68=i;714=:8301>:=:360?853<38:563<458106=#=1l1ho;4n4:f>4=z{mh86=48{249>717=:=801>:=:33;?853:38?>63<45815==:;=>1>9<4$4:e>ad23g?3i7<4}rfa6?6=?r7oon4:3g9>`cb=9;?01>:>:362?853:38:;63<438104=:;=>1><94=267>7263-?3j7jm5:l6<`<43tyon=4?:6y>`fg==:l01ihm:006?853938:963<438150=:;=81>>h4=267>772349?87<<:4=261>75b349?87<>4:?001<5;l1/95h5dc78j0>b2<1vioj:1848bd03?8j63kf88260=:;=;1><<4=261>775349?>7<a2mh>7c;7e;48yvbfl3:1;v3kc6867c=:lo21=?;4=262>776349?>7<>1:?007<5;k16?9:5203896232;9i7);7f;fa1>h20l0<7p}kab83>2}:lj<19>h4=ed4>442349?=7<>0:?004<5;h16?9<522c896232;;;70=;4;00e>"20o0on85a59g9<>{tlhh1<79t=ea6>05a34nm:7?=5:?004<58l16?9?522:896252;9370=;4;03a>;4<=09?55+59d9`g35<0s4nh87;;4<=0957z?gg6<2;o16hk:5137896262;:h70=;1;002>;4<;09?;52356965e<5:>?6?=9;%7;b?be=2d>4h4m;|qge=<72>q6hn<552d89a`4288>70=;1;03f>;4<809?852350965d<5:>?6?>m;<170?44=2.>4k4kb49m1=c=k2wxhl950;5x9ae62<9m70ji2;311>;4<809<4523539665<5:>96?>6;<170?471278894=329'1=`=lk?0b86j:e9~wadb290;b8>0:>8523539666<5:>:6?;n;<176?448278894=319>712=:?k52e149573<5:>:6?712=:;l01>:;:37:?!3?n3ni96`:8d8e?xucjj0;6:u2de6916`<5l:>6<<:;<175?45l2788<4=569>714=:;n01>:=:374?853<38>;6*:8g8gf0=i=1o1==5rse`a>5<0s4no?7;70=;2;01f>;4<;0998523569603<,<2m6il:;o7;a?763tyonl4?:6y>`a4==:l01h><:006?8539389m63<408111=:;=81>?o4=261>733349?87<:4:&6290;b8;0:>852353967?<5:>:6?;<;<176?4512788?4=529>712=:<90(86i:e`6?k3?m3;87p}kb983>2}:lm:19>h4=d22>442349?=7<=8:?004<5=;16?9<523:896252;?970=;4;01<>"20o0on85a59g951=z{mh<6=48{249>717=:;901>:>:36g?853:389?63<43810a=:;=>1>?=4$4:e>ad23g?3i7?:;|qgf4<72>q6hn>552d89a`6288>70=;1;022>;4<8098=523509640<5:>96?:?;<170?46>2.>4k4kb49m1=c=9?1vio9:1848ben3?8j63kf18260=:;=;1>=64=262>755349?>7a2mh>7c;7e;34?xucm>0;6?u2e12916`<5=no6??m;%7;b?bb>2d>4h4?;|qga0<72;q6hkh552d891bc2;;27);7f;ff2>h20l0:7p}ke583>7}:loo19>h4=5fg>77?3-?3j7jj6:l6<`<53tyoi>4?:3y>`cb==:l019jk:334?!3?n3nn:6`:8d80?xucm80;6?u2dg`916`<5=no6??:;%7;b?bb>2d>4h4;;|qga5<72;q6hko552d891bc2;;?7);7f;ff2>h20l0>7p}kdg83>7}:lo319>h4=5fg>7753-?3j7jj6:l6<`<13tyohh4?:3y>`c>==:l019jk:332?!3?n3nn:6`:8d84?xuclm0;6?u2dg5916`<5=no6???;%7;b?bb>2d>4h47;|qg`f<72;q6hk8552d891bc2;:n7);7f;ff2>h20l027p}kdc83>7}:lo?19>h4=5fg>76c3-?3j7jj6:l6<``c2==:l019jk:32`?!3?n3nn:6`:8d8a?xucl00;6?u2dg1916`<5=no6?>m;%7;b?bb>2d>4h4l;|qg`=<72;q6hk<552d891bc2;:27);7f;ff2>h20l0o7p}keg83>7}:m9=19>h4=5fg>7573-?3j7jj6:l6<`a50==:l019jk:30e?!3?n3nn:6`:8d8e?xucmm0;6?u2e17916`<5=no6?2d>4h4>0:p``e=838p1h>;:41e?82cl389n6*:8g8ga3=i=1o1=<5rsega>5<5s4o;?7;b2880q~jja;296~;b8;0>?k524ef967?<,<2m6ik9;o7;a?743tyoi44?:3y>a57==:l019jk:30;?!3?n3nn:6`:8d820>{tll21<705a34>oh7<=3:&6;3lm09=;5+59d9``00ab=:920(86i:eg5?k3?m3;<7p}m8683>=}:jh=19>h4=212>70e34>o=7;n3:?5g`<498169=j5303893072:;:70892;13=>;5i808<45+59d9f=05016?9=55`1893eb2::j70;?d;13=>;1>908>n;%7;b?d?>2d>4h4>;|qa<1<720q6nl;552d896562;?h70=;1;7b7>;4<;0>m>5235691d5<5<:o6>>n;<454?57127=:?4<109>6d7=;8;0(86i:c:5?k3?m380q~895;296~;b:90>8=5267490`052z?5`5<48h16:nk54d48yv01?3:18v3ma48605=:jh<199>4=cc4>02734<=47:j6:pe60=839p1hn:63967804<=z{?n:6=4={<4g6?2b>27=h94<0`9~w3bc2909w08ke;6f2>;1m9085<5s4l27?hi4:609'1=`=l0i0b86j:19~wa?e2909wSj6b:?7`a<2=o1/95h5d8a8j0>b281vi7n:181[b>i27?hi4:5d9'1=`=l0i0b86j:39~wa?>2909wSj69:?7`a<2=m1/95h5d8a8j0>b2:1vi78:181[b>?27?hi4:5c9'1=`=l0i0b86j:59~wa?12909wSj66:?7`a<2=h1/95h5d8a8j0>b2<1vi7::181[b>=27?hi4:599'1=`=l0i0b86j:79~wa?32909wSj64:?7`a<2=>1/95h5d8a8j0>b2>1vi7<:181[b>;27?hi4:579'1=`=l0i0b86j:99~wa?52909wSj62:?7`a<2==1/95h5d8a8j0>b201vi7>:181[b>927?hi4:529'1=`=l0i0b86j:`9~wa?72909wSj60:?7`a<2=;1/95h5d8a8j0>b2k1vi6i:181[b?n27?hi4:509'1=`=l0i0b86j:b9~wa>b2909wSj7e:?7`a<2b2m1vio::181[bf=27?hi4:779'1=`=l0i0b86j:d9~wag32909wSjn4:?7`a<2?<1/95h5d8a8j0>b2o1vio<:181[bf;27?hi4:729'1=`=l0i0b86j:028yvbf:3:1>vPka39>0ab==>;0(86i:e;`?k3?m3;:7p}ka083>7}Ylh;019jk:453?!3?n3n2o6`:8d826>{tlh:1<75rse;e>5<5sWn2j63;de862`=#=1l1h4m4n4:f>4252z\g=`=:a?d3g?3i7?:;|qg==<72;qUh464=5fg>03d3-?3j7j6c:l6<`<6>2wxh5j50;0xZa>c34>oh7;;e:&6e2909w0ln7;311>;4;80>:45+59d9f=g5<5s4hj:7?=5:?074<2=j1/95h5b9c8j0>b281vo67:1818df=3;9963<30860`=#=1l1n5o4n4:f>7=z{?im6=4={<4g6?57i27=h=4;e79~w3b42909w08k4;6f2>;1l?08<55rs7fe>5<5s4n:639db804==z{?o86=4={<6g`?3f;27=i94;e79~w06d290?w0m93;311>;1>?08=<5251f90`0<5?>6;|q51c<72;q6:;>54d4893032::j7p}96083>7}:>?818h84=747>6763tyi5n4?:3y>`f`=9;?019j>:442?!3?n3h2n6`:8d83?xue1h0;6?u2dbg9573<5=n:68;i;%7;b?d>j2d>4h4>;|qa=<<72;q6hnj5137891b62h20l097p}m9983>7}:lji1=?;4=5f2>03c3-?3j7l6b:l6<`<43tyi5;4?:3y>`fg=9;?019j>:47a?!3?n3h2n6`:8d87?xue1<0;6?u2db;9573<5=n:68;n;%7;b?d>j2d>4h4:;|qa=1<72;q6hn65137891b62h20l0=7p}m9283>7}:lj=1=?;4=5f2>0303-?3j7l6b:l6<`<03tyi5?4?:3y>`f0=9;?019j>:475?!3?n3h2n6`:8d8;?xue180;6?u2db79573<5=n:68;;;%7;b?d>j2d>4h46;|qa=5<72;q6hn:5137891b62h20l0j7p}m8g83>7}:lj91=?;4=5f2>0353-?3j7l6b:l6<``f4=9;?019j>:472?!3?n3h2n6`:8d8`?xue0m0;6?u2db39573<5=n:68:i;%7;b?d>j2d>4h4k;|qae1<72;q6hi85137891b62<==7);7f;`:f>h20l0n7p}ma283>7}:lm?1=?;4=5f2>0123-?3j7l6b:l6<``a2=9;?019j>:450?!3?n3h2n6`:8d824>{tjh;1<744234>o=7;81:&6;3l80>;=5+59d9f249>0a7==?l0(86i:c;a?k3?m3;87p}m9d83>7}:lm:1=?;4=5f2>00b3-?3j7l6b:l6<`<6<2wxn4j50;0x9aee288>70:k1;75=>"20o0i5o5a59g950=z{k3<6=4={v3kbg8260=:g?e3g?3i7?8;|q5=f<72;q68i?520`896242<<:7);7f;4:f>h20l0;7p}9a683>7}:<74=260>03a3-?3j786b:l6<`<63ty=mn4?:3y>0a7=:8201>:<:47f?!3?n3<2n6`:8d81?xu1im0;6?u24e39641<5:>868;k;%7;b?0>j2d>4h4<;|q5e`<72;q68i?5207896242h20l0?7p}9ag83>7}:<:4=260>03f3-?3j786b:l6<`<23ty=n=4?:3y>0a7=:8801>:<:47;?!3?n3<2n6`:8d85?xu1j80;6?u24e39647<5:>868;8;%7;b?0>j2d>4h48;|q5f7<72;q68i?5202896242h20l037p}9b283>7}:=k4=260>0333-?3j786b:l6<`<>3ty=5i4?:3y>0a7=:9n01>:<:470?!3?n3<2n6`:8d8b?xu11l0;6?u24e3965e<5:>868;=;%7;b?0>j2d>4h4m;|q5=c<72;q68i?521`896242h20l0h7p}9a183>7}:=74=260>02a3-?3j786b:l6<`0a7=:::01>:<:455?!3?n3<2n6`:8d8f?xu1i;0;6?u24e3967`<5:>8689:;%7;b?0>j2d>4h4i;|q5e6<72;q68i?523f896242<=87);7f;4:f>h20l0:<6s|6`694?4|5=n:6?4k499c9m1=c=981v;o::18182c9389m63<428635=#=1l1:4l4n4:f>4452z?7`4<5:016?9=557d8 0>a2?3i7c;7e;30?xu1i10;6?u24e3967><5:>8688j;%7;b?0>j2d>4h4>4:p2d?=838p19j>:300?853;3?=56*:8g85=g=i=1o1=85rs7cb>5<5s4>o=7<>6:?006<2=j1/95h568`8j0>b28<0q~8nb;296~;3l809<552351911c<,<2m6;7m;o7;a?703ty=:l4?:3y>715=:8h01>:>:442?!3?n3<=56`:8d83?xu1?<0;6?u2351964?<5:>:68;i;%7;b?0112d>4h4>;|q53d<72;q6?9=520:896262h20l097p}97c83>7}:;=91><94=262>03c3-?3j7899:l6<`<43ty=;n4?:3y>715=:8?01>:>:47a?!3?n3<=56`:8d87?xu1?m0;6?u23519642<5:>:68;n;%7;b?0112d>4h4:;|q53`<72;q6?9=5200896262h20l0=7p}97g83>7}:;=91>0303-?3j7899:l6<`<03ty=4=4?:3y>715=:8:01>:>:475?!3?n3<=56`:8d8;?xu1080;6?u2351965c<5:>:68;;;%7;b?0112d>4h46;|q52g<72;q6?9=521f896262h20l0j7p}96b83>7}:;=91>=m4=262>0353-?3j7899:l6<`715=:9h01>:>:472?!3?n3<=56`:8d8`?xu1>l0;6?u2351965?<5:>:68:i;%7;b?0112d>4h4k;|q52c<72;q6?9=5222896262<==7);7f;45=>h20l0n7p}97183>7}:;=91>?h4=262>0123-?3j7899:l6<`715=:;n01>:>:450?!3?n3<=56`:8d824>{t>>81<774e349?=7;81:&601e95k5109~w3142909w0=;3;01e>;4<80>;=5+59d923?4=289>717==?l0(86i:74:?k3?m3;87p}97783>7}:;=91>?64=262>00b3-?3j7899:l6<`<6<2wx::950;0x96242;8870=;1;75=>"20o0=:45a59g950=z{?=36=4={<177?46>2788<4:5b9'1=`=>?30b86j:048yv0013:1>v3<42814==:;=;199k4$4:e>30>3g?3i7?8;|q5<6<72;q6?9=5257896252<<:7);7f;4;6>h20l0;7p}98d83>7}:;=91>9=4=261>03a3-?3j7872:l6<`<63ty=5>4?:3y>715=:=801>:=:47f?!3?n3<3>6`:8d81?xu11=0;6?u23519617<5:>968;k;%7;b?0?:2d>4h4<;|q5=0<72;q6?9=522d896252h20l0?7p}99783>7}:;=91>>k4=261>03f3-?3j7872:l6<`<23ty=5:4?:3y>715=::i01>:=:47;?!3?n3<3>6`:8d85?xu1110;6?u2351966d<5:>968;8;%7;b?0?:2d>4h48;|q5=<<72;q6?9=522c896252h20l037p}99`83>7}:;=91>>64=261>0333-?3j7872:l6<`<>3ty=494?:3y>715=::=01>:=:470?!3?n3<3>6`:8d8b?xu10<0;6?u23519660<5:>968;=;%7;b?0?:2d>4h4m;|q5<3<72;q6?9=5227896252h20l0h7p}98683>7}:;=91>>=4=261>02a3-?3j7872:l6<`715=::=:455?!3?n3<3>6`:8d8f?xu1000;6?u2351960?<5:>9689:;%7;b?0?:2d>4h4i;|q5h20l0:<6s|69`94?4|5:>86?;:;<176?3092.>4k49839m1=c=981v;6l:181853;38>863<438635=#=1l1:5<4n4:f>4452z?006<5=:16?9<557d8 0>a2?297c;7e;30?xu10o0;6?u23519604<5:>9688j;%7;b?0?:2d>4h4>4:p2<6=838p1>:<:36g?853:3?=56*:8g85<7=i=1o1=85rs7;2>5<5s49??7<;0:?007<2=j1/95h56908j0>b28<0q~862;296~;4<:09??52350911c<,<2m6;6=;o7;a?703ty=n84?:3y>0a7=:=?01>:;:442?!3?n3?68;i;%7;b?0e<2d>4h4>;|q5g0<72;q68i?5250896232h20l097p}9c783>7}:9?4=267>03c3-?3j78m4:l6<`<43ty=o:4?:3y>0a7=::l01>:;:47a?!3?n3?68;n;%7;b?0e<2d>4h4:;|q5g<<72;q68i?522a896232h20l0=7p}9c`83>7}:>l4=267>0303-?3j78m4:l6<`<03ty=oo4?:3y>0a7=::k01>:;:475?!3?n3<5:>?68;;;%7;b?0e<2d>4h46;|q5f3<72;q68i?5225896232h20l0j7p}9b683>7}:>84=267>0353-?3j78m4:l6<`0a7=::?01>:;:472?!3?n3?68:i;%7;b?0e<2d>4h4k;|q5fd<72;q68i?524c896232<==7);7f;4a0>h20l0n7p}9bc83>7}:874=267>0123-?3j78m4:l6<`0a7=:<=01>:;:450?!3?n3{t>kn1<7732349?87;81:&6;4<=0>;=5+59d92g2712==?l0(86i:7`7?k3?m3;87p}9c083>7}:8<4=267>00b3-?3j78m4:l6<`<6<2wx:n<50;0x91b62;>o70=;4;75=>"20o0=n95a59g950=z{?i86=4={<6g5?438278894:5b9'1=`=>k>0b86j:048yv0d<3:1>v3;d08177=:;=>199k4$4:e>3d33g?3i7?8;|q526<72;q6:;6531;893032=o=7p}=a183>7}:i:=1?=o4=3c2>1c13ty?i?4?:0;x90ga2;3i70:kd;006>;3lm098=524ef961b<5=no6?;=;<6g`?42;27?hi4=559>0ab=:563;de811d=:>=4=5fg>75234>oh7<<6:?7`a<5;>168ij522:891bc2;9j70:kd;00f>;3lm09?n524ef966c<5=no6?=i;<6g`?43927?hi4=439>0ab=:=9019jk:366?xub8j0;6iu2a069116<5h;>68:?;e5`===:01l??:463?8g383?<;63n408632=:i=819:94=`26>02734k;:7;;0:?b=4<2i816i=j54d48yvg4n3:1>v3n428605=:i:o18h84}rc0f?6=:r7j?84:419>e6g=:0>8=52a5690`054z?ae0<68016nl8511;89gg028:2708j2;6f2>{t>m?1<7?6{089>`fd=99301ij?:02:?8bc93;;563kd3824<=:lm91==74=ef7>46>34no97??9:?g`3<68016hn?511;89ae528:270jl3;33=>;ck=0:<452db7955?<5mi=6<>6;089>`f?=99301imn:02:?8bdk3;;563kce824<=:ljo1==74=eae>46>34;cn80:<452dga955?<5l::6<>6;4>089>a52=99301h>::02:?8c7>3;;563j06824<=:lo81==74=ed0>46>34nm87??9:?gb0<68016hk8511;89a`028:270ji8;33=>;cn00:<452dgc955?<5mli6<>6;089>`c`=99301h>?:02:?80ck3>n:6srbccg>5<593<86kotL4`3>4}O;;:0qA;m1;0x 0?628:<7c;62;36f>{#=h<1nl64Zg:96~?d2?=1qW;7d;32d3=?003d=u`k;=7>5$5da>d673g>mm7>4;h;eb?6=,=li6l>?;o6ee?7<3`3mi7>5$5da>d673g>mm7<4;h;e`?6=,=li6l>?;o6ee?5<3`o;47>5;hc75;h`6e?6=3fn2h7>5$5da>a?d3g>mm7>4;nf:f?6=,=li6i7l;o6ee?7<3fn2m7>5$5da>a?d3g>mm7<4;nf:=?6=,=li6i7l;o6ee?5<3fn2;7>5$5da>a?d3g>mm7:4;nf:2?6=,=li6i7l;o6ee?3<3fn297>5$5da>a?d3g>mm784;nf:0?6=,=li6i7l;o6ee?1<3fn2?7>5$5da>a?d3g>mm764;nf:6?6=,=li6i7l;o6ee??<3fn2=7>5$5da>a?d3g>mm7o4;nf:4?6=,=li6i7l;o6ee?d<3fn3j7>5$5da>a?d3g>mm7m4;nf;a?6=,=li6i7l;o6ee?b<3fnj97>5$5da>a?d3g>mm7k4;nfb0?6=,=li6i7l;o6ee?`<3fnj?7>5$5da>a?d3g>mm7??;:mge7<72->mn7j6c:l7bd<6921dhl?50;&7bgic1l0;6):ib;f:g>h3nh0:965`d8:94?"3nk0o5n5a4gc953=51;294~"2i?095n5G5`g8k7?e2900qoo>4;290?6=8r.>m;4=929K1dc{K=k;1=v*:908240=zao31<75f13794?=n==:1<75`52d94?=zjh;>6=4;:183!3f>382?6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:>84?::k605<722e>?k4?::ae5g=83>1<7>t$4c5>7?43A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l75=3:17d;;0;29?j34n3:17pln0c83>1<729q/9l852818L0gb3E?i<7?t$23g>5=zD5<;6=44o41e>5<54;294~"2i?095>5G5`g8H0d728q/?5<4>049~mc?=831b=?;50;9j116=831d9>h50;9~fd77290?6=4?{%7b2?4>;2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6:<0;66g:4183>>i2;o0;66sma5194?2=83:p(8o9:3;0?M3fm2F>n=4>{%12`?64623tcm57>5;h311?6=3`??<7>5;n70b?6=3thj?84?:583>5}#=h<1>4=4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<<::188m0272900c8=i:188ygg383:187>50z&6e3<51?1C9lk4L4`3>4}#;8n1:6sC5c395~"2180:<85rig;94?=n9;?1<75f56594?=h=:l1<75rb`62>5<3290;w);n6;0:2>N2il1G9o>51z&05a<13tF>n<4>{%7:5?77=2wbj44?::k260<722c>;:4?::m67c<722wim9<50;694?6|,J2j90:w)=>d;48yI3e93;p(87>:026?xoa13:17d?=5;29?l30?3:17b;:0;694?:1y'1d0=:090D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1==;4}hd:>5<6=44i463>5<50;9l16`=831vnl>9:187>5<7s-?j:7<63:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>2483>>o2<90;66a:3g83>>{em;:1<7;50;2x 0g12;3>7E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;997>5;h774?6=3`?<;7>5;n70b?6=3thim84?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900e8:?:188k05a2900qoln6;291?6=8r.>m;4=959K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75f55294?=h=:l1<75rbcc4>5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::k605<722e>?k4?::a`g`=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkc183>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fab7290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smde394?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thoh?4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygbc;3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbef7>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihi;50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elj91<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`gg1<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojl5;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjmi=6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::a`f1=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plkc983>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~faef290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdba94?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thooi4?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygbdm3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbeae>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihk>50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<>:187>5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{em981<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`f46<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qok?4;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjl:>6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::aa50=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?628:>7pgi9;29?l7713:17d?=5;29?j34n3:17plj0683>1<729q/9l852808L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<7>54;294~"2i?095?5G5`g8H0d728q/?5<4>049~mc?=831b==750;9j573=831d9>h50;9~fa`4290?6=4?{%7b2?4>:2B>mh5C5c295~"49m0;7pB:b082!3>93;;96sff883>>o6800;66g>2483>>i2;o0;66smdg694?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?64623tcm57>5;h33=?6=3`;997>5;n70b?6=3thoj84?:583>5}#=h<1>4<4H4cf?I3e83;p(>?k:19~H0d628q/94?51178yl`>2900e<>6:188m4422900c8=i:188ygba>3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<85rig;94?=n9931<75f13794?=h=:l1<75rbed4>5<3290;w);n6;0:6>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77=2wbj44?::k24<<722c:>84?::m67c<722wihk650;694?6|,J2j90:w)=>d;28yI3e93;p(87>:026?xoa13:17d??9;29?l75=3:17b;4}#=0;1==;4}hd:>5<5<5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;331>{nn00;66g>0883>>o6:<0;66a:3g83>>{elon1<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>:;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`gb`<72=0;6=u+5`496<4<@:0y'1<7=99?0qdh6:188m46>2900e<<::188k05a2900qojif;290?6=8r.>m;4=939K1dc{K=k;1=v*:908240=zao31<75f11;94?=n9;?1<75`52d94?=zjl:;6=4;:183!3f>382>6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??5:jb<<722c:<44?::k260<722e>?k4?::ae<7=8321<7>tL4`2>3}#==>1n974n3;:>6=i:0k1:6*=998:ef=#=0;1==;4n4;1>1dm<4?::m1=c<722ej594?::mb=7<722eh:?4?::a0ab=83>26=4?{M7a5?>|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=911/94?51178j0?52;n0q);n6;6g`>\a039p:9495;45>xo5810;6):ib;02e>h3nh0:;65f20494?"3nk09=l5a4gc953=44?:%6ef?46i2d?jl4>3:9j67g=83.?jo4=1`9m0cg=9;10e?!2aj38:m6`;f`8e?>o5;90;6):ib;02e>h3nh0n76g=0883>!2aj38:m6`;f`8g?>o58k0;6):ib;02e>h3nh0h76g=0b83>!2aj38:m6`;f`8a?>o58m0;6):ib;02e>h3nh0j76g=0d83>!2aj38:m6`;f`8:?>o5990;6):ib;02e>h3nh0376g=1083>!2aj38:m6`;f`84?>o59;0;6):ib;02e>h3nh0=76g=1583>!2aj38:m6`;f`86?>o59<0;6):ib;02e>h3nh0?76g=1683>!2aj38:m6`;f`80?>o5910;6):ib;02e>h3nh0976g=1883>!2aj38:m6`;f`82?>o59k0;6):ib;02e>h3nh0;76g=3383>!2aj38?86`;f`823>=n:=:1<7*;fc8101=i5<#9:4n5db>43<3`8>>7>5$5da>7233g>mm7?;;:k116<72->mn7<;4:l7bd<6;21b>8:50;&7bg<5<=1e8ko51398m732290/8kl52568j1`f28;07d<:7;29 1`e2;>?7c:ia;33?>o5=00;6):ib;070>h3nh0m76g=5`83>!2aj38?86`;f`8f?>o5;:0;6):ib;070>h3nh0o76g=3483>!2aj38?86`;f`8`?>o5;?0;6):ib;070>h3nh0i76g=3683>!2aj38?86`;f`8b?>o5;10;6):ib;070>h3nh0276g=3`83>!2aj38?86`;f`8;?>o5;k0;6):ib;070>h3nh0<76g=3b83>!2aj38?86`;f`85?>o5;l0;6):ib;070>h3nh0>76g=3g83>!2aj38?86`;f`87?>o5<80;6):ib;070>h3nh0876g=4383>!2aj38?86`;f`81?>o5<:0;6):ib;070>h3nh0:76g=4483>!2aj38?86`;f`83?>o2i:0;66a:4d83>!2aj3?=<6`;f`823>=h=5<#4n5db>43<3f?=i7>5$5da>0073g>mm7?;;:m62c<72->mn7;90:l7bd<6;21d9:>50;&7bg<2>91e8ko51398k016290/8kl55728j1`f28;07b;83;29 1`e2<<;7c:ia;33?>i2?<0;6):ib;754>h3nh0m76a:7783>!2aj3?=<6`;f`8f?>i2h3nh0o76a:5083>!2aj3?=<6`;f`8`?>i2=;0;6):ib;754>h3nh0i76a:5283>!2aj3?=<6`;f`8b?>i2==0;6):ib;754>h3nh0276a:5783>!2aj3?=<6`;f`8;?>i2=>0;6):ib;754>h3nh0<76a:5983>!2aj3?=<6`;f`85?>i2=h0;6):ib;754>h3nh0>76a:5c83>!2aj3?=<6`;f`87?>i2=m0;6):ib;754>h3nh0876a:5d83>!2aj3?=<6`;f`81?>i2=o0;6):ib;754>h3nh0:76a:6083>!2aj3?=<6`;f`83?>{e;:;1<7750;2xH0d62>q/99:590:8 0662;?i7);n8;78 0642<>o7);n7;28 0?62=o87c;62;6g=>{#=h<1?>?4Zg:97~>>21k14n4ri37`>5<#;o4n5db>7=5<#;o4n5db>5=5<#5:4n5db>4=6=4+4g`96=25<#7=5<#5=o=7>54883>5}K=k;14v*:458a24=#=84$427>0g53-?;?7;;d:l6=5<53g?jn7?7;%7:5?77=2d>5?465:'1d0=33=>?0ve?>7:18'0cd=:8k0b9hn:058?l46>3:1(9hm:33b?k2ai3;=76g=2283>!2aj38:m6`;f`821>=n:;21<7*;fc815d=i5<#45<3`89m7>5$5da>77f3g>mm7?=;:k16g<72->mn7<>a:l7bd<6921b>?j50;&7bg<59h1e8ko51198m74a290/8kl520c8j1`f2o10e?=?:18'0cd=:8k0b9hn:d98m76>290/8kl520c8j1`f2m10e?>m:18'0cd=:8k0b9hn:b98m76d290/8kl520c8j1`f2k10e?>k:18'0cd=:8k0b9hn:`98m76b290/8kl520c8j1`f2010e???:18'0cd=:8k0b9hn:998m776290/8kl520c8j1`f2>10e??=:18'0cd=:8k0b9hn:798m773290/8kl520c8j1`f2<10e??::18'0cd=:8k0b9hn:598m770290/8kl520c8j1`f2:10e??7:18'0cd=:8k0b9hn:398m77>290/8kl520c8j1`f2810e??m:18'0cd=:8k0b9hn:198m755290/8kl52568j1`f28=07d<;0;29 1`e2;>?7c:ia;35?>o5h3nh0:965f24094?"3nk09895a4gc951=1:9j601=83.?jo4=459m0cg=9910e?;6:18'0cd=:=>0b9hn:g98m73f290/8kl52568j1`f2l10e?=<:18'0cd=:=>0b9hn:e98m752290/8kl52568j1`f2j10e?=9:18'0cd=:=>0b9hn:c98m750290/8kl52568j1`f2h10e?=7:18'0cd=:=>0b9hn:898m75f290/8kl52568j1`f2110e?=m:18'0cd=:=>0b9hn:698m75d290/8kl52568j1`f2?10e?=j:18'0cd=:=>0b9hn:498m75a290/8kl52568j1`f2=10e?:>:18'0cd=:=>0b9hn:298m725290/8kl52568j1`f2;10e?:<:18'0cd=:=>0b9hn:098m722290/8kl52568j1`f2910e8o<:188k02b290/8kl55728j1`f28=07b;:c;29 1`e2<<;7c:ia;35?>i2>00;6):ib;754>h3nh0:965`57g94?"3nk0>:=5a4gc951=;<4?:%6ef?3182d?jl4>1:9l125=83.?jo4:619m0cg=9910c89::18'0cd==?:0b9hn:g98k011290/8kl55728j1`f2l10c8:i:18'0cd==?:0b9hn:e98k036290/8kl55728j1`f2j10c8;=:18'0cd==?:0b9hn:c98k034290/8kl55728j1`f2h10c8;;:18'0cd==?:0b9hn:898k031290/8kl55728j1`f2110c8;8:18'0cd==?:0b9hn:698k03?290/8kl55728j1`f2?10c8;n:18'0cd==?:0b9hn:498k03e290/8kl55728j1`f2=10c8;k:18'0cd==?:0b9hn:298k03b290/8kl55728j1`f2;10c8;i:18'0cd==?:0b9hn:098k006290/8kl55728j1`f2910qo=;3;290<<729qG9o?58z&60181/8kk52148 0632h219097c;nb;3;?!3>93;;96`:938:1>{#=h<1?9=4Zg:97~032??1:;4ri32;>5<#41<3`8::7>5$5da>77f3g>mm7?9;:k166<72->mn7<>a:l7bd<6=21b>?650;&7bg<59h1e8ko51598m74>290/8kl520c8j1`f28907d<=a;29 1`e2;;j7c:ia;31?>o5:k0;6):ib;02e>h3nh0:=65f23f94?"3nk09=l5a4gc955=5<#`=5<#f=5<#d=5<#==5<#3=5<#1=54i33;>5<#7=5<#5=5:9j604=83.?jo4=459m0cg=9=10e?;<:18'0cd=:=>0b9hn:018?l42<3:1(9hm:367?k2ai3;976g=5483>!2aj38?86`;f`825>=n:<=1<7*;fc8101=i5<#9:4n5db>c=5<#9:4n5db>a=6=4+4g`96125<#9:4n5db>g=5<#9:4n5db><=5<#9:4n5db>2=5<#9:4n5db>0=5<#9:4n5db>6=96=4+4g`96125<#9:4n5db>4=>6=4+4g`96125<n6=4+4g`9136:44?:%6ef?3182d?jl4>5:9l13c=83.?jo4:619m0cg=9=10c88i:18'0cd==?:0b9hn:018?j3083:1(9hm:443?k2ai3;976a:7083>!2aj3?=<6`;f`825>=h=>91<7*;fc8625=i5<#4n5db>c=5<#4n5db>a=5<#4n5db>g=5<#4n5db><=5<#4n5db>2=5<#4n5db>0=5<#4n5db>6=5<#4n5db>4=5=4=;o7bf?7?3-?2=7??5:l6=7<>=2w/9l853538^c>=;r6;29 1`e2;;j7c:ia;35?>o5::0;6):ib;02e>h3nh0:965f23:94?"3nk09=l5a4gc951=o4?:%6ef?46i2d?jl4>1:9j67b=83.?jo4=1`9m0cg=9910e?6:18'0cd=:8k0b9hn:e98m76e290/8kl520c8j1`f2j10e?>l:18'0cd=:8k0b9hn:c98m76c290/8kl520c8j1`f2h10e?>j:18'0cd=:8k0b9hn:898m777290/8kl520c8j1`f2110e??>:18'0cd=:8k0b9hn:698m775290/8kl520c8j1`f2?10e??;:18'0cd=:8k0b9hn:498m772290/8kl520c8j1`f2=10e??8:18'0cd=:8k0b9hn:298m77?290/8kl520c8j1`f2;10e??6:18'0cd=:8k0b9hn:098m77e290/8kl520c8j1`f2910e?==:18'0cd=:=>0b9hn:058?l4383:1(9hm:367?k2ai3;=76g=4e83>!2aj38?86`;f`821>=n:<81<7*;fc8101=i5<#9:4n5db>45<3`8>87>5$5da>7233g>mm7?=;:k110<72->mn7<;4:l7bd<6921b>8950;&7bg<5<=1e8ko51198m73>290/8kl52568j1`f2o10e?;n:18'0cd=:=>0b9hn:d98m754290/8kl52568j1`f2m10e?=::18'0cd=:=>0b9hn:b98m751290/8kl52568j1`f2k10e?=8:18'0cd=:=>0b9hn:`98m75?290/8kl52568j1`f2010e?=n:18'0cd=:=>0b9hn:998m75e290/8kl52568j1`f2>10e?=l:18'0cd=:=>0b9hn:798m75b290/8kl52568j1`f2<10e?=i:18'0cd=:=>0b9hn:598m726290/8kl52568j1`f2:10e?:=:18'0cd=:=>0b9hn:398m724290/8kl52568j1`f2810e?:::18'0cd=:=>0b9hn:198m0g42900c8:j:18'0cd==?:0b9hn:058?j32k3:1(9hm:443?k2ai3;=76a:6883>!2aj3?=<6`;f`821>=h=?o1<7*;fc8625=i5<#4n5db>45<3f?<<7>5$5da>0073g>mm7?=;:m634<72->mn7;90:l7bd<6921d9:=50;&7bg<2>91e8ko51198k012290/8kl55728j1`f2o10c899:18'0cd==?:0b9hn:d98k02a290/8kl55728j1`f2m10c8;>:18'0cd==?:0b9hn:b98k035290/8kl55728j1`f2k10c8;<:18'0cd==?:0b9hn:`98k033290/8kl55728j1`f2010c8;9:18'0cd==?:0b9hn:998k030290/8kl55728j1`f2>10c8;7:18'0cd==?:0b9hn:798k03f290/8kl55728j1`f2<10c8;m:18'0cd==?:0b9hn:598k03c290/8kl55728j1`f2:10c8;j:18'0cd==?:0b9hn:398k03a290/8kl55728j1`f2810c88>:18'0cd==?:0b9hn:198yg53:3:1844?:1yO1g7=0r.>894m609'0cc=:9<0(8>;:4c1?!37;3??h6`:9181?k3fj3;37);61;331>h21;0296s+5`49714>4?:%6ef?46i2d?jl4>5:9j67>=83.?jo4=1`9m0cg=9=10e?<6:18'0cd=:8k0b9hn:018?l45i3:1(9hm:33b?k2ai3;976g=2c83>!2aj38:m6`;f`825>=n:;n1<7*;fc815d=i5<#c=5<#a=5<#g=5<#<=5<#2=5<#0=6=4+4g`964g5<#6=5<#4=5<#9:4n5db>41<3`8?<7>5$5da>7233g>mm7?9;:k10a<72->mn7<;4:l7bd<6=21b>8<50;&7bg<5<=1e8ko51598m734290/8kl52568j1`f28907d<:4;29 1`e2;>?7c:ia;31?>o5=<0;6):ib;070>h3nh0:=65f24594?"3nk09895a4gc955=5<#9:4n5db>`=5<#9:4n5db>f=5<#9:4n5db>d=5<#9:4n5db>==5<#9:4n5db>3=5<#9:4n5db>1=:6=4+4g`961254i361>5<#9:4n5db>7=86=4+4g`96125<#9:4n5db>5=5<#4n5db>41<3f?>o7>5$5da>0073g>mm7?9;:m62<<72->mn7;90:l7bd<6=21d9;k50;&7bg<2>91e8ko51598k00a290/8kl55728j1`f28907b;80;29 1`e2<<;7c:ia;31?>i2?80;6):ib;754>h3nh0:=65`56194?"3nk0>:=5a4gc955=6=4+4g`91365<#4n5db>`=m6=4+4g`91365<#4n5db>f=5<#4n5db>d=5<#4n5db>==5<#4n5db>3=5<#4n5db>1=54o47f>5<#4n5db>7=5<#4n5db>5=54883>5}K=k;14v*:458a24=#=84$427>0g53-?;?7;;d:l6=5<53g?jn7?7;%7:5?77=2d>5?465:'1d0=;=>0Vk653z47>33=>?0ve?>7:18'0cd=:8k0b9hn:058?l46>3:1(9hm:33b?k2ai3;=76g=2283>!2aj38:m6`;f`821>=n:;21<7*;fc815d=i5<#45<3`89m7>5$5da>77f3g>mm7?=;:k16g<72->mn7<>a:l7bd<6921b>?j50;&7bg<59h1e8ko51198m74a290/8kl520c8j1`f2o10e?=?:18'0cd=:8k0b9hn:d98m76>290/8kl520c8j1`f2m10e?>m:18'0cd=:8k0b9hn:b98m76d290/8kl520c8j1`f2k10e?>k:18'0cd=:8k0b9hn:`98m76b290/8kl520c8j1`f2010e???:18'0cd=:8k0b9hn:998m776290/8kl520c8j1`f2>10e??=:18'0cd=:8k0b9hn:798m773290/8kl520c8j1`f2<10e??::18'0cd=:8k0b9hn:598m770290/8kl520c8j1`f2:10e??7:18'0cd=:8k0b9hn:398m77>290/8kl520c8j1`f2810e??m:18'0cd=:8k0b9hn:198m755290/8kl52568j1`f28=07d<;0;29 1`e2;>?7c:ia;35?>o5h3nh0:965f24094?"3nk09895a4gc951=1:9j601=83.?jo4=459m0cg=9910e?;6:18'0cd=:=>0b9hn:g98m73f290/8kl52568j1`f2l10e?=<:18'0cd=:=>0b9hn:e98m752290/8kl52568j1`f2j10e?=9:18'0cd=:=>0b9hn:c98m750290/8kl52568j1`f2h10e?=7:18'0cd=:=>0b9hn:898m75f290/8kl52568j1`f2110e?=m:18'0cd=:=>0b9hn:698m75d290/8kl52568j1`f2?10e?=j:18'0cd=:=>0b9hn:498m75a290/8kl52568j1`f2=10e?:>:18'0cd=:=>0b9hn:298m725290/8kl52568j1`f2;10e?:<:18'0cd=:=>0b9hn:098m722290/8kl52568j1`f2910e8o<:188k02b290/8kl55728j1`f28=07b;:c;29 1`e2<<;7c:ia;35?>i2>00;6):ib;754>h3nh0:965`57g94?"3nk0>:=5a4gc951=;<4?:%6ef?3182d?jl4>1:9l125=83.?jo4:619m0cg=9910c89::18'0cd==?:0b9hn:g98k011290/8kl55728j1`f2l10c8:i:18'0cd==?:0b9hn:e98k036290/8kl55728j1`f2j10c8;=:18'0cd==?:0b9hn:c98k034290/8kl55728j1`f2h10c8;;:18'0cd==?:0b9hn:898k031290/8kl55728j1`f2110c8;8:18'0cd==?:0b9hn:698k03?290/8kl55728j1`f2?10c8;n:18'0cd==?:0b9hn:498k03e290/8kl55728j1`f2=10c8;k:18'0cd==?:0b9hn:298k03b290/8kl55728j1`f2;10c8;i:18'0cd==?:0b9hn:098k006290/8kl55728j1`f2910qok?d;296?6=8r.>m;4<1d9K1dc5<53;294~"2i?0n;6F:ad9j75?=831b?=o50;9l0`0=831vn;j=:180>5<7s-?j:7k8;I7ba>o4800;66g<0`83>>i3m?0;66sm6eg94?5=83:p(8o9:d:8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`5`=<72:0;6=u+5`49a==O=ho0e>>6:188m66f2900c9k9:188yg0c83:1?7>50z&6e3<3mo1C9lk4i22:>5<5<53;294~"2i?0?ik5G5`g8m66>2900e>>n:188k1c12900qo8j0;297?6=8r.>m;4;eg9K1dc5<>6:188m66f2900c9k9:188yg0b<3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=zjh9n6=4<:183!3f>3>nj6F:ad9j75?=831b?=o50;9l0`0=831vnl=n:180>5<7s-?j:7:jf:J6e`=n;931<75f31c94?=h5<4290;w);n6;6e4>N2il1b?=750;9j75g=831d8h850;9~f3c529096=4?{%7b2?56m2B>mh5f31:94?=h5<5290;w);n6;12a>N2il1b?=650;9l0`0=831vn;jl:181>5<7s-?j:7=>e:J6e`=n;921<75`4d494?=zj?<=6=4;:183!3f>3oi7E;ne:k04<<722c81<7>t$4c5>`g<@1;29?j2b>3:17pl96983>1<729q/9l85e`9K1dc5<5<54;294~"2i?0nn6F:ad9j75?=831b?=o50;9j747=831d8h850;9~f307290?6=4?{%7b2?ce3A?ji6g<0883>>o48h0;66g<1083>>i3m?0;66sm67094?2=83:p(8o9:dc8L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3th=:94?:583>5}#=h<18k?4H4cf?l5713:17d=?a;29?l5693:17b:j6;29?xd5i80;694?:1y'1d0=mm1C9lk4i22:>5<5<?;o7;a?552z\:b`=:i9k1=?;4$4:e>d673g?3i7<4}r;eb?6=:rT2jk52a1d9573<,<2m6l>?;o7;a?752z\b44=:i8>1=?;4$4:e>d673g?3i7>4}rg3<5h9n6>>6;e42=n016m<;5f89>e5g=n016m=l5f89>e5`=n016m<>5f89>e15=n016m>;5f89>e16=n016m9?5f89>e14=n016o;=5f89>e53=n016m=85f89>a76=n016nl;5f89>fd0=n016nl95f89>`g`=n016hn>5f89>`fd=n016hi>5f89>`a7=n016hi<5f89>`a5=n016hi:5f89>`a3=n016hi85f89>`f7=n016hn<5f89>`f5=n016hn:5f89>`f3=n016hn85f89>`f1=n016hn65f89>`f?=n016hno5f89>`fe=n016hnj5f89>`fc=n016hnh5f89>`c6=n016hk?5f89>`ce=n016i=?5f89>a54=n016i==5f89>a52=n016i=;5f89>a50=n016i=95f89>`c4=n016hk=5f89>`c2=n016hk;5f89>`c0=n016hk95f89>`c>=n016hk75f89>`cg=n016hkl5f89>`cb=n016hkk5f89>`c`=n016i=>5f89>e<7=9;>0q~o=f;296~Xf:o16m9>51378yvd2i3:1>vPm5`9>e<7=j?k52a1`9573<,<2m6l>7;o7;a?757z?b4g<2;o16?9?520:896262;9h70=;2;03`>;4<;099l52356967g<5:>?6?:?;%7;b?g702d>4h4?;|qb4f<72=q6m=h552d89d77288>70=;4;01f>;4<=098i5+59d9e5b5<2s4k:<7;"20o0j{ti8;1<705a34k:97?=5:&6<>4=261>752349?87<=d:?001<5=;1/95h5a008j0>b291vl:<:1848g3;3?8j639dd804<=:>m:1?=74=`67>66>34<=:7=?a:?5g`<48016:;6531c8yvg4=3:1>v3n34867c=:i:=1?=74}ra57?6=;r7h:>4:3g9>e<7=k?901;j7:22:?xuf8;0;6?u2a17916`<5h:=6<<:;%7;b?g7;2d>4h4>;|qb41<72>q6m=8552d896262;;<70=;1;00f>;4<;09?6?<6;<170?44:2.>4k4n029m1=c=82wxm>750;6x9d24288>70o;1;70b>;f<;0:>852a2c975g<,<2m6l=l;o7;a?752z?b05<2;o16m9?51378 0>a2h9h7c;7e;08yvg4l3:1?v3n348260=:i=819>h4=`1f>66f3-?3j7o=4?:6y>a76=9;?01hm>1?=74=7g3>66>34<=47=>1:p6<`=839p1l7>:3;e?80c039;m639d`804<=z{h3?6=4={<27=i94<089'1=`=i090b86j:19~wd?52909w0o61;c:6>;1m=085<5sWi=>63n908`27=z{mh=6=48{249>717=:=?01>:=:33a?853:38?963<45815g=:;=>1>9;4$4:e>ad23g?3i7>4}rfa0?6=?r7ooh4:3g9>`c`=9;?01>:>:360?853:38:563<438106=:;=>1><74=267>7243-?3j7jm5:l6<`<63tyon>4?:6y>`fb==:l01ihj:006?853938?>63<43815==:;=81>9<4=267>77?349?87<;2:&6<94=261>726349?87<>7:?001<5<81/95h5dc78j0>b2:1vil?:1848bdi3?8j63kfc8260=:;=;1><;4=261>772349?>7<a2mh>7c;7e;68yvbfn3:1;v3kc8867c=:lok1=?;4=262>773349?>7<>4:?007<5;l16?9:5206896232;9n7);7f;fa1>h20l0>7p}kad83>2}:lj219>h4=ed:>442349?=7<>2:?007<59;16?9<522a896232;;970=;4;00g>"20o0on85a59g92>{tlhn1<79t=ea4>05a34nm47?=5:?004<59816?9<5203896252;9i70=;4;025>;4<=09?o5+59d9`g35<0s4nh:7;;4<=09==52356966g<,<2m6il:;o7;a?>57z?gg0<2;o16hk85137896262;:n70=;1;00<>;4<;09?552356965c<5:>?6?=7;%7;b?be=2d>4h46;|qged<72>q6hn:552d89a`2288>70=;1;03`>;4<809?:523509661<5:>?6?>k;<170?44?2.>4k4kb49m1=c=i2wxhl750;5x9ae42<9m70ji4;311>;4<80996?=9;<170?47k278894=379'1=`=lk?0b86j:c9~wag?290;cn:0:>852353965d<5:>:6?=:;<176?47j278894=0c9>712=::?0(86i:e`6?k3?m3i0q~jn7;293~;ck80>?k52dg09573<5:>:6?>6;<175?44;2788?4=089>712=:9301>:;:310?!3?n3ni96`:8d8g?xucjl0;6:u2de4916`<5l:<6<<:;<175?4482788<4=5`9>714=:::01>:;:313?853<38>m6*:8g8gf0=i=1o1i6s|dcf94?1|5mn>68=i;717=:<301>:=:30e?853<389j63<45811<=#=1l1ho;4n4:f>c=z{mhh6=48{249>717=:;n01>:>:374?853:389h63<438112=:;=>1>894$4:e>ad23g?3i7??;|qgfg<72>q6hi=552d89`63288>70=;1;01f>;4<8099852350967d<5:>96?;:;<170?42=2.>4k4kb49m1=c=981viln:1848bc:3?8j63j028260=:;=;1>?o4=262>733349?>7<=a:?007<5==16?9:52468 0>a2mh>7c;7e;31?xucj00;6:u2de3916`<5l:96<<:;<175?4512788<4=529>714=:;301>:=:370?853<38>?6*:8g8gf0=i=1o1=>5rse`;>5<0s4no<7;;4<;099?52356967><,<2m6il:;o7;a?733tyon:4?:6y>`fd==:l01ihl:006?8539389?63<40810a=:;=81>?=4=261>72c349?87<=3:&6;cn80:>8523539640<5:>:6?:?;<176?46>2788?4=419>712=:8<0(86i:e`6?k3?m3;=7p}ka783>2}:lkl19>h4=ed3>442349?=7"20o0on85a59g952=z{mo<6=4={;3lm09=45+59d9``05<5s4nmi7;b2;1vik<:1818bal3?8j63;de8152=#=1l1hh84n4:f>6=z{mo:6=4={;3lm09=95+59d9``05<5s4nm57;b2?1vijj:1818ba03?8j63;de8154=#=1l1hh84n4:f>2=z{mno6=4={;3lm095<5s4nm97;b2h1vijn:1818ba<3?8j63;de814f=#=1l1hh84n4:f>g=z{mn26=4={;3lm09<45+59d9``05<5s4o;;7;b2l1vikj:1818c7>3?8j63;de816c=#=1l1hh84n4:f>c=z{moo6=4={v3j05867c=:?l4$4:e>ac13g?3i7?>;|qgag<72;q6i==552d891bc2;8j7);7f;ff2>h20l0:>6s|ddc94?4|5l:968=i;<6g`?4512.>4k4ke79m1=c=9:1vik6:1818c793?8j63;de816==#=1l1hh84n4:f>4252z?gbf<2;o168ij52318 0>a2mo=7c;7e;36?xucm;0;6?u2dg3916`<5=no6??9;%7;b?bb>2d>4h4>6:p`a1=838p1ih?:41e?82cl38;46*:8g8ga3=i=1o1=:5rsc:4>5k168i?55`1893eb2:;:70;?d;125>;1>908=<52670975?<5;k:6>>6;%7;b?d?>2d>4h4?;|qa<0<721q6nl8552d896562;<270=;3;7b7>;1kl08>n;<456?57i279m<4<0`9'1=`=j1<0b86j:09~wg>32902w0ln5;70b>;4;8099n5235391d5<5:>968o<;<170?3f;27>236=;9301;8=:232?84f939:=6*:8g8a<3=i=1o1>6s|67794?4|5l8;68:?;<452?2b>2wx:nj50;0x93b72::j708le;6f2>{t>?=1<7:t=cc6>02734hj:7;;0:?ae2<2<916:;654d48yvg4>3:1?v3j218632=:i:=18h84=745>66>3ty=h<4?:3y>2a4=>n;|q5`2<72;q6:i654d4893bf2::j7p}k9e83>7}Yl0n019jk:442?!3?n3n2o6`:8d83?xuc1k0;6?uQd8`891bc2h20l0:7p}k9`83>7}Yl0k019jk:47f?!3?n3n2o6`:8d81?xuc100;6?uQd8;891bc2h20l087p}k9683>7}Yl0=019jk:47a?!3?n3n2o6`:8d87?xuc1?0;6?uQd84891bc2h20l0>7p}k9483>7}Yl0?019jk:47;?!3?n3n2o6`:8d85?xuc1=0;6?uQd86891bc2h20l0<7p}k9283>7}Yl09019jk:475?!3?n3n2o6`:8d8;?xuc1;0;6?uQd80891bc2h20l027p}k9083>7}Yl0;019jk:470?!3?n3n2o6`:8d8b?xuc190;6?uQd82891bc2h20l0i7p}k8g83>7}Yl1l019jk:472?!3?n3n2o6`:8d8`?xuc0l0;6?uQd9g891bc2<>m7);7f;f:g>h20l0o7p}ka483>7}Ylh?019jk:455?!3?n3n2o6`:8d8f?xuci=0;6?uQd`6891bc2<=>7);7f;f:g>h20l0m7p}ka283>7}Ylh9019jk:450?!3?n3n2o6`:8d824>{tlh81<75<5sWnj=63;de8635=#=1l1h4m4n4:f>4452z\ge5=:a?d3g?3i7?<;|qg=c<72;qUh4h4=5fg>00b3-?3j7j6c:l6<`<6<2wxh4k50;0xZa?b34>oh7;99:&6b28<0q~j7d;296~Xc0m168ij555g8 0>a2m3h7c;7e;34?xue0k0;6?u2b`59573<5:9:6886;%7;b?d?i2d>4h4?;|qa<<<72;q6nl85137896562h20l0:7p}m8983>7}:jh?1=?;4=212>02b3-?3j7l7a:l6<`<53ty=ok4?:3y>2a4=;9k01;j?:5g5?xu1l:0;6?u26e690`0<5?n=6>>7;|q5`c<72;q6:h>54d4893c52::37p}9d883>7}:>mk18h84=7f`>66?3ty=i>4?:3y>0ab==h901;k;:5g5?xu28j0;69u2c719573<5?<=6>?>;<73`?2b>27=:94<089~w33a2909w0890;6f2>;1>=085<5s4<=>7:j6:?521<4981vo7l:1818bdn3;9963;d08624=#=1l1n4l4n4:f>5=z{k3j6=4={2909w0jld;311>;3l80>9h5+59d9f5<5s4nho7?=5:?7`4<2=m1/95h5b8`8j0>b2:1vo79:1818bdi3;9963;d0861g=#=1l1n4l4n4:f>1=z{k3>6=4={;3l80>955+59d9f5<5s4nh;7?=5:?7`4<2=>1/95h5b8`8j0>b2>1vo7=:1818bd>3;9963;d08613=#=1l1n4l4n4:f>==z{k3:6=4={;3l80>9>5+59d9f5<5s4nh?7?=5:?7`4<2=;1/95h5b8`8j0>b2k1vo6j:1818bd:3;9963;d08614=#=1l1n4l4n4:f>f=z{k2o6=4={;3l80>;;5+59d9f5<5s4no97?=5:?7`4<2?<1/95h5b8`8j0>b2o1voo=:1818bc<3;9963;d08636=#=1l1n4l4n4:f>4652z?g`6<6:<168i?55638 0>a2k3i7c;7e;32?xuei90;6?u2de09573<5=n:689?;%7;b?d>j2d>4h4>2:pf<`=838p1ij>:006?82c93?=j6*:8g8a=g=i=1o1=>5rsc;f>5<5s4no<7?=5:?7`4<2>l1/95h5b8`8j0>b28>0q~l6d;296~;ckk0:>8524e3913?<,<2m6o7m;o7;a?723tyi5:4?:3y>`f6=9;?019j>:47`?!3?n3h2n6`:8d822>{tj1i1<744234>o=7;;e:&6;4<:0>:<5+59d925<5s4>o=7<>9:?006<2=o1/95h568`8j0>b281v;ol:18182c938:463<42861`=#=1l1:4l4n4:f>7=z{?ko6=4={<6g5?46?2788>4:5e9'1=`=>0h0b86j:29~w3gb2909w0:k1;021>;4<:0>9o5+59d925<5s4>o=7<>4:?006<2=h1/95h568`8j0>b2<1v;l?:18182c938:>63<42861==#=1l1:4l4n4:f>3=z{?h:6=4={<6g5?4692788>4:569'1=`=>0h0b86j:69~w3d52909w0:k1;024>;4<:0>9;5+59d925<5s4>o=7b201v;7k:18182c938;h63<428616=#=1l1:4l4n4:f>d=z{?3n6=4={<6g5?47k2788>4:539'1=`=>0h0b86j:c9~w3?a2909w0:k1;03f>;4<:0>9<5+59d925<5s4>o=7b2m1v;o>:18182c9388<63<428633=#=1l1:4l4n4:f>`=z{?k96=4={<6g5?45n2788>4:749'1=`=>0h0b86j:g9~w3g42909w0:k1;01`>;4<:0>;>5+59d924}r4b0?6=:r7?h<4=2c9>715==>;0(86i:7;a?k3?m3;:7p}9a483>7}:?o4=260>0173-?3j786b:l6<`<6:2wx:l850;0x91b62;8270=;3;75b>"20o0=5o5a59g956=z{?k36=4={<6g5?4502788>4:6d9'1=`=>0h0b86j:068yv0f13:1>v3;d08166=:;=919;74$4:e>3?e3g?3i7?:;|q5ed<72;q68i?5204896242h20l0::6s|6``94?4|5=n:6?>7;<177?33m2.>4k499c9m1=c=9>1v;8n:181853;38:n63<408624=#=1l1:;74n4:f>5=z{?=>6=4={<177?4612788<4:5g9'1=`=>?30b86j:09~w31f2909w0=;3;02<>;4<80>9h5+59d923?5<5s49??7<>7:?004<2=m1/95h567;8j0>b2:1v;9l:181853;38:963<40861g=#=1l1:;74n4:f>1=z{?=o6=4={<177?46<2788<4:5`9'1=`=>?30b86j:49~w31b2909w0=;3;026>;4<80>955+59d923?5<5s49??7<>1:?004<2=>1/95h567;8j0>b2>1v;6?:181853;38:<63<408613=#=1l1:;74n4:f>==z{?2:6=4={<177?47m2788<4:559'1=`=>?30b86j:89~w30e2909w0=;3;03`>;4<80>9>5+59d923?5<5s49??7b2k1v;8k:181853;38;n63<408614=#=1l1:;74n4:f>f=z{??30b86j:e9~w30a2909w0=;3;004>;4<80>;;5+59d923?5<5s49??7<=f:?004<2?<1/95h567;8j0>b2o1v;9>:181853;389h63<408636=#=1l1:;74n4:f>467>52z?006<5:k16?9?55638 0>a2?<27c;7e;32?xu1?:0;6?u2351967g<5:>:689?;%7;b?0112d>4h4>2:p222=838p1>:<:30:?85393?=j6*:8g852<=i=1o1=>5rs755>5<5s49??7<=8:?004<2>l1/95h567;8j0>b28>0q~887;296~;4<:09>>52353913?<,<2m6;86;o7;a?723ty=;54?:3y>715=:8<01>:>:47`?!3?n3<=56`:8d822>{t>>31<776?349?=7;;e:&601e95k5169~w3>42909w0=;3;071>;4<;0>:<5+59d92=45<5s49??7<;3:?007<2=o1/95h56908j0>b281v;7<:181853;38?>63<43861`=#=1l1:5<4n4:f>7=z{?3?6=4={<177?4392788?4:5e9'1=`=>180b86j:29~w3?22909w0=;3;00b>;4<;0>9o5+59d92=45<5s49??7<b2<1v;78:181853;388o63<43861==#=1l1:5<4n4:f>3=z{?336=4={<177?44j2788?4:569'1=`=>180b86j:69~w3?>2909w0=;3;00e>;4<;0>9;5+59d92=45<5s49??7<<8:?007<2==1/95h56908j0>b201v;6;:181853;388;63<438616=#=1l1:5<4n4:f>d=z{?2>6=4={<177?44>2788?4:539'1=`=>180b86j:c9~w3>12909w0=;3;001>;4<;0>9<5+59d92=45<5s49??7<<3:?007<2b2m1v;67:181853;38>m63<438633=#=1l1:5<4n4:f>`=z{?226=4={<177?4212788?4:749'1=`=>180b86j:g9~w3>f2909w0=;3;063>;4<;0>;>5+59d92=44}r4;f?6=:r788>4=549>714==>;0(86i:7:1?k3?m3;:7p}98b83>7}:;=91>8:4=261>0173-?3j7872:l6<`<6:2wx:5j50;0x96242;?870=;2;75b>"20o0=4?5a59g956=z{?2m6=4={<177?42:2788?4:6d9'1=`=>180b86j:068yv0>83:1>v3<42810a=:;=819;74$4:e>3>53g?3i7?:;|q5=4<72;q6?9=5252896252h20l0::6s|68094?4|5:>86?==;<176?33m2.>4k49839m1=c=9>1v;l::18182c938?963<458624=#=1l1:o:4n4:f>5=z{?i;6=4={<6g5?43;278894:5g9'1=`=>k>0b86j:09~w3e22909w0:k1;076>;4<=0>9h5+59d92g25<5s4>o=7<;1:?001<2=m1/95h56c68j0>b2:1v;m8:18182c9388j63<45861g=#=1l1:o:4n4:f>1=z{?i36=4={<6g5?44m278894:5`9'1=`=>k>0b86j:49~w3e>2909w0:k1;00g>;4<=0>955+59d92g25<5s4>o=7<1/95h56c68j0>b2>1v;mm:18182c9388m63<458613=#=1l1:o:4n4:f>==z{?ih6=4={<6g5?440278894:559'1=`=>k>0b86j:89~w3d12909w0:k1;003>;4<=0>9>5+59d92g25<5s4>o=7<<6:?001<2=;1/95h56c68j0>b2k1v;l7:18182c9388963<458614=#=1l1:o:4n4:f>f=z{?h26=4={<6g5?44;278894:4g9'1=`=>k>0b86j:e9~w3df2909w0:k1;06e>;4<=0>;;5+59d92g25<5s4>o=7<:9:?001<2?<1/95h56c68j0>b2o1v;ll:18182c938>;63<458636=#=1l1:o:4n4:f>4652z?7`4<5=<16?9:55638 0>a2?h?7c;7e;32?xu1jl0;6?u24e39602<5:>?689?;%7;b?0e<2d>4h4>2:p2g`=838p19j>:370?853<3?=j6*:8g85f1=i=1o1=>5rs7a2>5<5s4>o=7<:2:?001<2>l1/95h56c68j0>b28>0q~8l2;296~;3l8098i52356913?<,<2m6;l;;o7;a?723ty=o>4?:3y>0a7=:=:01>:;:47`?!3?n3{t>j>1<7755349?87;;e:&6;1>=0?i;5rs3c3>5<5s4k8;7=?a:?1e4<3m?1v9k=:182=~;2io095o524ef9664<5=no6?:?;<6g`?43l27?hi4=539>0ab=:<9019jk:377?82cl38>963;de8112=:874=5fg>73f34>oh7<<3:?7`a<5;<168ij5224891bc2;9<70:kd;00<>;3lm09?l524ef966d<5=no6?=l;<6g`?44m27?hi4=3g9>0ab=:=;019jk:361?82cl38??63;de8100=z{l:h6=4k{e5g===:01l>m:463?8g7n3??<63n118605=:i=:19:94=`62>01034k?>7;87:?b40<2<916m=8555289d?62{ti:l1<702734k8i7:j6:pe6d=838p1l=::463?8g4i3>n:6s|a5794?4|5j<868:?;2wx:h?50;6x9gg228:270ln6;33=>;ei>0:<4526d090`0518y>`g`=99301im?:02:?8bdj3;;563kd1824<=:lm;1==74=ef1>46>34no?7??9:?g`1<68016hi;511;89ab128:270jl1;33=>;ck;0:<452db1955?<5mi?6<>6;089>`f1=99301im7:02:?8bd13;;563kc`824<=:lji1==74=eag>46>34nhi7??9:?ggc<68016:i854d48yv0cj3:1=4u2dg2955?<5ml:6<>6;089>a54=99301h><:02:?8c7<3;;563j04824<=:m9<1==74=d24>46>34nm>7??9:?gb6<68016hk:511;89a`228:270ji6;33=>;cn>0:<452dg:955?<5ml26<>6;089>`cb=99301ihj:02:?8ban3;;563j01824<=:>mi18h84}|``1<<72;n1i44<85yO1g6=9rB8>=5rL4`2>7}#=0;1==94n4;1>4113t.>m;4:ab9Yb=<3sk;1n?4m3;72>x\20m08ovl7:``951<693n96i85d`8ga?c52k31m94nc;36>44=l:0o;7jm:ed9a6<6>3;;69k54g870?222=i18i4:3;61>15=:698546872hn1mh4:6;76>45=l=0o47jl:d29a1=831bo8o50;9l=41=83.?jo46179m0cg=821d5<;50;&7bg<>9?1e8ko51:9l=42=83.?jo46179m0cg=:21d5<=50;&7bg<>9?1e8ko53:9l=44=83.?jo46179m0cg=<21dno750;&7bg:;1e8ko51:9l=76=83.?jo46239m0cg=:21d5:;1e8ko53:9l=4c=83.?jo46239m0cg=<21d5:;1e8ko55:9l=4e=83.?jo46239m0cg=>21d5:;1e8ko57:9l=4g=83.?jo46239m0cg=021d5?m50;&7bg<>:;1e8ko59:9l=7d=83.?jo46239m0cg=i21d5?o50;&7bg<>:;1e8ko5b:9l=7?=83.?jo46239m0cg=k21d5?650;&7bg<>:;1e8ko5d:9l=71=83.?jo46239m0cg=m21d5?850;&7bg<>:;1e8ko5f:9l=73=83.?jo46239m0cg=9910c4<;:18'0cd=1;80b9hn:038?j?613:1(9hm:801?k2ai3;976am5883>>ib:m0;66aj2c83>>ie=>0;66an8g83>>if190;66amb`83>>ie4<729q/9l855`;8L0gb3f>m:7>5;|`6ec<7280;6=u+5`4960j0;684?:1y'1d0=:0>0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zj02o6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3th25=4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vn47>:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pl69383>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`:=1<72<0;6=u+5`496<2<@:0y'1<7=nl1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~f6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l7713:17d?=5;29?l3383:17b;1?0;684?:1y'1d0=:0>0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zj03<6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3th24h4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vn46i:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pl65883>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`:1a<72<0;6=u+5`496<2<@:0y'1<7=nl1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~f<3b290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l7713:17d?=5;29?l3383:17b;=o0;684?:1y'1d0=:0>0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zj0<;6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3th2:<4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vn48=:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pl66283>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`:1g<72<0;6=u+5`496<2<@:0y'1<7=nl1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~f<3d290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l7713:17d?=5;29?l3383:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjj2o6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3thh4h4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vnn6i:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pll9183>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|``=7<72<0;6=u+5`496<2<@:0y'1<7=nl1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~ff?4290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l7713:17d?=5;29?l3383:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjjho6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3thhnh4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vnnli:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pllc183>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|``g7<72<0;6=u+5`496<2<@:0y'1<7=nl1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~ffe4290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l7713:17d?=5;29?l3383:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjji>6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3thhih4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vnnki:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pllf183>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|``b7<72<0;6=u+5`496<2<@:0y'1<7=nl1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~ff`4290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l7713:17d?=5;29?l3383:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjjl>6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3thhj;4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vni?i:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17plk2183>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`g67<72<0;6=u+5`496<2<@:0y'1<7=nl1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~fa44290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l7713:17d?=5;29?l3383:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjm8>6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3tho>;4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vni<8:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17plk5183>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`g17<72<0;6=u+5`496<2<@:0y'1<7=nl1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~fa34290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l7713:17d?=5;29?l3383:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1jh5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjm?>6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hj;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3tho9;4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b==750;9j573=831b99>50;9l16`=831vni;8:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;df?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17plk5983>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<3290;w);n6;0:7>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`b3tcm57>5;h311?6=3`??<7>5;n70b?6=3thj4n4?:583>5}#=h<1>4=4H4cf?I3e83;p(>?k:19~H0d628q/94?5fd9~mc?=831b=?;50;9j116=831d9>h50;9~fd>c290?6=4?{%7b2?4>;2B>mh5C5c295~"49m0;7pB:b082!3>93ln7pgi9;29?l75=3:17d;;0;29?j34n3:17pln8d83>1<729q/9l852818L0gb3E?i<7?t$23g>5=zDh6=4=e;294~J2j80=w);;4;`7=>h51009m6`=9`860>"51102mn5+5839b`=i=081;=5r$4c5>f2d3`?:i7>5;h714?6=3`?;i7>5;ha6e?6=3`3mn7>5;h;e=?6=3`3m47>5;h;e3?6=3`?::7>5;h310?6=3`?j=7>5;n716?6=3f8jo7>5;n717?6=3fi>?7>5;na65?6=3fi><7>5;na7b?6=3fi?i7>5;na7`?6=3f8jh7>5;n0ba?6=3f8jj7>5;n0a4?6=3f8i=7>5;n0a6?6=3f8i?7>5;n0a0?6=3f8i97>5;n710?6=3fhim7>5;n`6=?6=3f=i;7>5;n5a2?6=3f=i97>5;n5a0?6=3f=h<7>5;n5ab?6=3f=ii7>5;n5a`?6=3f=io7>5;n5af?6=3f=im7>5;n5a=?6=3f=i47>5;n5a7?6=3th2j;4?:b83>5}K=k;1:v*:458a0<=i:031n6`=9`821>"51102mn5+5839b`=i=081mo5r$4c5><`13`o3n7>5;h716?6=3`o3o7>5;hg;`?6=3`o3i7>5;hg:4?6=3`;987>5;h7b5?6=3f3mn7>5;n;e=?6=3f3m47>5;n;e3?6=3th8?=4?:b83>5}K=k;1;v*:458:5==#=9;1>8l4$4c;>1=#=99199j4$4c4>5=#=0;18h=4n4;1>1b>3t.>m;4<319Yb=<4s0k15o4n2;j60e=83.?jo4=6b9m0cg=;21b>;750;&7bg<5>j1e8ko52:9j63d=83.?jo4=6b9m0cg=921b>;j50;&7bg<5>j1e8ko50:9j620=83.?jo4=879m0cg=;21b>5=50;&7bg<50?1e8ko52:9j6=3=83.?jo4=879m0cg=921b>5950;&7bg<50?1e8ko50:9l11c=83.?jo4:6e9m0cg=;21d98m50;&7bg<2>m1e8ko52:9l13?=83.?jo4:6e9m0cg=921d9;k50;&7bg<2>m1e8ko50:9~f64d290987>50zN6f4<0s-??877>8:&644<5=k1/9l652:&646<2399o6Ti8;1xf?e=l3wb>8m50;&7bg<5=l1e8ko5b:9j63?=83.?jo4=5d9m0cg=i21b>;l50;&7bg<5=l1e8ko59:9j63b=83.?jo4=5d9m0cg=021b>;k50;&7bg<5=l1e8ko57:9j63`=83.?jo4=5d9m0cg=>21b>:>50;&7bg<5=l1e8ko55:9j627=83.?jo4=5d9m0cg=<21b>:=50;&7bg<5=l1e8ko53:9j622=83.?jo4=5d9m0cg=:21b>8j50;&7bg<5=l1e8ko51:9j60`=83.?jo4=5d9m0cg=821b>:850;&7bg<5?11e8ko5b:9j6=5=83.?jo4=799m0cg=i21b>5;50;&7bg<5?11e8ko59:9j6=1=83.?jo4=799m0cg=021b>5650;&7bg<5?11e8ko57:9j6=?=83.?jo4=799m0cg=>21b>5o50;&7bg<5?11e8ko55:9j6=d=83.?jo4=799m0cg=<21b>5j50;&7bg<5?11e8ko53:9j6=c=83.?jo4=799m0cg=:21b>:950;&7bg<5?11e8ko51:9j62?=83.?jo4=799m0cg=821d99k50;&7bg<2=91e8ko5b:9l10e=83.?jo4:519m0cg=i21d9;750;&7bg<2=91e8ko59:9l13c=83.?jo4:519m0cg=021d9;h50;&7bg<2=91e8ko57:9l126=83.?jo4:519m0cg=>21d9:?50;&7bg<2=91e8ko55:9l125=83.?jo4:519m0cg=<21d9:;50;&7bg<2=91e8ko53:9l120=83.?jo4:519m0cg=:21d99h50;&7bg<2=91e8ko51:9l107=83.?jo4:519m0cg=821vn>n<48{%770??602.><<4=5c9'1d>=:2.><>4:4e9'1d1=82.>5<4;e29m1<4=\a039pn7m5d;j60e=83.?jo4=5d9m0cg=j21b>;750;&7bg<5=l1e8ko5a:9j63d=83.?jo4=5d9m0cg=121b>;j50;&7bg<5=l1e8ko58:9j63c=83.?jo4=5d9m0cg=?21b>;h50;&7bg<5=l1e8ko56:9j626=83.?jo4=5d9m0cg==21b>:?50;&7bg<5=l1e8ko54:9j625=83.?jo4=5d9m0cg=;21b>::50;&7bg<5=l1e8ko52:9j60b=83.?jo4=5d9m0cg=921b>8h50;&7bg<5=l1e8ko50:9j620=83.?jo4=799m0cg=j21b>5=50;&7bg<5?11e8ko5a:9j6=3=83.?jo4=799m0cg=121b>5950;&7bg<5?11e8ko58:9j6=>=83.?jo4=799m0cg=?21b>5750;&7bg<5?11e8ko56:9j6=g=83.?jo4=799m0cg==21b>5l50;&7bg<5?11e8ko54:9j6=b=83.?jo4=799m0cg=;21b>5k50;&7bg<5?11e8ko52:9j621=83.?jo4=799m0cg=921b>:750;&7bg<5?11e8ko50:9l11c=83.?jo4:519m0cg=j21d98m50;&7bg<2=91e8ko5a:9l13?=83.?jo4:519m0cg=121d9;k50;&7bg<2=91e8ko58:9l13`=83.?jo4:519m0cg=?21d9:>50;&7bg<2=91e8ko56:9l127=83.?jo4:519m0cg==21d9:=50;&7bg<2=91e8ko54:9l123=83.?jo4:519m0cg=;21d9:850;&7bg<2=91e8ko52:9l11`=83.?jo4:519m0cg=921d98?50;&7bg<2=91e8ko50:9~f654290:n7>50zN6f4<0s-??877>8:&644<5=k1/9l653:&646<2398?6Ti8;1xgc!2aj38<>6`;f`8;?>o5>00;6):ib;046>h3nh0<76g=6c83>!2aj38<>6`;f`85?>o5>m0;6):ib;046>h3nh0>76g=6d83>!2aj38<>6`;f`87?>o5>o0;6):ib;046>h3nh0876g=7183>!2aj38<>6`;f`81?>o5?80;6):ib;046>h3nh0:76g=7283>!2aj38<>6`;f`83?>o5??0;6):ib;0;g>h3nh0376g=8283>!2aj383o6`;f`84?>o50<0;6):ib;0;g>h3nh0=76g=8683>!2aj383o6`;f`86?>o5010;6):ib;0;g>h3nh0?76g=8883>!2aj383o6`;f`80?>o50h0;6):ib;0;g>h3nh0976g=8c83>!2aj383o6`;f`82?>o50m0;6):ib;0;g>h3nh0;76a:4d83>!2aj3?<86`;f`8;?>i2=j0;6):ib;740>h3nh0<76a:6883>!2aj3?<86`;f`85?>i2>l0;6):ib;740>h3nh0>76a:6g83>!2aj3?<86`;f`87?>i2?90;6):ib;740>h3nh0876a:7083>!2aj3?<86`;f`81?>i2?:0;6):ib;740>h3nh0:76a:7483>!2aj3?<86`;f`83?>{e;:>1<7?m:183I3e93=p(8:;:83;?!37938>n6*:a980?!37;3??h6*:a683?!3>93>n?6`:9387`<=z,=;;[d;>6}dn3n;6i?5}h06g?6=,=li6?9=;o6ee?><3`8=57>5$5da>7153g>mm794;h05f?6=,=li6?9=;o6ee?0<3`8=h7>5$5da>7153g>mm7;4;h05a?6=,=li6?9=;o6ee?2<3`8=j7>5$5da>7153g>mm7=4;h044?6=,=li6?9=;o6ee?4<3`8<=7>5$5da>7153g>mm7?4;h047?6=,=li6?9=;o6ee?6<3`8<:7>5$5da>7>d3g>mm764;h0;7?6=,=li6?6l;o6ee?1<3`8397>5$5da>7>d3g>mm784;h0;3?6=,=li6?6l;o6ee?3<3`8347>5$5da>7>d3g>mm7:4;h0;=?6=,=li6?6l;o6ee?5<3`83m7>5$5da>7>d3g>mm7<4;h0;f?6=,=li6?6l;o6ee?7<3`83h7>5$5da>7>d3g>mm7>4;n77a?6=,=li689;;o6ee?><3f?>o7>5$5da>0133g>mm794;n75=?6=,=li689;;o6ee?0<3f?=i7>5$5da>0133g>mm7;4;n75b?6=,=li689;;o6ee?2<3f?<<7>5$5da>0133g>mm7=4;n745?6=,=li689;;o6ee?4<3f?5$5da>0133g>mm7?4;n741?6=,=li689;;o6ee?6<3th8?84?:0`94?6|D<,<::6?;m;%7b=;rim6i>5d08~m73d290/8kl52608j1`f2110e?86:18'0cd=:>80b9hn:698m70e290/8kl52608j1`f2?10e?8k:18'0cd=:>80b9hn:498m70b290/8kl52608j1`f2=10e?8i:18'0cd=:>80b9hn:298m717290/8kl52608j1`f2;10e?9>:18'0cd=:>80b9hn:098m714290/8kl52608j1`f2910e?99:18'0cd=:1i0b9hn:998m7>4290/8kl529a8j1`f2>10e?6::18'0cd=:1i0b9hn:798m7>0290/8kl529a8j1`f2<10e?67:18'0cd=:1i0b9hn:598m7>>290/8kl529a8j1`f2:10e?6n:18'0cd=:1i0b9hn:398m7>e290/8kl529a8j1`f2810e?6k:18'0cd=:1i0b9hn:198k02b290/8kl55668j1`f2110c8;l:18'0cd==>>0b9hn:698k00>290/8kl55668j1`f2?10c88j:18'0cd==>>0b9hn:498k00a290/8kl55668j1`f2=10c89?:18'0cd==>>0b9hn:298k016290/8kl55668j1`f2;10c89<:18'0cd==>>0b9hn:098k012290/8kl55668j1`f2910qo=<6;295g<729qG9o?57z&601<>911/9=?524`8 0g?2:1/9==555f8 0g0291/94?54d18j0?52=n27p*:a78073=]n108wnh5d18g5?{n:=n:?h1<7*;fc8137=i=n:?o1<7*;fc8137=i=n:>:1<7*;fc8137=i65f26394?"3nk09;?5a4gc95>=n:>91<7*;fc8137=i=n:191<7*;fc81=n:1=1<7*;fc81=n:131<7*;fc81=n:1h1<7*;fc81=h==o1<7*;fc8631=i;95a4gc93>=h=?31<7*;fc8631=i;95a4gc91>=h=?l1<7*;fc8631=i;95a4gc97>=h=>;1<7*;fc8631=i65`56194?"3nk0>;95a4gc95>=h=>?1<7*;fc8631=i5<6j3:1mn7<82:l7bd<032c9:o4?:%6ef?40:2d?jl49;:k12a<72->mn7<82:l7bd<232c9:h4?:%6ef?40:2d?jl4;;:k12c<72->mn7<82:l7bd<432c9;=4?:%6ef?40:2d?jl4=;:k134<72->mn7<82:l7bd<632c9;>4?:%6ef?40:2d?jl4?;:k133<72->mn7<7c:l7bd4?:%6ef?4?k2d?jl48;:k1<0<72->mn7<7c:l7bd<132c94:4?:%6ef?4?k2d?jl4:;:k1<=<72->mn7<7c:l7bd<332c9444?:%6ef?4?k2d?jl4<;:k1mn7<7c:l7bd<532c94o4?:%6ef?4?k2d?jl4>;:k1mn7<7c:l7bd<732e>8h4?:%6ef?30<2d?jl47;:m61f<72->mn7;84:l7bd<032e>:44?:%6ef?30<2d?jl49;:m62`<72->mn7;84:l7bd<232e>:k4?:%6ef?30<2d?jl4;;:m635<72->mn7;84:l7bd<432e>;<4?:%6ef?30<2d?jl4=;:m636<72->mn7;84:l7bd<632e>;84?:%6ef?30<2d?jl4?;:a06=4?{M7a5?>|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=k2.>5<4ie:l6=7<6==1v(8o9:5;f?_`?2:q26l4k:|k14=<72->mn7mn732c9>54?:%6ef?47i2d?jl47;:k16<<72->mn7l4?:%6ef?47i2d?jl49;:k16g<72->mn7i4?:%6ef?47i2d?jl4;;:k16c<72->mn7mn7mn7<<4:l7bdmn7<<4:l7bd<>32c99?4?:%6ef?44<2d?jl47;:k116<72->mn7<<4:l7bd<032c9994?:%6ef?44<2d?jl49;:k110<72->mn7<<4:l7bd<232c99:4?:%6ef?44<2d?jl4;;:k11<<72->mn7<<4:l7bd<432c99l4?:%6ef?44<2d?jl4=;:k176<72->mn7<<4:l7bd<632c9?84?:%6ef?44<2d?jl4?;:k6e6<722e>8h4?:%6ef?3282d?jl4m;:m61f<72->mn7;:0:l7bd:44?:%6ef?3282d?jl46;:m62`<72->mn7;:0:l7bd:k4?:%6ef?3282d?jl48;:m635<72->mn7;:0:l7bd<132e>;<4?:%6ef?3282d?jl4:;:m636<72->mn7;:0:l7bd<332e>;84?:%6ef?3282d?jl4<;:m633<72->mn7;:0:l7bd<532e>8k4?:%6ef?3282d?jl4>;:m614<72->mn7;:0:l7bd<732wi?9850;06>5<7sE?i=76t$467>g063->mi75?4>6d9~ 0g12:>=7Wh7:2y:>dmn7>4?:%6ef?47i2d?jl46;:k16=<72->mn744?:%6ef?47i2d?jl48;:k16d<72->mn7o4?:%6ef?47i2d?jl4:;:k16a<72->mn7k4?:%6ef?47i2d?jl4<;:k175<72->mn7;:k14g<72->mn7mn7<<4:l7bdmn7<<4:l7bd4?:%6ef?44<2d?jl48;:k111<72->mn7<<4:l7bd<132c9984?:%6ef?44<2d?jl4:;:k112<72->mn7<<4:l7bd<332c9944?:%6ef?44<2d?jl4<;:k11d<72->mn7<<4:l7bd<532c9?>4?:%6ef?44<2d?jl4>;:k170<72->mn7<<4:l7bd<732c>m>4?::m60`<72->mn7;:0:l7bd9n4?:%6ef?3282d?jl4n;:m62<<72->mn7;:0:l7bd<>32e>:h4?:%6ef?3282d?jl47;:m62c<72->mn7;:0:l7bd<032e>;=4?:%6ef?3282d?jl49;:m634<72->mn7;:0:l7bd<232e>;>4?:%6ef?3282d?jl4;;:m630<72->mn7;:0:l7bd<432e>;;4?:%6ef?3282d?jl4=;:m60c<72->mn7;:0:l7bd<632e>9<4?:%6ef?3282d?jl4?;:a0<`=839j6=4?{M7a5?1|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=9:1/94?5fd9~ 0g12=3m7Wh7:2y0e?5e2<:1qdo59?0;6):ib;027>h3nh0:=65f23194?"3nk09=>5a4gc955=5<#<=4n5db>`=5<#<=4n5db>f=5<#<=4n5db>d=5<#<=4n5db>==5<#<=4n5db>3=5<#<=4n5db>1=54i332>5<#<=4n5db>7=5<#<=4n5db>5=0:9j604=83.?jo4=3e9m0cg=n21b>8=50;&7bg<5;m1e8ko5e:9j602=83.?jo4=3e9m0cg=l21b>8;50;&7bg<5;m1e8ko5c:9j601=83.?jo4=3e9m0cg=j21b>8750;&7bg<5;m1e8ko5a:9j60g=83.?jo4=3e9m0cg=121b>>=50;&7bg<5;m1e8ko58:9j663=83.?jo4=3e9m0cg=?21b>>850;&7bg<5;m1e8ko56:9j661=83.?jo4=3e9m0cg==21b>>650;&7bg<5;m1e8ko54:9j66g=83.?jo4=3e9m0cg=;21b>>l50;&7bg<5;m1e8ko52:9j66e=83.?jo4=3e9m0cg=921b>>k50;&7bg<5;m1e8ko50:9j1d5=831d99k50;&7bg<2=01e8ko51398k03d290/8kl554;8j1`f28;07b;99;29 1`e2i2>l0;6):ib;76=>h3nh0m76a:6g83>!2aj3?>56`;f`8f?>i2?90;6):ib;76=>h3nh0o76a:7083>!2aj3?>56`;f`8`?>i2?:0;6):ib;76=>h3nh0i76a:7483>!2aj3?>56`;f`8b?>i2??0;6):ib;76=>h3nh0276a:4g83>!2aj3?>56`;f`8;?>i2=80;6):ib;76=>h3nh0<76a:5383>!2aj3?>56`;f`85?>i2=:0;6):ib;76=>h3nh0>76a:5583>!2aj3?>56`;f`87?>i2=?0;6):ib;76=>h3nh0876a:5683>!2aj3?>56`;f`81?>i2=10;6):ib;76=>h3nh0:76a:5`83>!2aj3?>56`;f`83?>{e7=i=hh1=>5+5839b`=z,6}4i39i68>5}h031:9j675=83.?jo4=129m0cg=9910e?<7:18'0cd=:890b9hn:g98m74>290/8kl52018j1`f2l10e?290/8kl52018j1`f2110e?>m:18'0cd=:890b9hn:698m76d290/8kl52018j1`f2?10e?>k:18'0cd=:890b9hn:498m76b290/8kl52018j1`f2=10e???:18'0cd=:890b9hn:298m776290/8kl52018j1`f2;10e??=:18'0cd=:890b9hn:098m773290/8kl52018j1`f2910e?==:18'0cd=::n0b9hn:008?l4383:1(9hm:31g?k2ai3;:76g=4e83>!2aj388h6`;f`824>=n:<81<7*;fc817a=i=n:<>1<7*;fc817a=i=n:<=1<7*;fc817a=i=n:=n::?1<7*;fc817a=i=n::=1<7*;fc817a=i=n::k1<7*;fc817a=i=n::i1<7*;fc817a=i=n=h91<75`55g94?"3nk0>945a4gc957=:h4?:%6ef?3212d?jl4i;:m62c<72->mn7;:9:l7bd;=4?:%6ef?3212d?jl4k;:m634<72->mn7;:9:l7bd;>4?:%6ef?3212d?jl4m;:m630<72->mn7;:9:l7bd;;4?:%6ef?3212d?jl46;:m60c<72->mn7;:9:l7bd9<4?:%6ef?3212d?jl48;:m617<72->mn7;:9:l7bd<132e>9>4?:%6ef?3212d?jl4:;:m611<72->mn7;:9:l7bd<332e>9;4?:%6ef?3212d?jl4<;:m612<72->mn7;:9:l7bd<532e>954?:%6ef?3212d?jl4>;:m61d<72->mn7;:9:l7bd<732wi?n950;1b>5<7sE?i=79t$467>g063->mi7!2aj38:?6`;f`825>=n:;91<7*;fc8156=i5<#<=4n5db>c=5<#<=4n5db>a=5<#<=4n5db>g=5<#<=4n5db><=5<#<=4n5db>2=5<#<=4n5db>0=5<#<=4n5db>6=5<#<=4n5db>4=5<#>j4n5db>44<3`8?<7>5$5da>75c3g>mm7?>;:k10a<72->mn7<8<50;&7bg<5;m1e8ko5f:9j605=83.?jo4=3e9m0cg=m21b>8:50;&7bg<5;m1e8ko5d:9j603=83.?jo4=3e9m0cg=k21b>8950;&7bg<5;m1e8ko5b:9j60?=83.?jo4=3e9m0cg=i21b>8o50;&7bg<5;m1e8ko59:9j665=83.?jo4=3e9m0cg=021b>>;50;&7bg<5;m1e8ko57:9j660=83.?jo4=3e9m0cg=>21b>>950;&7bg<5;m1e8ko55:9j66>=83.?jo4=3e9m0cg=<21b>>o50;&7bg<5;m1e8ko53:9j66d=83.?jo4=3e9m0cg=:21b>>m50;&7bg<5;m1e8ko51:9j66c=83.?jo4=3e9m0cg=821b9l=50;9l11c=83.?jo4:589m0cg=9;10c8;l:18'0cd==<30b9hn:038?j3113:1(9hm:47:?k2ai3;;76a:6d83>!2aj3?>56`;f`8e?>i2>o0;6):ib;76=>h3nh0n76a:7183>!2aj3?>56`;f`8g?>i2?80;6):ib;76=>h3nh0h76a:7283>!2aj3?>56`;f`8a?>i2?<0;6):ib;76=>h3nh0j76a:7783>!2aj3?>56`;f`8:?>i2h3nh0376a:5083>!2aj3?>56`;f`84?>i2=;0;6):ib;76=>h3nh0=76a:5283>!2aj3?>56`;f`86?>i2==0;6):ib;76=>h3nh0?76a:5783>!2aj3?>56`;f`80?>i2=>0;6):ib;76=>h3nh0976a:5983>!2aj3?>56`;f`82?>i2=h0;6):ib;76=>h3nh0;76sm3b:94?5f290;wA;m1;5x 0232k<:7):ie;032>"28=0>m?5+511911b6e?3Sl36>u06=u`8;47>5$5da>7743g>mm7?=;:k153<72->mn7<>3:l7bd<6921b>?=50;&7bg<59:1e8ko51198m74?290/8kl52018j1`f2o10e?<6:18'0cd=:890b9hn:d98m74f290/8kl52018j1`f2m10e?6:18'0cd=:890b9hn:998m76e290/8kl52018j1`f2>10e?>l:18'0cd=:890b9hn:798m76c290/8kl52018j1`f2<10e?>j:18'0cd=:890b9hn:598m777290/8kl52018j1`f2:10e??>:18'0cd=:890b9hn:398m775290/8kl52018j1`f2810e??;:18'0cd=:890b9hn:198m755290/8kl522f8j1`f28807d<;0;29 1`e2;9o7c:ia;32?>o5h3nh0:<65f24094?"3nk09?i5a4gc9b>=n:<91<7*;fc817a=i=n:=n:<31<7*;fc817a=i=n::91<7*;fc817a=i=n::<1<7*;fc817a=i=n::21<7*;fc817a=i=n::h1<7*;fc817a=i65f22a94?"3nk09?i5a4gc95>=n::o1<7*;fc817a=i5<#47<3f?=57>5$5da>03>3g>mm7??;:m62`<72->mn7;:9:l7bd:k4?:%6ef?3212d?jl4j;:m635<72->mn7;:9:l7bd;<4?:%6ef?3212d?jl4l;:m636<72->mn7;:9:l7bd;84?:%6ef?3212d?jl4n;:m633<72->mn7;:9:l7bd<>32e>8k4?:%6ef?3212d?jl47;:m614<72->mn7;:9:l7bd<032e>9?4?:%6ef?3212d?jl49;:m616<72->mn7;:9:l7bd<232e>994?:%6ef?3212d?jl4;;:m613<72->mn7;:9:l7bd<432e>9:4?:%6ef?3212d?jl4=;:m61=<72->mn7;:9:l7bd<632e>9l4?:%6ef?3212d?jl4?;:a03`=839j6=4?{M7a5?1|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=9:1/94?5fd9~ 0g12=o59?0;6):ib;027>h3nh0:=65f23194?"3nk09=>5a4gc955=5<#<=4n5db>`=5<#<=4n5db>f=5<#<=4n5db>d=5<#<=4n5db>==5<#<=4n5db>3=5<#<=4n5db>1=54i332>5<#<=4n5db>7=5<#<=4n5db>5=0:9j604=83.?jo4=3e9m0cg=n21b>8=50;&7bg<5;m1e8ko5e:9j602=83.?jo4=3e9m0cg=l21b>8;50;&7bg<5;m1e8ko5c:9j601=83.?jo4=3e9m0cg=j21b>8750;&7bg<5;m1e8ko5a:9j60g=83.?jo4=3e9m0cg=121b>>=50;&7bg<5;m1e8ko58:9j663=83.?jo4=3e9m0cg=?21b>>850;&7bg<5;m1e8ko56:9j661=83.?jo4=3e9m0cg==21b>>650;&7bg<5;m1e8ko54:9j66g=83.?jo4=3e9m0cg=;21b>>l50;&7bg<5;m1e8ko52:9j66e=83.?jo4=3e9m0cg=921b>>k50;&7bg<5;m1e8ko50:9j1d5=831d99k50;&7bg<2=01e8ko51398k03d290/8kl554;8j1`f28;07b;99;29 1`e2i2>l0;6):ib;76=>h3nh0m76a:6g83>!2aj3?>56`;f`8f?>i2?90;6):ib;76=>h3nh0o76a:7083>!2aj3?>56`;f`8`?>i2?:0;6):ib;76=>h3nh0i76a:7483>!2aj3?>56`;f`8b?>i2??0;6):ib;76=>h3nh0276a:4g83>!2aj3?>56`;f`8;?>i2=80;6):ib;76=>h3nh0<76a:5383>!2aj3?>56`;f`85?>i2=:0;6):ib;76=>h3nh0>76a:5583>!2aj3?>56`;f`87?>i2=?0;6):ib;76=>h3nh0876a:5683>!2aj3?>56`;f`81?>i2=10;6):ib;76=>h3nh0:76a:5`83>!2aj3?>56`;f`83?>{e<>:1<7=n:183I3e93=p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=>5+5839b`=z,6}4i39i68>5}h031:9j675=83.?jo4=129m0cg=9910e?<7:18'0cd=:890b9hn:g98m74>290/8kl52018j1`f2l10e?290/8kl52018j1`f2110e?>m:18'0cd=:890b9hn:698m76d290/8kl52018j1`f2?10e?>k:18'0cd=:890b9hn:498m76b290/8kl52018j1`f2=10e???:18'0cd=:890b9hn:298m776290/8kl52018j1`f2;10e??=:18'0cd=:890b9hn:098m773290/8kl52018j1`f2910e?==:18'0cd=::n0b9hn:008?l4383:1(9hm:31g?k2ai3;:76g=4e83>!2aj388h6`;f`824>=n:<81<7*;fc817a=i=n:<>1<7*;fc817a=i=n:<=1<7*;fc817a=i=n:=n::?1<7*;fc817a=i=n::=1<7*;fc817a=i=n::k1<7*;fc817a=i=n::i1<7*;fc817a=i=n=h91<75`55g94?"3nk0>945a4gc957=:h4?:%6ef?3212d?jl4i;:m62c<72->mn7;:9:l7bd;=4?:%6ef?3212d?jl4k;:m634<72->mn7;:9:l7bd;>4?:%6ef?3212d?jl4m;:m630<72->mn7;:9:l7bd;;4?:%6ef?3212d?jl46;:m60c<72->mn7;:9:l7bd9<4?:%6ef?3212d?jl48;:m617<72->mn7;:9:l7bd<132e>9>4?:%6ef?3212d?jl4:;:m611<72->mn7;:9:l7bd<332e>9;4?:%6ef?3212d?jl4<;:m612<72->mn7;:9:l7bd<532e>954?:%6ef?3212d?jl4>;:m61d<72->mn7;:9:l7bd<732wi?9650;1b>5<7sE?i=76t$467>g063->mi737Wh7:2y0e?5e2<:1qdo59?0;6):ib;027>h3nh0:=65f23194?"3nk09=>5a4gc955=5<#<=4n5db>`=5<#<=4n5db>f=5<#<=4n5db>d=5<#<=4n5db>==5<#<=4n5db>3=5<#<=4n5db>1=54i332>5<#<=4n5db>7=5<#<=4n5db>5=0:9j604=83.?jo4=3e9m0cg=n21b>8=50;&7bg<5;m1e8ko5e:9j602=83.?jo4=3e9m0cg=l21b>8;50;&7bg<5;m1e8ko5c:9j601=83.?jo4=3e9m0cg=j21b>8750;&7bg<5;m1e8ko5a:9j60g=83.?jo4=3e9m0cg=121b>>=50;&7bg<5;m1e8ko58:9j663=83.?jo4=3e9m0cg=?21b>>850;&7bg<5;m1e8ko56:9j661=83.?jo4=3e9m0cg==21b>>650;&7bg<5;m1e8ko54:9j66g=83.?jo4=3e9m0cg=;21b>>l50;&7bg<5;m1e8ko52:9j66e=83.?jo4=3e9m0cg=921b>>k50;&7bg<5;m1e8ko50:9j1d5=831d99k50;&7bg<2=01e8ko51398k03d290/8kl554;8j1`f28;07b;99;29 1`e2i2>l0;6):ib;76=>h3nh0m76a:6g83>!2aj3?>56`;f`8f?>i2?90;6):ib;76=>h3nh0o76a:7083>!2aj3?>56`;f`8`?>i2?:0;6):ib;76=>h3nh0i76a:7483>!2aj3?>56`;f`8b?>i2??0;6):ib;76=>h3nh0276a:4g83>!2aj3?>56`;f`8;?>i2=80;6):ib;76=>h3nh0<76a:5383>!2aj3?>56`;f`85?>i2=:0;6):ib;76=>h3nh0>76a:5583>!2aj3?>56`;f`87?>i2=?0;6):ib;76=>h3nh0876a:5683>!2aj3?>56`;f`81?>i2=10;6):ib;76=>h3nh0:76a:5`83>!2aj3?>56`;f`83?>{e;0n1<7=n:183I3e93=p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=>5+5839b`=z,7k;[d;>6}4i39i68>5}h031:9j675=83.?jo4=129m0cg=9910e?<7:18'0cd=:890b9hn:g98m74>290/8kl52018j1`f2l10e?290/8kl52018j1`f2110e?>m:18'0cd=:890b9hn:698m76d290/8kl52018j1`f2?10e?>k:18'0cd=:890b9hn:498m76b290/8kl52018j1`f2=10e???:18'0cd=:890b9hn:298m776290/8kl52018j1`f2;10e??=:18'0cd=:890b9hn:098m773290/8kl52018j1`f2910e?==:18'0cd=::n0b9hn:008?l4383:1(9hm:31g?k2ai3;:76g=4e83>!2aj388h6`;f`824>=n:<81<7*;fc817a=i=n:<>1<7*;fc817a=i=n:<=1<7*;fc817a=i=n:=n::?1<7*;fc817a=i=n::=1<7*;fc817a=i=n::k1<7*;fc817a=i=n::i1<7*;fc817a=i=n=h91<75`55g94?"3nk0>945a4gc957=:h4?:%6ef?3212d?jl4i;:m62c<72->mn7;:9:l7bd;=4?:%6ef?3212d?jl4k;:m634<72->mn7;:9:l7bd;>4?:%6ef?3212d?jl4m;:m630<72->mn7;:9:l7bd;;4?:%6ef?3212d?jl46;:m60c<72->mn7;:9:l7bd9<4?:%6ef?3212d?jl48;:m617<72->mn7;:9:l7bd<132e>9>4?:%6ef?3212d?jl4:;:m611<72->mn7;:9:l7bd<332e>9;4?:%6ef?3212d?jl4<;:m612<72->mn7;:9:l7bd<532e>954?:%6ef?3212d?jl4>;:m61d<72->mn7;:9:l7bd<732wi?4k50;1b>5<7sE?i=79t$467>g063->mi7!2aj38:?6`;f`825>=n:;91<7*;fc8156=i5<#<=4n5db>c=5<#<=4n5db>a=5<#<=4n5db>g=5<#<=4n5db><=5<#<=4n5db>2=5<#<=4n5db>0=5<#<=4n5db>6=5<#<=4n5db>4=5<#>j4n5db>44<3`8?<7>5$5da>75c3g>mm7?>;:k10a<72->mn7<8<50;&7bg<5;m1e8ko5f:9j605=83.?jo4=3e9m0cg=m21b>8:50;&7bg<5;m1e8ko5d:9j603=83.?jo4=3e9m0cg=k21b>8950;&7bg<5;m1e8ko5b:9j60?=83.?jo4=3e9m0cg=i21b>8o50;&7bg<5;m1e8ko59:9j665=83.?jo4=3e9m0cg=021b>>;50;&7bg<5;m1e8ko57:9j660=83.?jo4=3e9m0cg=>21b>>950;&7bg<5;m1e8ko55:9j66>=83.?jo4=3e9m0cg=<21b>>o50;&7bg<5;m1e8ko53:9j66d=83.?jo4=3e9m0cg=:21b>>m50;&7bg<5;m1e8ko51:9j66c=83.?jo4=3e9m0cg=821b9l=50;9l11c=83.?jo4:589m0cg=9;10c8;l:18'0cd==<30b9hn:038?j3113:1(9hm:47:?k2ai3;;76a:6d83>!2aj3?>56`;f`8e?>i2>o0;6):ib;76=>h3nh0n76a:7183>!2aj3?>56`;f`8g?>i2?80;6):ib;76=>h3nh0h76a:7283>!2aj3?>56`;f`8a?>i2?<0;6):ib;76=>h3nh0j76a:7783>!2aj3?>56`;f`8:?>i2h3nh0376a:5083>!2aj3?>56`;f`84?>i2=;0;6):ib;76=>h3nh0=76a:5283>!2aj3?>56`;f`86?>i2==0;6):ib;76=>h3nh0?76a:5783>!2aj3?>56`;f`80?>i2=>0;6):ib;76=>h3nh0976a:5983>!2aj3?>56`;f`82?>i2=h0;6):ib;76=>h3nh0;76sm45794?5f290;wA;m1;5x 0232k<:7):ie;032>"28=0>m?5+511911b1223Sl36>u06=u`8;47>5$5da>7743g>mm7?=;:k153<72->mn7<>3:l7bd<6921b>?=50;&7bg<59:1e8ko51198m74?290/8kl52018j1`f2o10e?<6:18'0cd=:890b9hn:d98m74f290/8kl52018j1`f2m10e?6:18'0cd=:890b9hn:998m76e290/8kl52018j1`f2>10e?>l:18'0cd=:890b9hn:798m76c290/8kl52018j1`f2<10e?>j:18'0cd=:890b9hn:598m777290/8kl52018j1`f2:10e??>:18'0cd=:890b9hn:398m775290/8kl52018j1`f2810e??;:18'0cd=:890b9hn:198m755290/8kl522f8j1`f28807d<;0;29 1`e2;9o7c:ia;32?>o5h3nh0:<65f24094?"3nk09?i5a4gc9b>=n:<91<7*;fc817a=i=n:=n:<31<7*;fc817a=i=n::91<7*;fc817a=i=n::<1<7*;fc817a=i=n::21<7*;fc817a=i=n::h1<7*;fc817a=i65f22a94?"3nk09?i5a4gc95>=n::o1<7*;fc817a=i5<#47<3f?=57>5$5da>03>3g>mm7??;:m62`<72->mn7;:9:l7bd:k4?:%6ef?3212d?jl4j;:m635<72->mn7;:9:l7bd;<4?:%6ef?3212d?jl4l;:m636<72->mn7;:9:l7bd;84?:%6ef?3212d?jl4n;:m633<72->mn7;:9:l7bd<>32e>8k4?:%6ef?3212d?jl47;:m614<72->mn7;:9:l7bd<032e>9?4?:%6ef?3212d?jl49;:m616<72->mn7;:9:l7bd<232e>994?:%6ef?3212d?jl4;;:m613<72->mn7;:9:l7bd<432e>9:4?:%6ef?3212d?jl4=;:m61=<72->mn7;:9:l7bd<632e>9l4?:%6ef?3212d?jl4?;:a010=839j6=4?{M7a5?1|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=9:1/94?5fd9~ 0g12=>=7Wh7:2y0e?5e2<:1qdo59?0;6):ib;027>h3nh0:=65f23194?"3nk09=>5a4gc955=5<#<=4n5db>`=5<#<=4n5db>f=5<#<=4n5db>d=5<#<=4n5db>==5<#<=4n5db>3=5<#<=4n5db>1=54i332>5<#<=4n5db>7=5<#<=4n5db>5=0:9j604=83.?jo4=3e9m0cg=n21b>8=50;&7bg<5;m1e8ko5e:9j602=83.?jo4=3e9m0cg=l21b>8;50;&7bg<5;m1e8ko5c:9j601=83.?jo4=3e9m0cg=j21b>8750;&7bg<5;m1e8ko5a:9j60g=83.?jo4=3e9m0cg=121b>>=50;&7bg<5;m1e8ko58:9j663=83.?jo4=3e9m0cg=?21b>>850;&7bg<5;m1e8ko56:9j661=83.?jo4=3e9m0cg==21b>>650;&7bg<5;m1e8ko54:9j66g=83.?jo4=3e9m0cg=;21b>>l50;&7bg<5;m1e8ko52:9j66e=83.?jo4=3e9m0cg=921b>>k50;&7bg<5;m1e8ko50:9j1d5=831d99k50;&7bg<2=01e8ko51398k03d290/8kl554;8j1`f28;07b;99;29 1`e2i2>l0;6):ib;76=>h3nh0m76a:6g83>!2aj3?>56`;f`8f?>i2?90;6):ib;76=>h3nh0o76a:7083>!2aj3?>56`;f`8`?>i2?:0;6):ib;76=>h3nh0i76a:7483>!2aj3?>56`;f`8b?>i2??0;6):ib;76=>h3nh0276a:4g83>!2aj3?>56`;f`8;?>i2=80;6):ib;76=>h3nh0<76a:5383>!2aj3?>56`;f`85?>i2=:0;6):ib;76=>h3nh0>76a:5583>!2aj3?>56`;f`87?>i2=?0;6):ib;76=>h3nh0876a:5683>!2aj3?>56`;f`81?>i2=10;6):ib;76=>h3nh0:76a:5`83>!2aj3?>56`;f`83?>{e;==1<7=n:183I3e932p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=>5+5839b`=i=0815;5r$4c5>6203Sl36>u06=u`8;47>5$5da>7743g>mm7?=;:k153<72->mn7<>3:l7bd<6921b>?=50;&7bg<59:1e8ko51198m74?290/8kl52018j1`f2o10e?<6:18'0cd=:890b9hn:d98m74f290/8kl52018j1`f2m10e?6:18'0cd=:890b9hn:998m76e290/8kl52018j1`f2>10e?>l:18'0cd=:890b9hn:798m76c290/8kl52018j1`f2<10e?>j:18'0cd=:890b9hn:598m777290/8kl52018j1`f2:10e??>:18'0cd=:890b9hn:398m775290/8kl52018j1`f2810e??;:18'0cd=:890b9hn:198m755290/8kl522f8j1`f28807d<;0;29 1`e2;9o7c:ia;32?>o5h3nh0:<65f24094?"3nk09?i5a4gc9b>=n:<91<7*;fc817a=i=n:=n:<31<7*;fc817a=i=n::91<7*;fc817a=i=n::<1<7*;fc817a=i=n::21<7*;fc817a=i=n::h1<7*;fc817a=i65f22a94?"3nk09?i5a4gc95>=n::o1<7*;fc817a=i5<#47<3f?=57>5$5da>03>3g>mm7??;:m62`<72->mn7;:9:l7bd:k4?:%6ef?3212d?jl4j;:m635<72->mn7;:9:l7bd;<4?:%6ef?3212d?jl4l;:m636<72->mn7;:9:l7bd;84?:%6ef?3212d?jl4n;:m633<72->mn7;:9:l7bd<>32e>8k4?:%6ef?3212d?jl47;:m614<72->mn7;:9:l7bd<032e>9?4?:%6ef?3212d?jl49;:m616<72->mn7;:9:l7bd<232e>994?:%6ef?3212d?jl4;;:m613<72->mn7;:9:l7bd<432e>9:4?:%6ef?3212d?jl4=;:m61=<72->mn7;:9:l7bd<632e>9l4?:%6ef?3212d?jl4?;:a71?=839j6=4?{M7a5?1|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=9:1/94?5fd9~ 0g12:>27Wh7:2y0e?5e2<:1qdo59?0;6):ib;027>h3nh0:=65f23194?"3nk09=>5a4gc955=5<#<=4n5db>`=5<#<=4n5db>f=5<#<=4n5db>d=5<#<=4n5db>==5<#<=4n5db>3=5<#<=4n5db>1=54i332>5<#<=4n5db>7=5<#<=4n5db>5=0:9j604=83.?jo4=3e9m0cg=n21b>8=50;&7bg<5;m1e8ko5e:9j602=83.?jo4=3e9m0cg=l21b>8;50;&7bg<5;m1e8ko5c:9j601=83.?jo4=3e9m0cg=j21b>8750;&7bg<5;m1e8ko5a:9j60g=83.?jo4=3e9m0cg=121b>>=50;&7bg<5;m1e8ko58:9j663=83.?jo4=3e9m0cg=?21b>>850;&7bg<5;m1e8ko56:9j661=83.?jo4=3e9m0cg==21b>>650;&7bg<5;m1e8ko54:9j66g=83.?jo4=3e9m0cg=;21b>>l50;&7bg<5;m1e8ko52:9j66e=83.?jo4=3e9m0cg=921b>>k50;&7bg<5;m1e8ko50:9j1d5=831d99k50;&7bg<2=01e8ko51398k03d290/8kl554;8j1`f28;07b;99;29 1`e2i2>l0;6):ib;76=>h3nh0m76a:6g83>!2aj3?>56`;f`8f?>i2?90;6):ib;76=>h3nh0o76a:7083>!2aj3?>56`;f`8`?>i2?:0;6):ib;76=>h3nh0i76a:7483>!2aj3?>56`;f`8b?>i2??0;6):ib;76=>h3nh0276a:4g83>!2aj3?>56`;f`8;?>i2=80;6):ib;76=>h3nh0<76a:5383>!2aj3?>56`;f`85?>i2=:0;6):ib;76=>h3nh0>76a:5583>!2aj3?>56`;f`87?>i2=?0;6):ib;76=>h3nh0876a:5683>!2aj3?>56`;f`81?>i2=10;6):ib;76=>h3nh0:76a:5`83>!2aj3?>56`;f`83?>{e;=k1<7=n:183I3e93=p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=>5+5839b`=z,:n;[d;>6}4i39i68>5}h031:9j675=83.?jo4=129m0cg=9910e?<7:18'0cd=:890b9hn:g98m74>290/8kl52018j1`f2l10e?290/8kl52018j1`f2110e?>m:18'0cd=:890b9hn:698m76d290/8kl52018j1`f2?10e?>k:18'0cd=:890b9hn:498m76b290/8kl52018j1`f2=10e???:18'0cd=:890b9hn:298m776290/8kl52018j1`f2;10e??=:18'0cd=:890b9hn:098m773290/8kl52018j1`f2910e?==:18'0cd=::n0b9hn:008?l4383:1(9hm:31g?k2ai3;:76g=4e83>!2aj388h6`;f`824>=n:<81<7*;fc817a=i=n:<>1<7*;fc817a=i=n:<=1<7*;fc817a=i=n:=n::?1<7*;fc817a=i=n::=1<7*;fc817a=i=n::k1<7*;fc817a=i=n::i1<7*;fc817a=i=n=h91<75`55g94?"3nk0>945a4gc957=:h4?:%6ef?3212d?jl4i;:m62c<72->mn7;:9:l7bd;=4?:%6ef?3212d?jl4k;:m634<72->mn7;:9:l7bd;>4?:%6ef?3212d?jl4m;:m630<72->mn7;:9:l7bd;;4?:%6ef?3212d?jl46;:m60c<72->mn7;:9:l7bd9<4?:%6ef?3212d?jl48;:m617<72->mn7;:9:l7bd<132e>9>4?:%6ef?3212d?jl4:;:m611<72->mn7;:9:l7bd<332e>9;4?:%6ef?3212d?jl4<;:m612<72->mn7;:9:l7bd<532e>954?:%6ef?3212d?jl4>;:m61d<72->mn7;:9:l7bd<732wi?:=50;1b>5<7sE?i=79t$467>g063->mi7!2aj38:?6`;f`825>=n:;91<7*;fc8156=i5<#<=4n5db>c=5<#<=4n5db>a=5<#<=4n5db>g=5<#<=4n5db><=5<#<=4n5db>2=5<#<=4n5db>0=5<#<=4n5db>6=5<#<=4n5db>4=5<#>j4n5db>44<3`8?<7>5$5da>75c3g>mm7?>;:k10a<72->mn7<8<50;&7bg<5;m1e8ko5f:9j605=83.?jo4=3e9m0cg=m21b>8:50;&7bg<5;m1e8ko5d:9j603=83.?jo4=3e9m0cg=k21b>8950;&7bg<5;m1e8ko5b:9j60?=83.?jo4=3e9m0cg=i21b>8o50;&7bg<5;m1e8ko59:9j665=83.?jo4=3e9m0cg=021b>>;50;&7bg<5;m1e8ko57:9j660=83.?jo4=3e9m0cg=>21b>>950;&7bg<5;m1e8ko55:9j66>=83.?jo4=3e9m0cg=<21b>>o50;&7bg<5;m1e8ko53:9j66d=83.?jo4=3e9m0cg=:21b>>m50;&7bg<5;m1e8ko51:9j66c=83.?jo4=3e9m0cg=821b9l=50;9l11c=83.?jo4:589m0cg=9;10c8;l:18'0cd==<30b9hn:038?j3113:1(9hm:47:?k2ai3;;76a:6d83>!2aj3?>56`;f`8e?>i2>o0;6):ib;76=>h3nh0n76a:7183>!2aj3?>56`;f`8g?>i2?80;6):ib;76=>h3nh0h76a:7283>!2aj3?>56`;f`8a?>i2?<0;6):ib;76=>h3nh0j76a:7783>!2aj3?>56`;f`8:?>i2h3nh0376a:5083>!2aj3?>56`;f`84?>i2=;0;6):ib;76=>h3nh0=76a:5283>!2aj3?>56`;f`86?>i2==0;6):ib;76=>h3nh0?76a:5783>!2aj3?>56`;f`80?>i2=>0;6):ib;76=>h3nh0976a:5983>!2aj3?>56`;f`82?>i2=h0;6):ib;76=>h3nh0;76sm36694?5f290;wA;m1;5x 0232k<:7):ie;032>"28=0>m?5+511911b6133Sl36>u06=u`8;47>5$5da>7743g>mm7?=;:k153<72->mn7<>3:l7bd<6921b>?=50;&7bg<59:1e8ko51198m74?290/8kl52018j1`f2o10e?<6:18'0cd=:890b9hn:d98m74f290/8kl52018j1`f2m10e?6:18'0cd=:890b9hn:998m76e290/8kl52018j1`f2>10e?>l:18'0cd=:890b9hn:798m76c290/8kl52018j1`f2<10e?>j:18'0cd=:890b9hn:598m777290/8kl52018j1`f2:10e??>:18'0cd=:890b9hn:398m775290/8kl52018j1`f2810e??;:18'0cd=:890b9hn:198m755290/8kl522f8j1`f28807d<;0;29 1`e2;9o7c:ia;32?>o5h3nh0:<65f24094?"3nk09?i5a4gc9b>=n:<91<7*;fc817a=i=n:=n:<31<7*;fc817a=i=n::91<7*;fc817a=i=n::<1<7*;fc817a=i=n::21<7*;fc817a=i=n::h1<7*;fc817a=i65f22a94?"3nk09?i5a4gc95>=n::o1<7*;fc817a=i5<#47<3f?=57>5$5da>03>3g>mm7??;:m62`<72->mn7;:9:l7bd:k4?:%6ef?3212d?jl4j;:m635<72->mn7;:9:l7bd;<4?:%6ef?3212d?jl4l;:m636<72->mn7;:9:l7bd;84?:%6ef?3212d?jl4n;:m633<72->mn7;:9:l7bd<>32e>8k4?:%6ef?3212d?jl47;:m614<72->mn7;:9:l7bd<032e>9?4?:%6ef?3212d?jl49;:m616<72->mn7;:9:l7bd<232e>994?:%6ef?3212d?jl4;;:m613<72->mn7;:9:l7bd<432e>9:4?:%6ef?3212d?jl4=;:m61=<72->mn7;:9:l7bd<632e>9l4?:%6ef?3212d?jl4?;:a7c7=839j6=4?{M7a5?1|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=9:1/94?5fd9~ 0g12:l:7Wh7:2y0e?5e2<:1qdo59?0;6):ib;027>h3nh0:=65f23194?"3nk09=>5a4gc955=5<#<=4n5db>`=5<#<=4n5db>f=5<#<=4n5db>d=5<#<=4n5db>==5<#<=4n5db>3=5<#<=4n5db>1=54i332>5<#<=4n5db>7=5<#<=4n5db>5=0:9j604=83.?jo4=3e9m0cg=n21b>8=50;&7bg<5;m1e8ko5e:9j602=83.?jo4=3e9m0cg=l21b>8;50;&7bg<5;m1e8ko5c:9j601=83.?jo4=3e9m0cg=j21b>8750;&7bg<5;m1e8ko5a:9j60g=83.?jo4=3e9m0cg=121b>>=50;&7bg<5;m1e8ko58:9j663=83.?jo4=3e9m0cg=?21b>>850;&7bg<5;m1e8ko56:9j661=83.?jo4=3e9m0cg==21b>>650;&7bg<5;m1e8ko54:9j66g=83.?jo4=3e9m0cg=;21b>>l50;&7bg<5;m1e8ko52:9j66e=83.?jo4=3e9m0cg=921b>>k50;&7bg<5;m1e8ko50:9j1d5=831d99k50;&7bg<2=01e8ko51398k03d290/8kl554;8j1`f28;07b;99;29 1`e2i2>l0;6):ib;76=>h3nh0m76a:6g83>!2aj3?>56`;f`8f?>i2?90;6):ib;76=>h3nh0o76a:7083>!2aj3?>56`;f`8`?>i2?:0;6):ib;76=>h3nh0i76a:7483>!2aj3?>56`;f`8b?>i2??0;6):ib;76=>h3nh0276a:4g83>!2aj3?>56`;f`8;?>i2=80;6):ib;76=>h3nh0<76a:5383>!2aj3?>56`;f`85?>i2=:0;6):ib;76=>h3nh0>76a:5583>!2aj3?>56`;f`87?>i2=?0;6):ib;76=>h3nh0876a:5683>!2aj3?>56`;f`81?>i2=10;6):ib;76=>h3nh0:76a:5`83>!2aj3?>56`;f`83?>{e;o81<7=n:183I3e93=p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=>5+5839b`=z,h=;[d;>6}4i39i68>5}h031:9j675=83.?jo4=129m0cg=9910e?<7:18'0cd=:890b9hn:g98m74>290/8kl52018j1`f2l10e?290/8kl52018j1`f2110e?>m:18'0cd=:890b9hn:698m76d290/8kl52018j1`f2?10e?>k:18'0cd=:890b9hn:498m76b290/8kl52018j1`f2=10e???:18'0cd=:890b9hn:298m776290/8kl52018j1`f2;10e??=:18'0cd=:890b9hn:098m773290/8kl52018j1`f2910e?==:18'0cd=::n0b9hn:008?l4383:1(9hm:31g?k2ai3;:76g=4e83>!2aj388h6`;f`824>=n:<81<7*;fc817a=i=n:<>1<7*;fc817a=i=n:<=1<7*;fc817a=i=n:=n::?1<7*;fc817a=i=n::=1<7*;fc817a=i=n::k1<7*;fc817a=i=n::i1<7*;fc817a=i=n=h91<75`55g94?"3nk0>945a4gc957=:h4?:%6ef?3212d?jl4i;:m62c<72->mn7;:9:l7bd;=4?:%6ef?3212d?jl4k;:m634<72->mn7;:9:l7bd;>4?:%6ef?3212d?jl4m;:m630<72->mn7;:9:l7bd;;4?:%6ef?3212d?jl46;:m60c<72->mn7;:9:l7bd9<4?:%6ef?3212d?jl48;:m617<72->mn7;:9:l7bd<132e>9>4?:%6ef?3212d?jl4:;:m611<72->mn7;:9:l7bd<332e>9;4?:%6ef?3212d?jl4<;:m612<72->mn7;:9:l7bd<532e>954?:%6ef?3212d?jl4>;:m61d<72->mn7;:9:l7bd<732wi85<7sE?i=79t$467>g063->mi7!2aj38:?6`;f`825>=n:;91<7*;fc8156=i5<#<=4n5db>c=5<#<=4n5db>a=5<#<=4n5db>g=5<#<=4n5db><=5<#<=4n5db>2=5<#<=4n5db>0=5<#<=4n5db>6=5<#<=4n5db>4=5<#>j4n5db>44<3`8?<7>5$5da>75c3g>mm7?>;:k10a<72->mn7<8<50;&7bg<5;m1e8ko5f:9j605=83.?jo4=3e9m0cg=m21b>8:50;&7bg<5;m1e8ko5d:9j603=83.?jo4=3e9m0cg=k21b>8950;&7bg<5;m1e8ko5b:9j60?=83.?jo4=3e9m0cg=i21b>8o50;&7bg<5;m1e8ko59:9j665=83.?jo4=3e9m0cg=021b>>;50;&7bg<5;m1e8ko57:9j660=83.?jo4=3e9m0cg=>21b>>950;&7bg<5;m1e8ko55:9j66>=83.?jo4=3e9m0cg=<21b>>o50;&7bg<5;m1e8ko53:9j66d=83.?jo4=3e9m0cg=:21b>>m50;&7bg<5;m1e8ko51:9j66c=83.?jo4=3e9m0cg=821b9l=50;9l11c=83.?jo4:589m0cg=9;10c8;l:18'0cd==<30b9hn:038?j3113:1(9hm:47:?k2ai3;;76a:6d83>!2aj3?>56`;f`8e?>i2>o0;6):ib;76=>h3nh0n76a:7183>!2aj3?>56`;f`8g?>i2?80;6):ib;76=>h3nh0h76a:7283>!2aj3?>56`;f`8a?>i2?<0;6):ib;76=>h3nh0j76a:7783>!2aj3?>56`;f`8:?>i2h3nh0376a:5083>!2aj3?>56`;f`84?>i2=;0;6):ib;76=>h3nh0=76a:5283>!2aj3?>56`;f`86?>i2==0;6):ib;76=>h3nh0?76a:5783>!2aj3?>56`;f`80?>i2=>0;6):ib;76=>h3nh0976a:5983>!2aj3?>56`;f`82?>i2=h0;6):ib;76=>h3nh0;76sm40a94?5f290;wA;m1;5x 0232k<:7):ie;032>"28=0>m?5+511911b17d3Sl36>u06=u`8;47>5$5da>7743g>mm7?=;:k153<72->mn7<>3:l7bd<6921b>?=50;&7bg<59:1e8ko51198m74?290/8kl52018j1`f2o10e?<6:18'0cd=:890b9hn:d98m74f290/8kl52018j1`f2m10e?6:18'0cd=:890b9hn:998m76e290/8kl52018j1`f2>10e?>l:18'0cd=:890b9hn:798m76c290/8kl52018j1`f2<10e?>j:18'0cd=:890b9hn:598m777290/8kl52018j1`f2:10e??>:18'0cd=:890b9hn:398m775290/8kl52018j1`f2810e??;:18'0cd=:890b9hn:198m755290/8kl522f8j1`f28807d<;0;29 1`e2;9o7c:ia;32?>o5h3nh0:<65f24094?"3nk09?i5a4gc9b>=n:<91<7*;fc817a=i=n:=n:<31<7*;fc817a=i=n::91<7*;fc817a=i=n::<1<7*;fc817a=i=n::21<7*;fc817a=i=n::h1<7*;fc817a=i65f22a94?"3nk09?i5a4gc95>=n::o1<7*;fc817a=i5<#47<3f?=57>5$5da>03>3g>mm7??;:m62`<72->mn7;:9:l7bd:k4?:%6ef?3212d?jl4j;:m635<72->mn7;:9:l7bd;<4?:%6ef?3212d?jl4l;:m636<72->mn7;:9:l7bd;84?:%6ef?3212d?jl4n;:m633<72->mn7;:9:l7bd<>32e>8k4?:%6ef?3212d?jl47;:m614<72->mn7;:9:l7bd<032e>9?4?:%6ef?3212d?jl49;:m616<72->mn7;:9:l7bd<232e>994?:%6ef?3212d?jl4;;:m613<72->mn7;:9:l7bd<432e>9:4?:%6ef?3212d?jl4=;:m61=<72->mn7;:9:l7bd<632e>9l4?:%6ef?3212d?jl4?;:a0fc=83n1<7>tL4`2>=}#==>1n;?4$5df>7613-?;87;n2:&646<252:l6eg<33-?2=7hj;o7:6?0e<2w/9l854bg8^c>=;r336475a38~m76?290/8kl52358j1`f2:10e??9:18'0cd=:;=0b9hn:398m744290/8kl52358j1`f2810e?<7:18'0cd=:;=0b9hn:198m755290/8kl52438j1`f2:10e?:?:18'0cd=:<;0b9hn:398m72c290/8kl52438j1`f2810e?;=:18'0cd=:<;0b9hn:198m0g42900c8:j:18'0cd==?n0b9hn:298k03d290/8kl557f8j1`f2;10c886:18'0cd==?n0b9hn:098k00b290/8kl557f8j1`f2910qo:lf;29`?6=8rF>n<47{%770?d192.?jh4=079'152==h80(8><:46g?k3>8380b8om:59'1<7=nl1e94<56b58y!3f>3>hj6Ti8;1x==<>13k96pg=0983>!2aj389;6`;f`80?>o59?0;6):ib;013>h3nh0976g=2283>!2aj389;6`;f`82?>o5:10;6):ib;013>h3nh0;76g=3383>!2aj38>=6`;f`80?>o5<90;6):ib;065>h3nh0976g=4e83>!2aj38>=6`;f`82?>o5=;0;6):ib;065>h3nh0;76g:a283>>i2h3nh0876a:5b83>!2aj3?=h6`;f`81?>i2>00;6):ib;75`>h3nh0:76a:6d83>!2aj3?=h6`;f`83?>{e<0i1<7=n:183I3e932p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=>5+5839b`=i=0818l5r$4c5>1?d3Sl36>u06=u`8;47>5$5da>7743g>mm7?=;:k153<72->mn7<>3:l7bd<6921b>?=50;&7bg<59:1e8ko51198m74?290/8kl52018j1`f2o10e?<6:18'0cd=:890b9hn:d98m74f290/8kl52018j1`f2m10e?6:18'0cd=:890b9hn:998m76e290/8kl52018j1`f2>10e?>l:18'0cd=:890b9hn:798m76c290/8kl52018j1`f2<10e?>j:18'0cd=:890b9hn:598m777290/8kl52018j1`f2:10e??>:18'0cd=:890b9hn:398m775290/8kl52018j1`f2810e??;:18'0cd=:890b9hn:198m755290/8kl522f8j1`f28807d<;0;29 1`e2;9o7c:ia;32?>o5h3nh0:<65f24094?"3nk09?i5a4gc9b>=n:<91<7*;fc817a=i=n:=n:<31<7*;fc817a=i=n::91<7*;fc817a=i=n::<1<7*;fc817a=i=n::21<7*;fc817a=i=n::h1<7*;fc817a=i65f22a94?"3nk09?i5a4gc95>=n::o1<7*;fc817a=i5<#47<3f?=57>5$5da>03>3g>mm7??;:m62`<72->mn7;:9:l7bd:k4?:%6ef?3212d?jl4j;:m635<72->mn7;:9:l7bd;<4?:%6ef?3212d?jl4l;:m636<72->mn7;:9:l7bd;84?:%6ef?3212d?jl4n;:m633<72->mn7;:9:l7bd<>32e>8k4?:%6ef?3212d?jl47;:m614<72->mn7;:9:l7bd<032e>9?4?:%6ef?3212d?jl49;:m616<72->mn7;:9:l7bd<232e>994?:%6ef?3212d?jl4;;:m613<72->mn7;:9:l7bd<432e>9:4?:%6ef?3212d?jl4=;:m61=<72->mn7;:9:l7bd<632e>9l4?:%6ef?3212d?jl4?;:a0|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=9:1/94?5fd9m1<4=1?1v(8o9:5;a?_`?2:q8m7=m:429yl4703:1(9hm:330?k2ai3;976g=1783>!2aj38:?6`;f`825>=n:;91<7*;fc8156=i5<#<=4n5db>c=5<#<=4n5db>a=5<#<=4n5db>g=5<#<=4n5db><=5<#<=4n5db>2=5<#<=4n5db>0=5<#<=4n5db>6=5<#<=4n5db>4=5<#>j4n5db>44<3`8?<7>5$5da>75c3g>mm7?>;:k10a<72->mn7<8<50;&7bg<5;m1e8ko5f:9j605=83.?jo4=3e9m0cg=m21b>8:50;&7bg<5;m1e8ko5d:9j603=83.?jo4=3e9m0cg=k21b>8950;&7bg<5;m1e8ko5b:9j60?=83.?jo4=3e9m0cg=i21b>8o50;&7bg<5;m1e8ko59:9j665=83.?jo4=3e9m0cg=021b>>;50;&7bg<5;m1e8ko57:9j660=83.?jo4=3e9m0cg=>21b>>950;&7bg<5;m1e8ko55:9j66>=83.?jo4=3e9m0cg=<21b>>o50;&7bg<5;m1e8ko53:9j66d=83.?jo4=3e9m0cg=:21b>>m50;&7bg<5;m1e8ko51:9j66c=83.?jo4=3e9m0cg=821b9l=50;9l11c=83.?jo4:589m0cg=9;10c8;l:18'0cd==<30b9hn:038?j3113:1(9hm:47:?k2ai3;;76a:6d83>!2aj3?>56`;f`8e?>i2>o0;6):ib;76=>h3nh0n76a:7183>!2aj3?>56`;f`8g?>i2?80;6):ib;76=>h3nh0h76a:7283>!2aj3?>56`;f`8a?>i2?<0;6):ib;76=>h3nh0j76a:7783>!2aj3?>56`;f`8:?>i2h3nh0376a:5083>!2aj3?>56`;f`84?>i2=;0;6):ib;76=>h3nh0=76a:5283>!2aj3?>56`;f`86?>i2==0;6):ib;76=>h3nh0?76a:5783>!2aj3?>56`;f`80?>i2=>0;6):ib;76=>h3nh0976a:5983>!2aj3?>56`;f`82?>i2=h0;6):ib;76=>h3nh0;76sm48f94?42290;wA;m1;:x 0232k<:7):ie;032>"28=0>m?5+511911b"2180mi6`:93822c=z,6}>2h0o6pg=0983>!2aj38;m6`;f`8a?>o59?0;6):ib;03e>h3nh0j76g=2283>!2aj38;m6`;f`8:?>o5:10;6):ib;03e>h3nh0376g=2883>!2aj38;m6`;f`84?>o5:h0;6):ib;03e>h3nh0=76g=2c83>!2aj38;m6`;f`86?>o5:m0;6):ib;03e>h3nh0?76g=2g83>!2aj38;m6`;f`80?>o5;90;6):ib;03e>h3nh0976g=0883>!2aj38;m6`;f`82?>o58k0;6):ib;03e>h3nh0;76g=3383>!2aj38886`;f`8a?>o5<90;6):ib;000>h3nh0j76g=4e83>!2aj38886`;f`8:?>o5=;0;6):ib;000>h3nh0376g=5283>!2aj38886`;f`84?>o5==0;6):ib;000>h3nh0=76g=5483>!2aj38886`;f`86?>o5=>0;6):ib;000>h3nh0?76g=5883>!2aj38886`;f`80?>o5=h0;6):ib;000>h3nh0976g=3283>!2aj38886`;f`82?>o5;<0;6):ib;000>h3nh0;76g:a283>>i2h3nh0i76a:5b83>!2aj3?><6`;f`8b?>i2>00;6):ib;764>h3nh0276a:6d83>!2aj3?><6`;f`8;?>i2>o0;6):ib;764>h3nh0<76a:7183>!2aj3?><6`;f`85?>i2?80;6):ib;764>h3nh0>76a:7283>!2aj3?><6`;f`87?>i2?<0;6):ib;764>h3nh0876a:7783>!2aj3?><6`;f`81?>i2h3nh0:76a:5083>!2aj3?><6`;f`83?>{e7=i=hh156*:908ea>h21;0:m:5r$4c5>1b43Sl36>uld;af>a7=u`8;47>5$5da>74b3g>mm764;h022?6=,=li6?5$5da>74b3g>mm784;h015$5da>74b3g>mm7:4;h01e?6=,=li6?5$5da>74b3g>mm7<4;h01`?6=,=li6?5$5da>74b3g>mm7>4;h006?6=,=li6?;7;o6ee?><3`8?<7>5$5da>73?3g>mm794;h07`?6=,=li6?;7;o6ee?0<3`8>>7>5$5da>73?3g>mm7;4;h067?6=,=li6?;7;o6ee?2<3`8>87>5$5da>73?3g>mm7=4;h061?6=,=li6?;7;o6ee?4<3`8>;7>5$5da>73?3g>mm7?4;h06=?6=,=li6?;7;o6ee?6<3`?j?7>5;n77a?6=,=li689;;o6ee?><3f?>o7>5$5da>0133g>mm794;n75=?6=,=li689;;o6ee?0<3f?=i7>5$5da>0133g>mm7;4;n75b?6=,=li689;;o6ee?2<3f?<<7>5$5da>0133g>mm7=4;n745?6=,=li689;;o6ee?4<3f?5$5da>0133g>mm7?4;n741?6=,=li689;;o6ee?6<3th?h84?:0a94?6|D9;%730?3f:2.><>4:4e9m1<6=:2d>mo46;%7:5?`b3g?2>7?mc:'1d0=fc=l80ve?>7:18'0cd=:;o0b9hn:998m771290/8kl523g8j1`f2>10e?<<:18'0cd=:;o0b9hn:798m74?290/8kl523g8j1`f2<10e?<6:18'0cd=:;o0b9hn:598m74f290/8kl523g8j1`f2:10e?290/8kl524:8j1`f2910e8o<:188k02b290/8kl55668j1`f2110c8;l:18'0cd==>>0b9hn:698k00>290/8kl55668j1`f2?10c88j:18'0cd==>>0b9hn:498k00a290/8kl55668j1`f2=10c89?:18'0cd==>>0b9hn:298k016290/8kl55668j1`f2;10c89<:18'0cd==>>0b9hn:098k012290/8kl55668j1`f2910qo:k7;295f<729qG9o?58z&60181/8kk52148 0632h219097c;nb;;8 0?62oo0b87=:0f2?x"2i?0?h:5Uf980fb=kl0o=7sf21:94?"3nk09>h5a4gc9<>=n:8<1<7*;fc816`=ih5a4gc92>=n:;21<7*;fc816`=ih5a4gc90>=n:;k1<7*;fc816`=ih5a4gc96>=n:;n1<7*;fc816`=ih5a4gc94>=n::81<7*;fc811==i=n:=n1<7*;fc811==i=n:<91<7*;fc811==i=n:65f24594?"3nk09955a4gc95>=n:<31<7*;fc811==i;95a4gc93>=h=?31<7*;fc8631=i;95a4gc91>=h=?l1<7*;fc8631=i;95a4gc97>=h=>;1<7*;fc8631=i65`56194?"3nk0>;95a4gc95>=h=>?1<7*;fc8631=i5<6k3:119l<4$420>02c3g?2<7<4n4ca><=#=0;1jh5a58095`0:|k14=<72->mn7<=e:l7bdmn7<=e:l7bd<132c9>54?:%6ef?45m2d?jl4:;:k16<<72->mn7<=e:l7bd<332c9>l4?:%6ef?45m2d?jl4<;:k16g<72->mn7<=e:l7bd<532c9>i4?:%6ef?45m2d?jl4>;:k16c<72->mn7<=e:l7bd<732c9??4?:%6ef?4202d?jl47;:k105<72->mn7<:8:l7bd<032c98i4?:%6ef?4202d?jl49;:k117<72->mn7<:8:l7bd<232c99>4?:%6ef?4202d?jl4;;:k111<72->mn7<:8:l7bd<432c9984?:%6ef?4202d?jl4=;:k112<72->mn7<:8:l7bd<632c9944?:%6ef?4202d?jl4?;:k6e6<722e>8h4?:%6ef?30<2d?jl47;:m61f<72->mn7;84:l7bd<032e>:44?:%6ef?30<2d?jl49;:m62`<72->mn7;84:l7bd<232e>:k4?:%6ef?30<2d?jl4;;:m635<72->mn7;84:l7bd<432e>;<4?:%6ef?30<2d?jl4=;:m636<72->mn7;84:l7bd<632e>;84?:%6ef?30<2d?jl4?;:a0ad=83;h6=4?{M7a5?>|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=12.>5<4ie:l6=7<6nk1v(8o9:5fa?_`?2:qhh7mj:e39yl4703:1(9hm:30f?k2ai3207d<>6;29 1`e2;8n7c:ia;58?l45;3:1(9hm:30f?k2ai3<07d<=8;29 1`e2;8n7c:ia;78?l4513:1(9hm:30f?k2ai3>07d<=a;29 1`e2;8n7c:ia;18?l45j3:1(9hm:30f?k2ai3807d<=d;29 1`e2;8n7c:ia;38?l45n3:1(9hm:30f?k2ai3:07d<<2;29 1`e2;?37c:ia;:8?l4383:1(9hm:37;?k2ai3=07d<;d;29 1`e2;?37c:ia;48?l42:3:1(9hm:37;?k2ai3?07d<:3;29 1`e2;?37c:ia;68?l42<3:1(9hm:37;?k2ai3907d<:5;29 1`e2;?37c:ia;08?l42?3:1(9hm:37;?k2ai3;07d<:9;29 1`e2;?37c:ia;28?l3f;3:17b;;e;29 1`e2<=?7c:ia;:8?j32k3:1(9hm:457?k2ai3=07b;99;29 1`e2<=?7c:ia;48?j31m3:1(9hm:457?k2ai3?07b;9f;29 1`e2<=?7c:ia;68?j3083:1(9hm:457?k2ai3907b;81;29 1`e2<=?7c:ia;08?j30;3:1(9hm:457?k2ai3;07b;85;29 1`e2<=?7c:ia;28?xd3l=0;6"28:0>8i5a58296>h2ik027);61;df?k3>:3;j:6s+5`490a25<#?k4n5db>2=5<#?k4n5db>0=5<#?k4n5db>6=5<#?k4n5db>4=5<#864n5db>==;6=4+4g`960>5<#864n5db>3=5<#864n5db>1=54i376>5<#864n5db>7=5<#864n5db>5=5<#==5<#3=5<#1=54o452>5<#7=5<#5=o:7>51b83>5}K=k;14v*:458a24=#=84$427>0g53-?;?7;;d:l6=5<53g?jn774$4;2>cc=650;&7bg<5:l1e8ko58:9j640=83.?jo4=2d9m0cg=?21b>?=50;&7bg<5:l1e8ko56:9j67>=83.?jo4=2d9m0cg==21b>?750;&7bg<5:l1e8ko54:9j67g=83.?jo4=2d9m0cg=;21b>?l50;&7bg<5:l1e8ko52:9j67b=83.?jo4=2d9m0cg=921b>?h50;&7bg<5:l1e8ko50:9j664=83.?jo4=599m0cg=021b>9>50;&7bg<5=11e8ko57:9j61b=83.?jo4=599m0cg=>21b>8<50;&7bg<5=11e8ko55:9j605=83.?jo4=599m0cg=<21b>8:50;&7bg<5=11e8ko53:9j603=83.?jo4=599m0cg=:21b>8950;&7bg<5=11e8ko51:9j60?=83.?jo4=599m0cg=821b9l=50;9l11c=83.?jo4:759m0cg=021d98m50;&7bg<2?=1e8ko57:9l13?=83.?jo4:759m0cg=>21d9;k50;&7bg<2?=1e8ko55:9l13`=83.?jo4:759m0cg=<21d9:>50;&7bg<2?=1e8ko53:9l127=83.?jo4:759m0cg=:21d9:=50;&7bg<2?=1e8ko51:9l123=83.?jo4:759m0cg=821vn9j7:182g?6=8rF>n<47{%770?d192.?jh4=079'152==h80(8><:46g?k3>8380b8om:89'1<7=nl1e94<51e28y!3f>3>o46Ti8;1xga!2aj389i6`;f`8;?>o59?0;6):ib;01a>h3nh0<76g=2283>!2aj389i6`;f`85?>o5:10;6):ib;01a>h3nh0>76g=2883>!2aj389i6`;f`87?>o5:h0;6):ib;01a>h3nh0876g=2c83>!2aj389i6`;f`81?>o5:m0;6):ib;01a>h3nh0:76g=2g83>!2aj389i6`;f`83?>o5;;0;6):ib;06<>h3nh0376g=4183>!2aj38>46`;f`84?>o5h3nh0=76g=5383>!2aj38>46`;f`86?>o5=:0;6):ib;06<>h3nh0?76g=5583>!2aj38>46`;f`80?>o5=<0;6):ib;06<>h3nh0976g=5683>!2aj38>46`;f`82?>o5=00;6):ib;06<>h3nh0;76g:a283>>i2h3nh0376a:5b83>!2aj3?<86`;f`84?>i2>00;6):ib;740>h3nh0=76a:6d83>!2aj3?<86`;f`86?>i2>o0;6):ib;740>h3nh0?76a:7183>!2aj3?<86`;f`80?>i2?80;6):ib;740>h3nh0976a:7283>!2aj3?<86`;f`82?>i2?<0;6):ib;740>h3nh0;76sm4ec94?7d290;wA;m1;:x 0232k<:7):ie;032>"28=0>m?5+511911b"2180mi6`:9382a0=z,6}dl3in6i?5}h03<3`8::7>5$5da>74b3g>mm794;h017?6=,=li6?5$5da>74b3g>mm7;4;h01=?6=,=li6?5$5da>74b3g>mm7=4;h01f?6=,=li6?5$5da>74b3g>mm7?4;h01b?6=,=li6?7>5$5da>73?3g>mm764;h074?6=,=li6?;7;o6ee?1<3`8?h7>5$5da>73?3g>mm784;h066?6=,=li6?;7;o6ee?3<3`8>?7>5$5da>73?3g>mm7:4;h060?6=,=li6?;7;o6ee?5<3`8>97>5$5da>73?3g>mm7<4;h063?6=,=li6?;7;o6ee?7<3`8>57>5$5da>73?3g>mm7>4;h7b7?6=3f??i7>5$5da>0133g>mm764;n76g?6=,=li689;;o6ee?1<3f?=57>5$5da>0133g>mm784;n75a?6=,=li689;;o6ee?3<3f?=j7>5$5da>0133g>mm7:4;n744?6=,=li689;;o6ee?5<3f?<=7>5$5da>0133g>mm7<4;n747?6=,=li689;;o6ee?7<3f?<97>5$5da>0133g>mm7>4;|`7`f<728i1<7>tL4`2>=}#==>1n;?4$5df>7613-?;87;n2:&646<252:l6eg<>3-?2=7hj;o7:6?7ai2w/9l854ea8^c>=;rio6nk5d08~m76?290/8kl523g8j1`f2110e??9:18'0cd=:;o0b9hn:698m744290/8kl523g8j1`f2?10e?<7:18'0cd=:;o0b9hn:498m74>290/8kl523g8j1`f2=10e?10e?:k:18'0cd=:<20b9hn:798m735290/8kl524:8j1`f2<10e?;<:18'0cd=:<20b9hn:598m733290/8kl524:8j1`f2:10e?;::18'0cd=:<20b9hn:398m730290/8kl524:8j1`f2810e?;6:18'0cd=:<20b9hn:198m0g42900c8:j:18'0cd==>>0b9hn:998k03d290/8kl55668j1`f2>10c886:18'0cd==>>0b9hn:798k00b290/8kl55668j1`f2<10c88i:18'0cd==>>0b9hn:598k017290/8kl55668j1`f2:10c89>:18'0cd==>>0b9hn:398k014290/8kl55668j1`f2810c89::18'0cd==>>0b9hn:198yg2f93:1?44?:1yO1g7=>r.>8946199'157=:=:356?!37;3??h6*:a683?!3>93ln7p*:a787e4=]n108w>m53e864?{n:5<#;94n5db>47<3`8=n7>5$5da>7003g>mm7??;:k12a<72->mn7<97:l7bdmn7<97:l7bdmn7<97:l7bd4?:%6ef?41?2d?jl4n;:k131<72->mn7<97:l7bd<>32c99i4?:%6ef?41?2d?jl47;:k11c<72->mn7<97:l7bd<032c9:=4?:%6ef?41?2d?jl49;:k124<72->mn7<97:l7bd<232c9:?4?:%6ef?41?2d?jl4;;:k121<72->mn7<97:l7bd<432c9:84?:%6ef?41?2d?jl4=;:k123<72->mn7<97:l7bd<632c9:54?:%6ef?41?2d?jl4?;:k133<72->mn7<71:l7bd<6:21b>5=50;&7bg<5081e8ko51098m7>2290/8kl52938j1`f28:07d<77;29 1`e2;2:7c:ia;d8?l4?03:1(9hm:3:2?k2ai3o07d<79;29 1`e2;2:7c:ia;f8?l4?i3:1(9hm:3:2?k2ai3i07d<7b;29 1`e2;2:7c:ia;`8?l4?l3:1(9hm:3:2?k2ai3k07d<7e;29 1`e2;2:7c:ia;;8?l40?3:1(9hm:3:2?k2ai3207d<89;29 1`e2;2:7c:ia;58?l40i3:1(9hm:3:2?k2ai3<07d<8b;29 1`e2;2:7c:ia;78?l40k3:1(9hm:3:2?k2ai3>07d<8e;29 1`e2;2:7c:ia;18?l40n3:1(9hm:3:2?k2ai3807d<70;29 1`e2;2:7c:ia;38?l4?:3:1(9hm:3:2?k2ai3:07b;;e;29 1`e2i2=j0;6):ib;76=>h3nh0:=65`57;94?"3nk0>945a4gc955=5<#`=5<#f=5<#d=5<#==5<#3=5<#1=54o474>5<#7=5<#5=257>5d;294~J2j803w);;4;`55>"3nl09<;5+51691d4<,<:868:k;o7:4?41?>3Sl36>u68;;:>d4=u`8;47>5$5da>7403g>mm7=4;h022?6=,=li6?<8;o6ee?4<3`89?7>5$5da>7403g>mm7?4;h017>5$5da>7363g>mm7=4;h074?6=,=li6?;>;o6ee?4<3`8?h7>5$5da>7363g>mm7?4;h066?6=,=li6?;>;o6ee?6<3`?j?7>5;n77a?6=,=li688k;o6ee?5<3f?>o7>5$5da>00c3g>mm7<4;n75=?6=,=li688k;o6ee?7<3f?=i7>5$5da>00c3g>mm7>4;|`7`7<72m0;6=uC5c39<~"2<=0i:<5+4gg9650<,<:?68o=;%737?33l2d>5=4=;o7bf?2<,<3:6kk4n4;1>3g13t.>m;4;d39Yb=<4s021544n2;j65>=83.?jo4=269m0cg=;21b><850;&7bg<5:>1e8ko52:9j675=83.?jo4=269m0cg=921b>?650;&7bg<5:>1e8ko50:9j664=83.?jo4=509m0cg=;21b>9>50;&7bg<5=81e8ko52:9j61b=83.?jo4=509m0cg=921b>8<50;&7bg<5=81e8ko50:9j1d5=831d99k50;&7bg<2>m1e8ko53:9l10e=83.?jo4:6e9m0cg=:21d9;750;&7bg<2>m1e8ko51:9l13c=83.?jo4:6e9m0cg=821vn97n:180e?6=8rF>n<47{%770?d192.?jh4=079'152==h80(8><:46g?k3>8380b8om:018 0?62oo0b87=:848y!3f>3>2m6Ti8;1x7d<4j3?;6pg=0983>!2aj38:?6`;f`826>=n:8<1<7*;fc8156=i5<#<=4n5db>46<3`8947>5$5da>7743g>mm7h4;h01=?6=,=li6??<;o6ee?c<3`89m7>5$5da>7743g>mm7j4;h01f?6=,=li6??<;o6ee?e<3`89h7>5$5da>7743g>mm7l4;h01b?6=,=li6??<;o6ee?g<3`88<7>5$5da>7743g>mm774;h03=?6=,=li6??<;o6ee?><3`8;n7>5$5da>7743g>mm794;h03g?6=,=li6??<;o6ee?0<3`8;h7>5$5da>7743g>mm7;4;h03a?6=,=li6??<;o6ee?2<3`8:<7>5$5da>7743g>mm7=4;h025?6=,=li6??<;o6ee?4<3`8:>7>5$5da>7743g>mm7?4;h020?6=,=li6??<;o6ee?6<3`88>7>5$5da>75c3g>mm7?=;:k105<72->mn7<9j50;&7bg<5;m1e8ko51198m735290/8kl522f8j1`f2o10e?;<:18'0cd=::n0b9hn:d98m733290/8kl522f8j1`f2m10e?;::18'0cd=::n0b9hn:b98m730290/8kl522f8j1`f2k10e?;6:18'0cd=::n0b9hn:`98m73f290/8kl522f8j1`f2010e?=<:18'0cd=::n0b9hn:998m752290/8kl522f8j1`f2>10e?=9:18'0cd=::n0b9hn:798m750290/8kl522f8j1`f2<10e?=7:18'0cd=::n0b9hn:598m75f290/8kl522f8j1`f2:10e?=m:18'0cd=::n0b9hn:398m75d290/8kl522f8j1`f2810e?=j:18'0cd=::n0b9hn:198m0g42900c8:j:18'0cd==<30b9hn:008?j32k3:1(9hm:47:?k2ai3;:76a:6883>!2aj3?>56`;f`824>=h=?o1<7*;fc861<=i945a4gc9a>=h=>:1<7*;fc861<=i945a4gc9g>=h=>91<7*;fc861<=i945a4gc9e>=h=><1<7*;fc861<=i945a4gc9<>=h=<;1<7*;fc861<=i945a4gc92>=h=<91<7*;fc861<=i945a4gc90>=h=<<1<7*;fc861<=i945a4gc96>=h=<21<7*;fc861<=i945a4gc94>=zjl:o6=4=:183!3f>39:i6F:ad9j75>=831d8h850;9~f23529086=4?{%7b2?c03A?ji6g<0883>>o48h0;66a;e783>>{e?5;n6f2?6=3th<954?:283>5}#=h<1i55G5`g8m66>2900e>>n:188k1c12900qom;4;ed9K1dc5<>6:188m66f2900c9k9:188yg4f?3:1?7>50z&6e3<3ml1C9lk4i22:>5<5<53;294~"2i?0?ih5G5`g8m66>2900e>>n:188k1c12900qom;4;ed9K1dc5<N2il1b?=750;9j75g=831d8h850;9~f20329086=4?{%7b2?c>3A?ji6g<0883>>o48h0;66a;e783>>{e??i1<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th<;94?:283>5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo98c;297?6=8r.>m;4j9:J6e`=n;931<75f31c94?=h5<4290;w);n6;g:?M3fm2c8<44?::k04d<722e?i;4?::a302=8391<7>t$4c5>1cb3A?ji6g<0883>>o48h0;66a;e783>>{e0?;1<7=50;2x 0g12=on7E;ne:k04<<722c8o4800;66g<0`83>>i3m?0;66sm53494?4=83:p(8o9:23f?M3fm2c8<54?::m7a3<722wi9?650;094?6|,?j;I7ba>o4810;66a;e783>>{e=;k1<7<50;2x 0g12:;n7E;ne:k04=<722e?i;4?::a17e=8381<7>t$4c5>67b3A?ji6g<0983>>i3m?0;66sm53g94?4=83:p(8o9:23f?M3fm2c8<54?::m7a3<722wi;;650;194?6|,o4800;66g<0`83>>i3m?0;66sm76294?5=83:p(8o9:5gf?M3fm2c8<44?::k04d<722e?i;4?::a32>=8391<7>t$4c5>1cb3A?ji6g<0883>>o48h0;66a;e783>>{e?1:1<7=50;2x 0g12=on7E;ne:k04<<722c8o4800;66g<0`83>>i3m?0;66sm74g94?5=83:p(8o9:d:8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`413<72;0;6=u+5`4974c<@3:17pl86383>7<729q/9l8530g8L0gb3`9;47>5;n6f2?6=3th<:l4?:383>5}#=h<1?n:7>5;|`43d<72;0;6=u+5`4974c<@3:17pl88383>7<729q/9l8530g8L0gb3`9;47>5;n6f2?6=3th<4l4?:383>5}#=h<1?5;h125?6=3`9:>7>5;n6f2?6=3th<:=4?:783>5}#=h<18k=4H4cf?l5713:17d=?a;29?l5693:17d=>2;29?l56;3:17b:j6;29?xd?8;0;6:4<:8y'1d0=0980en;>:188mf372900en:j:188mf342900en:i:188mf2c2900c:hk:188f2`a290>6=4?{%7b2?`63A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e09:1<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3<<4?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:1;296~Xd=816;kh531;8yve283:1>vPl519>3c`=;9k0q~m;e;296~XdvPl529>3c`=;880q~9ie;296~;?8808<4527gd90`052z\`0c=:09:1?=74}ra7`?6=:rTh8i52812975g52z?;44<48h164=>54d48yv1al3:1>vP8fe9><57=2<420q/9l8585d8mf362900en;?:188mf342900en:k:188mf2b2900en:i:188k=2f2900n5:l:186>5<7s-?j:7h?;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm85f94?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;0`<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509><1e=;930q~m:0;296~Xd=91649m531c8yve2;3:1>vPl529><1e=;8;0q~m;d;296~Xd3j3:1>v374d804<=:0=i18h84}ra7a?6=:rTh8h5285f975?52z\`0c=:0=n1?=o4}r:7g?6=:r738h4<0`9><1b=:188mf372900c5;?:188f=35290>6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0<91<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3994?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:3;296~Xd=:1648<531;8yve3m3:1>vPl4d9><04=;9k0q~m;f;296~XdvPl4e9><04=;880q~6:1;296~;?==08<45284090`0=7>52z\`14=:0<91?=74}ra64?6=:rTh9=52841975g>7>52z?;11<48h1648=54d48yv>283:1>vP7519><02=2<420q/9l858858mf362900en;<:188mf2c2900en:i:188mf372900en:j:188k=?52900n57;:186>5<7s-?j:7ki;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm88794?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;=3<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509><<2=;930q~m:3;296~Xd=:1644:531c8yve3l3:1>vPl4e9><<2=;8;0q~m;f;296~Xd>;3:1>v3797804<=:00>18h84}ra64?6=:rTh9=52887975?52z\`0`=:00?1?=o4}r::0?6=:r735;4<0`9><<3=:188mf342900en:j:188mf2a2900en;?:188mf2c2900c577:188f=?f290>6=4?{%7b2?ca3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e00h1<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th35n4?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:1;296~Xd=81644o531;8yve2;3:1>vPl529><vPl4g9><<7>52z\`15=:00h1?=74}ra7`?6=:rTh8i5288`975g52z?;=f<48h1644l54d48yv>>03:1>vP7999><2<420q/9l858`18mf362900en:j:188mf372900en;<:188mf2a2900en:k:188k=?b2900n5o?:186>5<7s-?j:7h?;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm8`394?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;e7<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509>531c8yve283:1>vPl519>53008yv>>n3:1>v37a3804<=:0h:18h84}ra7b?6=:rTh8k528`3975?52z\`0a=:0h;1?=o4}r:b4?6=:r73m?4<0`9>:188mf372900en;<:188mf2c2900en:j:188mf2a2900c5o;:188f=g1290>6=4?{%7b2?ca3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0h=1<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3m54?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:1;296~Xd=8164l8531;8yve283:1>vPl519>vPl4e9>52z\`0`=:0h=1?=74}ra7b?6=:rTh8k528`5975g52z?;e=<48h164l954d48yv>f<3:1>vP7a59>=2<420q/9l858`d8mf362900en;?:188mf342900en:j:188mf2a2900en:k:188k=gf2900n5ol:186>5<7s-?j:7ki;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm8`f94?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;e`<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509>vPl529>fj3:1>v37ad804<=:0hi18h84}ra7b?6=:rTh8k528`f975?52z\`0a=:0hn1?=o4}r:bg?6=:r73mh4<0`9>:188mf2a2900c5l?:188f=d5290>6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0k91<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3n94?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:0;296~Xd=9164o<531;8yve2;3:1>vPl529>vPl4e9>=7>52z\`14=:0k91?=74}ra7b?6=:rTh8k528c1975g7>52z?;f1<48h164o=54d48yv>e83:1>vP7b19>2<420q/9l858c`8mf362900en;?:188mf342900en:i:188mf2b2900en:k:188k=d12900n5l7:186>5<7s-?j:7ki;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm8c;94?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;fd<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509>=;930q~m:0;296~Xd=9164o6531c8yve2;3:1>vPl529>=;8;0q~m;f;296~Xde?3:1>v37b`804<=:0k218h84}ra7a?6=:rTh8h528c;975?52z\`0a=:0k31?=o4}r:a:188mf2b2900c5ll:188f=db290>6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0kl1<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3o=4?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:0;296~Xd=9164ok531;8yve2;3:1>vPl529>vPl4g9>=7>52z\`14=:0kl1?=74}ra7a?6=:rTh8h528cd975g52z?;g5<48h164oh54d48yv>ek3:1>vP7bb9>2<420q/9l858b58mf372900en;<:188mf2b2900en:i:188mf362900en:k:188k=e52900n5m;:186>5<7s-?j:7kj;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm8b794?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;g3<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve283:1>vPl519>vPl4d9>d;3:1>v37c7804<=:0j>18h84}ra65?6=:rTh9<528b7975?52z\`0a=:0j?1?=o4}r:`0?6=:r73o;4<0`9>:188mf2c2900c5m7:188f=ef290>6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0jh1<7=50;2x 0g12l20D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3on4?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:0;296~Xd=9164no531;8yve2;3:1>vPl529>vPl4g9>=7>52z\`14=:0jh1?=74}ra7`?6=:rTh8i528b`975g52z?;gf<48h164nl54d48yv>d03:1>vP7c99>2<420q/9l858e18mf362900en;<:188mf2c2900en:i:188mf372900en:j:188k=eb2900n5j?:186>5<7s-?j:7kj;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm8e394?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;`7<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509>531c8yve3l3:1>vPl4e9>53008yv>dn3:1>v37d3804<=:0m:18h84}ra64?6=:rTh9=528e3975?52z\`0`=:0m;1?=o4}r:g4?6=:r73h?4<0`9>:188mf342900en:j:188mf2a2900en;?:188mf2c2900c5j;:188f=b1290>6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0m=1<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3h54?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:1;296~Xd=8164i8531;8yve2;3:1>vPl529>vPl4g9><7>52z\`15=:0m=1?=74}ra7`?6=:rTh8i528e5975g52z?;`=<48h164i954d48yv>c<3:1>vP7d59>=2<420q/9l858ed8mf362900en;<:188mf2b2900en:i:188mf372900en:k:188k=bf2900n5jl:186>5<7s-?j:7kj;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm8ef94?5=83:p(8o9:d:8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;``<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509>vPl4d9>cj3:1>v37dd804<=:0mi18h84}ra64?6=:rTh9=528ef975?52z\`0a=:0mn1?=o4}r:gg?6=:r73hh4<0`9>6=4?{%7b2?ca3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0l91<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3i94?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m;e;296~XdvPl509><`4=;9k0q~m:3;296~Xd=:164h<53038yve283:1>vPl519><`4=;880q~6j1;296~;?m=08<4528d090`052z\`0c=:0l91?=74}ra7`?6=:rTh8i528d1975g7>52z?;a1<48h164h=54d48yv>b83:1>vP7e19><`2=2<420q/9l858d`8mf362900en;<:188mf372900en:k:188mf2b2900en:i:188k=c12900n5k7:186>5<7s-?j:7kj;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm8d;94?5=83:p(8o9:d;8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;ad<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509><`>=;930q~m:3;296~Xd=:164h6531c8yve283:1>vPl519><`>=;8;0q~m;d;296~Xdb?3:1>v37e`804<=:0l218h84}ra7a?6=:rTh8h528d;975?52z\`0c=:0l31?=o4}r:f<`?=:188mf342900en;?:188mf2b2900en:i:188mf2c2900c5kl:188f=cb290>6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0ll1<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3j=4?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:1;296~Xd=8164hk531;8yve2;3:1>vPl529><`c=;9k0q~m:0;296~Xd=9164hk53038yve3m3:1>vPl4d9><`c=;880q~6jd;296~;?n908<4528dg90`052z\`0c=:0ll1?=74}ra7`?6=:rTh8i528dd975g52z?;b5<48h164hh54d48yv>bk3:1>vP7eb9>2<420q/9l858g58mf362900en;<:188mf372900en:j:188mf2a2900en:k:188k=`52900n5h;:186>5<7s-?j:7kj;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm8g794?5=83:p(8o9:d:8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`;b3<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509>vPl519>a;3:1>v37f7804<=:0o>18h84}ra7b?6=:rTh8k528g7975?52z\`0a=:0o?1?=o4}r:e0?6=:r73j;4<0`9>:188mf342900en;?:188mf2a2900en:j:188mf2c2900c5h7:188f=`f290>6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e0oh1<7=50;2x 0g12l30D8oj;h13=?6=3`9;m7>5;n6f2?6=3th3jn4?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:1;296~Xd=8164ko531;8yve2;3:1>vPl529>vPl4g9>52z\`0`=:0oh1?=74}ra7`?6=:rTh8i528g`975g52z?;bf<48h164kl54d48yv>a03:1>vP7f99>2<420q/9l859118mf362900en;<:188mf372900en:k:188mf2b2900en:i:188k=`b2900n4>?:186>5<7s-?j:7kj;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm91394?5=83:p(8o9:d:8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`:47<72:0;6=u+5`49a2=O=ho0e>>6:188m66f2900c9k9:188yve293:1>vPl509>=56=;930q~m:3;296~Xd=:165=>531c8yve283:1>vPl519>=56=;8;0q~m;d;296~Xd53008yv>an3:1>v3603804<=:19:18h84}ra7a?6=:rTh8h52913975?52z\`0c=:19;1?=o4}r;34?6=:r72=57=800;6:4<:8y'1d0=1930en;>:188mf342900en;?:188mf2b2900en:k:188mf2a2900c4>;:188f<61290>6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e19=1<7=50;2x 0g12l20D8oj;h13=?6=3`9;m7>5;n6f2?6=3th2<54?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~m:1;296~Xd=8165=8531;8yve2;3:1>vPl529>=50=;9k0q~m:0;296~Xd=9165=853038yve3m3:1>vPl4d9>=50=;880q~7?5;296~;>8108<45291490`052z\`0a=:19=1?=74}ra7b?6=:rTh8k52915975g52z?:4=<48h165=954d48yv?7<3:1>vP6059>=5>=2<729q/9l85f79K1dc5<5<5<5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`4a7<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg1b;3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?86=4={_a67>;0m808<45rsb73>5<5sWi><638e0804d=z{j?:6=4={_a65>;0m808=<5rsb6g>5<5sWi?h638e08057=z{>o;6=4={<5f7?57127;0m;0?i;5rs6fe>5<5sW=oj638e287a3=zuk=nm7>57;19=~"2i?05;h125?6=3`9:>7>5;n6f2?6=3th5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo9j9;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>?638e6804<=z{j?;6=4={_a64>;0m>085<5sWi>=638e68054=z{j>m6=4={_a7b>;0m>08=?5rs6g5>5<5s4=n57=?9:?4a2<3m?1vn:j:181[e3m27o>6=4={_5f1>;0m00?i;5r}c5e4?6=?3915v*:a784b5=nk<;1<75fc5g94?=nk=l1<75fc5f94?=nk<91<75fc4294?=h?lh1<75m7df94?3=83:p(8o9:dg8L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`4a`<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg1bn3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;0mm08<45rsb6f>5<5sWi?i638ee804d=z{j>m6=4={_a7b>;0mm08=<5rsb6g>5<5sWi?h638ee8057=z{>oh6=4={<5fb?57127;0ml0?i;5rs6ga>5<5sW=nn638eg87a3=zuk=m:7>57;19=~"2i?05;h125?6=3`9:>7>5;n6f2?6=3th5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo9i5;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>?638f2804<=z{j?:6=4={_a65>;0n:085<5sWi><638f28054=z{j>n6=4={_a7a>;0n:08=?5rs6d1>5<5s4=m97=?9:?4b6<3m?1vn:i:181[e3n27l:6=4={_5e5>;0n<0?i;5r}c5eg?6=?3915v*:a784bf=nk<;1<75fc4294?=nk=n1<75fc5d94?=nk<91<75fc5g94?=h?o=1<75m7g;94?3=83:p(8o9:dg8L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`4bd<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg1aj3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;0n008<45rsb73>5<5sWi><638f8804d=z{j>o6=4={_a7`>;0n008=<5rsb6e>5<5sWi?j638f88057=z{>l36=4={<5ef?571272909w09ib;13e>;0nh0?i;5rs6d4>5<5sW=m;638fc87a3=zuk28=7>57;19=~"2i?03?<5fc4194?=nk<:1<75fc4394?=nk=o1<75fc5d94?=nk=n1<75`83a94?=e0;o1<7;50;2x 0g12o:0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th3>k4?:283>5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo6<0;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>?6372d804<=z{j?;6=4={_a64>;?:l085<5sWi>=6372d8054=z{j>n6=4={_a7a>;?:l08=?5rs90g>5<5s428<7=?9:?;6`<3m?1vn:i:181[e3n273>k4<089~wf2c2909wSm;d:?;6c<48h1v54839;m6372g87a3=z{18h6=4={_:1g>;?;90?i;5r}c:03?6=?3915v*:a78;72=nk<91<75fc4394?=nk=n1<75fc5d94?=nk<:1<75fc5g94?=h0:81<75m82694?3=83:p(8o9:dd8L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`;70<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg>4>3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?86=4={_a67>;?;=08<45rsb72>5<5sWi>=63735804d=z{j>o6=4={_a7`>;?;=08=<5rsb6e>5<5sWi?j637358057=z{1986=4={<:02?571273?94;e79~wf372909wSm:0:?;70<4801vn:j:181[e3m273?84<0`9~w=532909w06<6;13e>;?;<0?i;5rs911>5<5sW28>6373787a3=zuk28h7>57;19=~"2i?03?i5fc4194?=nk=o1<75fc4394?=nk<:1<75fc5d94?=nk=n1<75`82:94?=e0:k1<7;50;2x 0g12o:0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th3?o4?:283>5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo6m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>?6373`804<=z{j>n6=4={_a7a>;?;h085<5sWi>=6373`8054=z{j?;6=4={_a64>;?;h08=?5rs91:>5<5s428o7=?9:?;7d<3m?1vn:i:181[e3n273?o4<089~wf2c2909wSm;d:?;7g<48h1v5=n:1818>4k39;m6373c87a3=z{1936=4={_:0<>;?;j0?i;5r}c:77?6=?3915v*:a78;06=nk<;1<75fc4294?=nk=o1<75fc5f94?=nk<91<75fc5d94?=h0:o1<75m85294?3=83:p(8o9:dg8L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`;04<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg>3:3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;?<908<45rsb73>5<5sWi><63741804d=z{j>n6=4={_a7a>;?<908=<5rsb6g>5<5sWi?h637418057=z{19m6=4={<:76?5712738=4;e79~wf342909wSm:3:?;04<4801vn:i:181[e3n2738<4<0`9~w=272909w06;2;13e>;?<80?i;5rs91f>5<5sW28i6374387a3=zuk2?57>57;19=~"2i?03845fc4394?=nk<:1<75fc5g94?=nk=l1<75fc4194?=nk=n1<75`85694?=e0=<1<7;50;2x 0g12lo0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th38:4?:283>5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo6;8;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>=63747804<=z{j?;6=4={_a64>;?5<5sWi?i637478054=z{j>m6=4={_a7b>;?5<5s42?47=?9:?;03<3m?1vn;<:181[e2;2738:4<089~wf2c2909wSm;d:?;02<48h1v5:9:1818>3039;m6374687a3=z{1>?6=4={_:70>;?<10?i;5r}c5g6?6=?3915v*:a784`7=nk<;1<75fc4194?=nk=o1<75fc4294?=nk=l1<75fc5f94?=h?jn1<75m7bd94?3=83:p(8o9:g38L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`4`5<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg1c93:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;0ko08<45rsb70>5<5sWi>?638cg804d=z{j>n6=4={_a7a>;0ko08=<5rsb73>5<5sWi><638cg8057=z{>in6=4={<5g5?57127;0l90?i;5rs6ag>5<5sW=hh638d087a3=zuk=o47>57;19=~"2i?05;h125?6=3`9:>7>5;n6f2?6=3th5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo9k7;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>=638d4804<=z{j?;6=4={_a64>;0l<085<5sWi?i638d48054=z{j>o6=4={_a7`>;0l<08=?5rs6f7>5<5s4=o;7=?9:?4`0<3m?1vn;<:181[e2;27n86=4={_5g7>;0l>0?i;5r}c5ga?6=?3915v*:a784``=nk<;1<75fc4294?=nk=o1<75fc5d94?=nk<91<75fc5f94?=h?m31<75m7e`94?3=83:p(8o9:dd8L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`4`f<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg1cl3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;0lk08<45rsb73>5<5sWi><638dc804d=z{j>n6=4={_a7a>;0lk08=<5rsb6e>5<5sWi?j638dc8057=z{>nj6=4={<5g`?57127;0lj0?i;5rs6f:>5<5sW=o5638de87a3=zuk2:j7>57;19=~"2i?03=k5fc4394?=nk<91<75fc4294?=nk=n1<75fc5g94?=nk=l1<75`80c94?=e08i1<7;50;2x 0g12o:0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th3=i4?:283>5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo6>e;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>=6371b804<=z{j?86=4={_a67>;?9j085<5sWi><6371b8054=z{j>o6=4={_a7`>;?9j08=?5rs93a>5<5s42:i7=?9:?;5f<3m?1vn:j:181[e3m273=i4<089~wf2a2909wSm;f:?;5a<48h1v5?l:1818>6m39;m6371e87a3=z{1;j6=4={_:2e>;?9l0?i;5r}c:11?6=?3915v*:a78;60=nk<;1<75fc4194?=nk<:1<75fc5d94?=nk=o1<75fc5f94?=h0;:1<75m83094?3=83:p(8o9:g28L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`;66<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg>5<3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;?:;08<45rsb70>5<5sWi>?63723804d=z{j?;6=4={_a64>;?:;08=<5rsb6e>5<5sWi?j637238057=z{18:6=4={<:10?571273>?4;e79~wf2b2909wSm;e:?;66<4801vn:k:181[e3l273>>4<0`9~w=452909w06=4;13e>;?::0?i;5rs903>5<5sW29<6372587a3=zuk29n7>57;19=~"2i?03>o5fc4294?=nk=o1<75fc5d94?=nk=n1<75fc4394?=nk<91<75`83494?=e0;21<7;50;2x 0g12lo0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th3>44?:283>5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo6=a;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi><63729804<=z{j>n6=4={_a7a>;?:1085<5sWi?j637298054=z{j>o6=4={_a7`>;?:108=?5rs904>5<5s429m7=?9:?;6=<3m?1vn;>:181[e29273>44<089~wf342909wSm:3:?;6<<48h1v5<7:1818>5i39;m6372887a3=z{18=6=4={_:12>;?:h0?i;5r}c5`g?6=?3915v*:a784gf=nk<;1<75fc4194?=nk=n1<75fc5d94?=nk<:1<75fc5g94?=h?j=1<75m7b;94?3=83:p(8o9:g28L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`4gd<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg1dj3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;0k008<45rsb70>5<5sWi>?638c8804d=z{j>o6=4={_a7`>;0k008=<5rsb6e>5<5sWi?j638c88057=z{>i36=4={<5`f?571272909w09lb;13e>;0kh0?i;5rs6a4>5<5sW=h;638cc87a3=zuk2:57>57;19=~"2i?03=45fc4394?=nk<91<75fc5g94?=nk=l1<75fc4294?=nk=n1<75`80694?=e08<1<7;50;2x 0g12o:0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th3=:4?:283>5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo6>8;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>=63717804<=z{j?86=4={_a67>;?9?085<5sWi?i637178054=z{j>m6=4={_a7b>;?9?08=?5rs936>5<5s42:47=?9:?;53<3m?1vn;?:181[e28273=:4<089~wf2c2909wSm;d:?;52<48h1v5?9:1818>6039;m6371687a3=z{1;?6=4={_:20>;?910?i;5r}c:6b?6=?3915v*:a78;1c=nk<;1<75fc4194?=nk<:1<75fc5d94?=nk=o1<75fc5f94?=h05;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`;1a<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg>2m3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;?=j08<45rsb70>5<5sWi>?6375b804d=z{j?;6=4={_a64>;?=j08=<5rsb6e>5<5sWi?j6375b8057=z{1?i6=4={<:6a?5712739n4;e79~wf2b2909wSm;e:?;1a<4801vn:k:181[e3l2739i4<0`9~w=3d2909w06:e;13e>;?=m0?i;5rs97b>5<5sW2>m6375d87a3=zuk2:?7>57;19=~"2i?03=>5fc4394?=nk<91<75fc4294?=nk=o1<75fc5d94?=nk=n1<75`81g94?=e08:1<7;50;2x 0g12o;0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th3=<4?:283>5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo6>2;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>=63711804<=z{j?86=4={_a67>;?99085<5sWi><637118054=z{j>n6=4={_a7a>;?9908=?5rs92e>5<5s42:>7=?9:?;55<3m?1vn:i:181[e3n273=<4<089~wf2c2909wSm;d:?;54<48h1v5??:1818>6:39;m6371087a3=z{1:n6=4={_:3a>;?9;0?i;5r}c:4f?6=?3915v*:a78;3g=nk<;1<75fc4194?=nk=o1<75fc5f94?=nk<:1<75fc5d94?=h0><1<75m86:94?3=83:p(8o9:g28L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3f>n:7>5;|`;3<<72:0;6=u+5`49a<=O=ho0e>>6:188m66f2900c9k9:188yg>0i3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=z{j?:6=4={_a65>;??108<45rsb70>5<5sWi>?63779804d=z{j>n6=4={_a7a>;??108=<5rsb6g>5<5sWi?h637798057=z{1=<6=4={<:4e?571273;54;e79~wf372909wSm:0:?;3<<4801vn:i:181[e3n273;44<0`9~w=1?2909w068a;13e>;??00?i;5rs955>5<5sW2<:6377`87a3=zuk=h:7>57;19=~"2i?05;h125?6=3`9:>7>5;n6f2?6=3th5}#=h<1i45G5`g8m66>2900e>>n:188k1c12900qo9l5;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<5sWi>=638c2804<=z{j?86=4={_a67>;0k:085<5sWi><638c28054=z{j>o6=4={_a7`>;0k:08=?5rs6a1>5<5s4=h97=?9:?4g6<3m?1vn:j:181[e3m27i:6=4={_5`5>;0k<0?i;5r}c72b?6==3:1N2il1b?=750;9j75g=831b?6=4?{%7b2?cb3A?ji6g<0883>>o48h0;66g<1083>>o49;0;66a;e783>>{e=821<7;50;2x 0g12lo0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th>=44?:483>5}#=h<1j=5G5`g8m66>2900e>>n:188m6762900e>?=:188k1c12900qo;>d;293?5=1r.>m;4:1e9j141=831b9<650;9j=3e=831b5;j50;9j=3d=831b5;o50;9l140=831i93:17pl:1c83>0<729q/9l85f39K1dc5<5<N2il1b?=750;9j75g=831d8h850;9~w0702909wS;>7:?65d<4801v8?7:181[36027>=l4<0`9~w07>2909w0;>c;13=>;29h0?i;5rs84`>5<5sW3=o63:1c804<=z{0;29k085<5sW3=n63:1c8054=z{0;29k08=?5rs43b>5<5s4?:o7=?a:?65g<3m?1v8?9:181[36>27>=n4;e79~yg3683:197>50z&6e3mh5f31;94?=n;9k1<75f30394?=n;881<75`4d494?=zj<;:6=4::183!3f>3l;7E;ne:k04<<722c85;h;0=?6=3`38m7>5;h;05;n73a?6=3k?:>7>53;294~"2i?0n;6F:ad9j75?=831b?=o50;9l0`0=831vn8?<:186>5<7s-?j:7h=;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66sm50694?5=83:p(8o9:d58L0gb3`9;57>5;h13e?6=3f>n:7>5;|q64c<72;qU9=h4=431>66>3ty>==4?:3y]146<5<;96>>n;|q654<72;q69<:531;890752=o=7p}63883>7}Y1:3018?<:22:?xu>;h0;6?uQ92c890742::j7p}63983>7}Y1:2018?<:232?xu>;>0;6?uQ925890742:;97p}:1383>7}:=8>1?=o4=430>1c13ty>g0f3`i=n7>5;ha5`?6=3`i=m7>5;ha5=?6=3`i=;7>5;ha55;ha50?6=3`i=:7>5;n`51?6=3kh=;7>55;294~"2i?0ni6F:ad9j75?=831b?=o50;9j747=831b?<<50;9l0`0=831vno87:186>5<7s-?j:7ki;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66smb7;94?2=83:p(8o9:d`8L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3tyh:o4?:3y]g3d<5k<<6>>6;|q`2a<72;qUo;j4=c44>66f3tyh:l4?:3y]g3g<5k<<6>?>;|q`2<<72;qUo;74=c44>6753tyi:;4?:3y>f3?=;9k01o88:5g5?xud>>0;6?uQc7589g0?2::27p}l6983>7}Yk?201o87:22b?xud><0;6?uQc7789g0?2:;:7p}l6583>7}Yk?>01o87:231?xue>>0;6?u2b7;9747<5k<369k9;|q`23<72;qUo;84=c4:>66>3tyi:84?:3y]f33<5k<269k9;|af26=83k1?7mt$4c5>g173`i2o7>5;ha:a?6=3`i2n7>5;ha:e?6=3`i247>5;ha:=?6=3`i2:7>5;ha:1?6=3`i2;7>5;n`5f?6=3kh=h7>55;294~"2i?0ni6F:ad9j75?=831b?=o50;9j747=831b?<<50;9l0`0=831vno8j:186>5<7s-?j:7ki;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66smb7d94?2=83:p(8o9:d`8L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3tyh5n4?:3y]g>6;|q`=`<72;qUo4k4=c4g>66f3tyh5o4?:3y]g?>;|q`=d<72;qUo4o4=c4g>6753tyi:n4?:3y>f3`=;9k01o8k:5g5?xud110;6?uQc8:89g0b2::27p}l9883>7}Yk0301o8j:22b?xud1?0;6?uQc8489g0b2:;:7p}l9483>7}Yk0?01o8j:231?xue>m0;6?u2b7d9747<5k66>3tyi:o4?:3y]f3d<5kg113`ihh7>5;ha`b?6=3`iho7>5;ha`f?6=3`ih57>5;ha`e?6=3`ih;7>5;ha`2?6=3`ih47>5;n`45?6=3kh55;294~"2i?0ni6F:ad9j75?=831b?=o50;9j747=831b?<<50;9l0`0=831vno9;:186>5<7s-?j:7ki;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66smb6794?2=83:p(8o9:d`8L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3tyhoi4?:3y]gfb<5k=86>>6;|q`gc<72;qUonh4=c50>66f3tyhon4?:3y]gfe<5k=86>?>;|q`gg<72;qUonl4=c50>6753tyi;?4?:3y>f23=;9k01o9<:5g5?xudk00;6?uQcb;89g132::27p}lc`83>7}Ykjk01o9;:22b?xudk>0;6?uQcb589g132:;:7p}lc783>7}Ykj<01o9;:231?xue?:0;6?u2b679747<5k=?69k9;|q`g=<72;qUon64=c56>66>3tyi;<4?:3y]f27<5k=>69k9;|af2e=83k1?7mt$4c5>g1d3`imi7>5;hf34?6=3`imh7>5;haeg?6=3`imm7>5;haef?6=3`im47>5;hae3?6=3`im57>5;n`43?6=3kh<57>55;294~"2i?0ni6F:ad9j75?=831b?=o50;9j747=831b?<<50;9l0`0=831vno9n:186>5<7s-?j:7ki;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66smb6`94?2=83:p(8o9:d`8L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3tyhjh4?:3y]gcc<5k=26>>6;|qg45<72;qUh=>4=c5:>66f3tyhji4?:3y]gcb<5k=26>?>;|q`bf<72;qUokm4=c5:>6753tyi;54?:3y>f2d=;9k01o96:5g5?xudnh0;6?uQcgc89g1f2::27p}lfc83>7}Ykoh01o9n:22b?xudn10;6?uQcg:89g1f2:;:7p}lf683>7}Yko=01o9n:231?xue?00;6?u2b6`9747<5k=j69k9;|q`b<<72;qUok74=c5a>66>3tyi;:4?:3y]f21<5k=i69k9;|af=4=83k1?7mt$4c5>g>53`n9j7>5;hf05?6=3`n9i7>5;hf1`?6=3`n9n7>5;hf1g?6=3`n957>5;hf15;n`4`?6=3kh55;294~"2i?0ni6F:ad9j75?=831b?=o50;9j747=831b?<<50;9l0`0=831vno6?:186>5<7s-?j:7ki;I7ba>o4800;66g<0`83>>o4980;66g<1383>>i3m?0;66smb9394?2=83:p(8o9:d`8L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3tyo>k4?:3y]`7`<5k=m6>>6;|qg74<72;qUh>?4=c5e>66f3tyo>h4?:3y]`7c<5k=m6>?>;|qg6a<72;qUh?j4=c5e>6753tyi;h4?:3y>f=7=;9k01o9i:5g5?xuc:k0;6?uQd3`89g>72::27p}k2b83>7}Yl;i01o6?:22b?xuc:00;6?uQd3;89g>72:;:7p}k2983>7}Yl;201o6?:231?xue?o0;6?u2b939747<5k2;69k9;|qg6d<72;qUh?o4=c:2>66>3tyi;i4?:3y]f2b<5k2:69k9;|a33c=83>1<7>t$4c5>`d<@1;29?j2b>3:17pl88783>1<729q/9l85ec9K1dc5<5<54;294~"2i?0nn6F:ad9j75?=831b?=o50;9j747=831d8h850;9~f21b290?6=4?{%7b2?ce3A?ji6g<0883>>o48h0;66g<1083>>i3m?0;66sm77494?2=83:p(8o9:d`8L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3th3944?:683>5}#=h<18h;4H4cf?l5713:17d=?a;29?l5693:17d=>2;29?l56;3:17d=>4;29?j2b>3:17pl70983>f<42lq/9l8581:8m2e02900e:m>:188m2ec2900e:j6:188m2b42900e:ji:188m2ce2900e:k::188m2`02900e:h>:188m2`c2900c5><:188f=6229026=4?{%7b2?2a=2B>mh5f31;94?=n;9k1<75f30394?=n;881<75f30194?=n;8>1<75f30794?=n;8<1<75`4d494?=zj1:=6=4;:183!3f>3>m=6F:ad9j75?=831b?=o50;9j747=831d8h850;9~f=6029086=4?{%7b2?2bm2B>mh5f31;94?=n;9k1<75`4d494?=z{>i<6=4={_5`3>;?8<08<45rs6a2>5<5sW=h=63704804d=z{>io6=4={_5``>;?8<08=<5rs6f:>5<5sW=o5637048057=z{>n86=4={_5g7>;?8<08=>5rs6fe>5<5sW=oj637048051=z{>oi6=4={_5ff>;?8<08=85rs6g6>5<5sW=n9637048053=z{1:?6=4={<:33?571273<84;e79~w2`02909wS9i7:?;43<4801v:h>:181[1a9273<;4<0`9~w2`c2909wS9id:?;43<4981v5>::1818>7?39;m6370787a3=z{1:86=4={_:37>;?8>0?i;5r}c:63?6=l3:1>6:188m66f2900e>?>:188m6752900e>?<:188m6732900e>?::188m6712900e>?8:188m67?2900e>>m:188m66d2900c9k9:188yg?693:1=:4<:0;x 0g120;:7d668;29?l>>:3:17d6:0;29?l>f<3:17d66e;29?l>fi3:17d6m6;29?l>e83:17d6mc;29?l>d:3:17d6l8;29?l>c<3:17d6le;29?l>ci3:17d6j6;29?l>b83:17d6i8;29?l>bk3:17d6i2;29?l?7<3:17d6ie;29?l?7i3:17b7?c;29?g?7m3:1=<4?:1y'1d0=5;h125?6=3`9:>7>5;h127?6=3`9:87>5;h121?6=3`9::7>5;h123?6=3`9:47>5;h13f?6=3`9;o7>5;h13`?6=3`9;i7>5;h13b?6=3`9:<7>5;n6f2?6=3th25}#=h<18k:4H4cf?l5713:17d=?a;29?l5693:17d=>2;29?l56;3:17d=>4;29?j2b>3:17pl61183>6<729q/9l854dg8L0gb3`9;57>5;h13e?6=3f>n:7>5;|q;==<72;qU4464=82f>66>3ty35?4?:3y]<<4<50:n6>>n;|q;15<72;qU48>4=82f>6763ty3m94?:3y]?=;|q;=`<72;qU44k4=82f>6743ty3ml4?:3y]?;;|q;f3<72;qU4o84=82f>6723ty3n=4?:3y]?9;|q;ff<72;qU4om4=82f>6703ty3o?4?:3y]?7;|q;g=<72;qU4n64=82f>66e3ty3h94?:3y]>l;|q;g`<72;qU4nk4=82f>66c3ty3hl4?:3y]>j;|q;a3<72;qU4h84=82f>66a3ty3i=4?:3y]<`6<50:n6>??;|q:4a<72;q65<>531;89<6b2=o=7p}7f983>7}Y0o2014>i:22:?xu?mj0;6?uQ8da89<6a2::j7p}7f383>7}Y0o8014>i:232?xu>8=0;6?uQ91689<6a2:;97p}7fd83>7}Y0oo014>i:230?xu>8h0;6?uQ91c89<6a2:;?7p}60d83>7}:18:1?=o4=82e>1c13ty21<7>t$4c5>1`63A?ji6g<0883>>o48h0;66g<1083>>i3m?0;66s|e9`94?4|Vl2i707i6;g;f>"20o0n4k5a59g90>{tm1i1<73o3o6*:8g8f"20o0n4k5a59g96>{tm1o1<73o3i6*:8g8f"20o0n4k5a59g94>{tm921<7;>0m0m5636918e=>;>180m5636938e=>;>1:0m5636958e=>;>1<0m5636978e=>;>1>0m56368d8e=>;>0o0m5636588e=>;>=h0m56365e8e=>;>=l0m56365g8e=>;>>90m5636608e=>;>>;0m5636628e=>;>>=0m56365c8e=>;>=j0m563l8b8e=>;d0m0m563l8d8e=>;d0o0m563l918e=>;d180m563l938e=>;d1:0m563l958e=>;djm0m563lbd8e=>;djo0m563lc18e=>;dk80m563lc38e=>;dk:0m563lc58e=>;dk<0m563led8e=>;dmo0m563lf18e=>;dn80m563lf38e=>;dn:0m563lf58e=>;dn<0m563lf78e=>;c9o0m563k218e=>;c:80m563k238e=>;c::0m563k258e=>;c:<0m563k278e=>;c:>0m563k518e=>;c=80m563k538e=>;c=:0m563k558e=>;c=<0m563k578e=>;c=>0m563k598e=>;f0k0m563n8b8e=>;f0m0m563n8d8e=>;d9529g49572m7>52z\`1d=:k=i1o8o4}rc4f?6=766=:?n018?i:231?835939;56*:8g8b3d=i=1o1<6s|a6;94?2|5h2o68=i;<104?41j27>=k4<089>177=;9k0(86i:`5b?k3?m3;0q~o88;290~;f0j0>?k52322963?<5<;m6>>n;<715?5692.>4k4n7`9m1=c=:2wxm:950;6x9d>e2<9m70=<0;06g>;29o08=<525339744<,<2m6l9n;o7;a?554z?:70;>8;13=>J2j90:w)=>d;28y!3?n33=;6`:8d83?xu>>?0;69u299g916`<5:8h6?;k;<6:g?44;27>=44<089O1g6=9r.8=i4?;|&6>>1e95k51:p=27=83>p1478:41e?855k38<863;9b811d=:=831?=o4L4`3>4}#;8n1<6s+59d9=315<3s432:7;1<0>?k5233a9627<5=3h6?;8;<72n=4>{%12`?6=<2==:l01>k38>963:198057=K=k:1=v*<1e83?x"20o02::5a59g91>{t1?n1<7:t=8;0>05a3499o7<9f:?7=f<5==169;4:j09:h5248a9605<5<;o648l;M7a4?7|,:;o6=5r$4:e><003g?3i794}r;5f?6=77e=:?n0197l:371?836l33=n6B:b182!56l3:0q);7f;;53>h20l037p}66`83>1}:10:19>h4=20`>70e34>2o7<;d:?65a<>>h1G9o>51z&05a<73t.>4k46669m1=c=12wx5;750;6x9<>c2<9m70==c;05=>;31j098=5250;9747{#=1l15;94n4:f>d=z{0<>6=4;{<;;g?34n278>n4=5b9>0?k:19~ 0>a20<<7c;7e;`8yv?4=3:18v365b867c=:;;h1>8h4=5;a>75234?:<7=?9:N6f5<6s-9:h7>4}%7;b??4<2d>4h4?;|q:76<72=q658l552d8964e2;?o70:6b;007>;29808<45C5c295~"49m0;7p*:8g8:71=i=1o1=6s|92g94?2|50147=;9k0@8l?:0y'74b=82w/95h59268j0>b2;1v4=k:1878?1;3?8j63<2c8136=:<0h1>874=433>6763E?i<7?t$23g>5=z,<2m64=;;o7;a?554z?:27<2;o16??l5263891?e2;?<70;>0;13e>J2j90:w)=>d;28y!3?n33886`:8d87?xu>;k0;69u2973916`<5:8i6?9?;<6:f?42=27>==4<139O1g6=9r.8=i4?;|&6;=1e95k55:p=6g=83>p148?:41e?855j38=j63;9c8111=:=8?15>o4L4`3>4}#;8n1<6s+59d9=625<3s43>j7;l1684l52418907220927A;m0;3x 67c291v(86i:817?k3?m3=0q~7<8;290~;>=l0>?k5233`963b<5=3i6?;=;<721??402F>n=4>{%12`?6=0b==:l01>j38?h63:148:72=K=k:1=v*<1e83?x"20o02?95a59g9=>{t1:<1<7:t=87b>05a3499n7<99:?7=g<5<91690b86j:`9~w<55290?w07:9;70b>;4:k099n5248`9664<5<;:6>?=;M7a4?7|,:;o6=5r$4:e><533g?3i7l4}ra5`?6=765=:>901>:8:30e?8d1i3i=h6B:b182!56l3:0q);7f;a5g>h20l0;7p}l6c83>1}:k0919>h4=210>716349?;7<=d:?a2dk1G9o>51z&05a<73t.>4k4l6b9m1=c=92wxo;o50;6x9f?52<9m70=<3;044>;4<>09>o52b7c9g3g{#=1l1o;m4n4:f>7=z{j<26=4;{4=6g9>711=:;k01o8n:b4:?I3e83;p(>?k:19~ 0>a2j;k4=264>74>34h=m7m98:N6f5<6s-9:h7>4}%7;b?e1k2d>4h4;;|q`22<72=q6o5h552d896542;;e>h0h::5C5c295~"49m0;7p*:8g8`2f=i=1o196s|c7494?2|5j2n68=i;<107?41j2788:4=229>f3g=k?<0@8l?:0y'74b=82w/95h5c7a8j0>b2?1vn8::1878e?l3?8j63<32812<=:;==1><84=c4b>f023E?i<7?t$23g>5=z,<2m6n8l;o7;a?154z?`=524a896202;:370l9a;a50>J2j90:w)=>d;28y!3?n3i=o6`:8d8;?xud1l0;69u2cb7916`<5:9?6?9<;<17e?45n27i;=4l9d9O1g6=9r.8=i4?;|&6p1nm;:41e?854<38<=63<4`816a=:j>:1o4m4L4`3>4}#;8n1<6s+59d9g5<3s4ih?7;?k52326963`<5:>j6?i2F>n=4>{%12`?6gf7==:l01>=;:34f?853i389563m718`=<=K=k:1=v*<1e83?x"20o0h5i5a59g90>{tk021<7:t=ba3>05a349887<9d:?00d<5:116n:>5c8:8H0d728q/?;4;=09:o5235c9675<5k=;6n78;M7a4?7|,:;o6=5r$4:e>f?c3g?3i784}ra:2?6=762=:?301>:n:335?8d083i2:6B:b182!56l3:0q);7f;a:`>h20l0<7p}l9483>1}:kkn19>h4=217>73d349?m751z&05a<73t.>4k4l9e9m1=c=02wxonh50;6x9f`12<9m70=<5;047>;4?=09>k52b649gf`{#=1l1onk4n4:f>5=z{jio6=4;{722=:;n01o99:bag?I3e83;p(>?k:19~ 0>a2jin7c;7e;38yvedk3:18v3lf5867c=:;:?1>:>4=257>74e34h<:7mlc:N6f5<6s-9:h7>4}%7;b?edm2d>4h4=;|q`gg<72=q6ok=552d896522;;e??0hoo5C5c295~"49m0;7p*:8g8`g`=i=1o1?6s|cbc94?2|5jl968=i;<101?41m278;94=289>f20=kjk0@8l?:0y'74b=82w/95h5cbg8j0>b2=1vnm6:1878ea93?8j63<34812a=:;>>1>?64=c55>fe>3E?i<7?t$23g>5=z,<2m6nmj;o7;a?354z?`b5<2;o16?>;527`896132;8870l86;a`<>J2j90:w)=>d;28y!3?n3ihi6`:8d85?xudk>0;69u2cdd916`<5:9>6?86;<140?46>27i;;4lc69O1g6=9r.8=i4?;|&6p1nkj:41e?854=38>o63<75814==:j><1on84L4`3>4}#;8n1<6s+59d9gfc5<3s4n9;7;?k523249627<5:l96?n=4>{%12`?6`73==:l01>=9:353?85a:389n63m7b8`ba=K=k:1=v*<1e83?x"20o0hjk5a59g96>{tkoi1<7:t=e07>05a3498:7<9f:?0b7<5:h16n:m5cga8H0d728q/?;4;?09:h523g0967?<5k=h6nhm;M7a4?7|,:;o6=5r$4:e>f`a3g?3i7:4}raee?6=?4:3g9>760=:?n01>h=:30;?8d0k3imm6B:b182!56l3:0q);7f;aeb>h20l0>7p}lf883>1}:l;;19>h4=215>70e349m>7<=3:?a3f51z&05a<73t.>4k4lfg9m1=c=>2wxok650;6x9a472<9m70=<6;05=>;4n;09=;52b6a9gc>{#=1l1okh4n4:f>2=z{jl<6=4;{7c4=:9201o9l:bd4?I3e83;p(>?k:19~ 0>a2jlm7c;7e;:8yvb493:18v3k59867c=:;:=1>:=4=53`>74a34h3>7j<1:N6f5<6s-9:h7>4}%7;b?b482d>4h4?;|qg6c<72=q6h89552d896502;=:70:>c;01`>;e0;0o>k5C5c295~"49m0;7p*:8g8g75=i=1o1=6s|d3g94?2|5m?=68=i;<103?40827?=n4=2c9>f=4=l;o0@8l?:0y'74b=82w/95h5d228j0>b2;1vi?o4=c:1>a4c3E?i<7?t$23g>5=z,<2m6i=?;o7;a?554z?g11<2;o16?>9527g8917d2;8270l72;f1g>J2j90:w)=>d;28y!3?n3n8<6`:8d87?xuc:k0;69u2d41916`<5:9<6?8k;<62g?45027i4?4k2c9O1g6=9r.8=i4?;|&6p1i;=:41e?854?38=n63;1b8166=:j181h?o4L4`3>4}#;8n1<6s+59d9`665<3s4n>=7;016852m827A;m0;3x 67c291v(86i:e13?k3?m3=0q~j=8;290~;c=90>?k52325960e<5=;h6?>7;<`;6?b502F>n=4>{%12`?6>?4?:3y>g1e==;8014h9:401?xu5ij0;6nu2c5a96de<5>?;6>>n;<550?57i27<:n4<0`9>322=;9k01:9l:22b?81?<39;m6386d804<=:?1<1?=74=655>66>34=66>3tyh9>4?:20x9f2d2j?8709;e;13=>;0>908=>528109g05<51>m6n;<;<:61?e2;2735:4l529><f13i>?637ag8`16=:0k?1o8=4=9`a>f34342h=7m:3:?;g2;?lo0h9>528d79g05<51oi6n;<;<:e5?e2;273j:4l529><:b70?8?713i>?6360c804<=:?l>1o8=4=6gb>f3434=m<7m:3:?4b3;?;m0h9>528519g05<51>26n;<;<5g6?e2;273ac=k<9015?i:b70?8>5=3i>?6372c8`16=:?ji1o8=4=93:>f34342>j7m:3:?;56"20o0h9?5a59g94>{tk<;1<7=={336=;88015>=:b72?8>3n3i>=637548`14=:00=1o8?4=9;g>f36342j?7m:1:?;e<;?k80h9<528b59g07<51io6n;>;<:g7?e29273h44l509>bj3i>=637f08`14=:0o=1o8?4=9dg>f36343;?7m:1:?:4<;0n90h9<527g49g07<5>lh6n;>;<:05?e29273?:4l509><6b=k<;015:<:b72?8>313i>=638d38`14=:?m21o8?4=6ff>f36342:j7m:1:?;609;a65>;?=o0h9<528019g07<51=i6n;>;<5`2?e29273944<0`9'1=`=k<80b86j:09~wf372908>v3l4b8`15=:?=o1?676342;>7m:0:?;0c;?i:0h9=528`;9g06<51km6n;?;<:a1?e28273no4l519>dl3i><637d28`15=:0m31o8>4=9fe>f37342n97m:0:?;ag;>8:0h9=5291;9g06<50:i6>?>;<5f0?e28273c6=k<:01:h9:b73?81ak3i><637308`15=:0:=1o8>4=91g>f37342??7m:0:?;0<;?9o0h9=528379g06<518i6n;?;<5`g?e28273=44l519><0`=k<:015?<:b73?8>0j3i><638c78`15=:0<31?f353g?3i7<4}ra7b?6=;;q6o9m5c5d8922b2:;970990;13e>;?8;0h8k5285d9g1`<51?>6n:i;<::3?e3n2735i4l4g9>fn3i?j637b48`0c=:0kh1o9h4=9a2>f2a342h;7m;f:?;ga2j>m706kf;a7b>;?m<0h8k528d`9g1`<51l:6n:i;<:e3?e3n273ji4l4g9>=55=k=l014>6:b6e?8?7j39:>638e58`0c=:?lk1o9h4=6d3>f2a34=m:7m;f:?4bf?5c5d89=502j>m706;?<:0h8k5285;9g1`<5>n96n:i;<5g<4`=k=l015<::b6e?8>5j3i?j638cb8`0c=:0831o9h4=97e>f2a342:?7m;f:?;3g2:;97);7f;a66>h20l087p}l4d83>65|5j>h6n:j;<172?3f;27<9l4<0`9>30>=;93015>=:b6f?8>3n3i?i637548`0`=:00=1o9k4=9;g>f2b342j?7m;e:?;e<n706mb;a7a>;?k80h8h528b59g1c<51io6n:j;<:g7?e3m273h44l4d9>bj3i?i637f08`0`=:0o=1o9k4=9dg>f2b343;?7m;e:?:4<n709ja;a7a>;0n90h8h527g49g1c<5>lh6n:j;<:05?e3m273?:4l4d9><6b=k=o015:<:b6f?8>313i?i638d38`0`=:?m21o9k4=6ff>f2b342:j7m;e:?;60n706>9;a7a>;?=o0h8h528019g1c<51=i6n:j;<5`2?e3m273944<129'1=`=k<80b86j:59~wf2c2908>v3l4b8`0a=:?66f342;>7m;d:?;0co7066d;a7`>;?i:0h8i528`;9g1b<51km6n:k;<:a1?e3l273no4l4e9>dl3i?h637d28`0a=:0m31o9j4=9fe>f2c342n97m;d:?;ago706id;a7`>;>8:0h8i5291;9g1b<50:i6>?;;<5f0?e3l273c6=k=n01:h9:b6g?81ak3i?h637308`0a=:0:=1o9j4=91g>f2c342??7m;d:?;0<o709ke;a7`>;?9o0h8i528379g1b<518i6n:k;<5`g?e3l273=44l4e9><0`=k=n015?<:b6g?8>0j3i?h638c78`0a=:0<31?<:4$4:e>f353g?3i7;4}r0b`?6=:r7h8n4=ae9>6d5=;930q~52z?`0f<5io16>l;531;8yv4e83:1>v3l4b81f5=::h?1?=o4}r0a5?6=:r7h8n4=b09>6d1=;930q~52z?`0f<5j:16>l7531;8yv4e<3:1>v3l4b81f1=::h31?=o4}r0a1?6=:r7h8n4=b49>6dd=;930q~;=4;296~;d>9522``975g52z\afd=:k=i1noo4}r`6=?6=:rTi9452c5a9f0?52z\:52=:k=i1;o94}r5a2?6=:rT2=852c5a93g052z\:51=:k=i1;o;4}r5a0?6=:rT2=>52c5a93g252z\:57=:k=i1;n>4}r`7e?6=:rTi8l52c5a93g`52z\af<=:k=i1;ok4}r5a`?6=:rTin:52c5a93gb52z\af3=:k=i1;om4}r5af?6=:rTin852c5a93gd52z\af1=:k=i1;oo4}rg1`?6=:rTn>i52c5a93g?52z\b=5=:k=i1;o64}rg1f?6=o5Qb458Zd>a34i?o79m3:p=cd=83>p1n:l:8da?8?a>33mn63;9g86e6=:<`f3g?3i7>4}r;e=?6=>r7h8n46f89>=c0=1o301>m8:4c0?85d03?j?63;6g86e6=:<>:19l=4$4:e><`f3g?3i7?4}r;e=c0=1o201>:7:4c0?85>l3?j?63<9d86e6=:<=?19l=4=565>0g43-?3j77ia:l6<`<53ty2j:4?:cy>g1e=1o=014h9:8d4?853?3?j?63<4886e6=:;=k19l=4=250>0g4349<87;n3:?0b4<2i:16?k<55`18917e2c;7b7>"20o02jl5a59g97>{ti1:1<7=t=213>00b34>hi7<=8:?7gc<5:11/95h5a6d8j0>b291vl9j:18085483?=563;cd8166=:?=4$4:e>d1a3g?3i7?4}rc4`?6=;r78?=4:5b9>0fc=:8<019mi:335?!3?n3ku2322911c<5=in6?>7;<6`b?4702.>4k4n7g9m1=c=;2wx5:;50;0x964d2"20o02;95a59g94>{t1>91<702a34>2i7<<3:&6?=1e95k51:p=2c=838p1>m38>m6*:8g8:31=i=1o1>6s|96f94?4|5:8h689:;<6:a?4212.>4k46759m1=c=;2wx5:m50;0x964d2<=870:6e;063>"20o02;95a59g90>{t1>h1<701634>2i7<:5:&6?=1e95k55:p=2g=838p1>m38>86*:8g8:31=i=1o1:6s|96;94?4|5:8h688i;<6:a?42;2.>4k46759m1=c=?2wx5:650;0x964d2<"20o02;95a59g9<>{t1>=1<700>34>2i7<;d:&6?=1e95k59:p=20=838p1>m38?<6*:8g8:31=i=1o1m6s|96094?4|5:8h68:j;<6:a?44:2.>4k46759m1=c=j2wx59<50;0x964e2"20o028<5a59g94>{t1=:1<702a34>2h7<81e95k51:p=1d=838p1>l388<6*:8g8:04=i=1o1>6s|95c94?4|5:8i689:;<6:`?45n2.>4k46409m1=c=;2wx59750;0x964e2<=870:6d;01`>"20o028<5a59g90>{t1=21<701634>2h7<=b:&6<81e95k55:p=11=838p1>l389m6*:8g8:04=i=1o1:6s|95494?4|5:8i688i;<6:`?4512.>4k46409m1=c=?2wx59;50;0x964e2<"20o028<5a59g9<>{t1=>1<700>34>2h7<=3:&6<81e95k59:p=15=838p1>l38::6*:8g8:04=i=1o1m6s|92d94?4|5:8i68:j;<6:`?4702.>4k46409m1=c=j2wxo:950;0x96542<=>70:k3;06=>"20o0h;;5a59g94>{tk>?1<701434>o?7<:7:&6=<:452?82c;38>96*:8g8`33=i=1o1>6s|c6194?4|5:98689?;<6g7?42<2.>4k4l779m1=c=;2wxo:<50;0x96542<"20o0h;;5a59g90>{tk>;1<700b34>o?7<:2:&6=<:44:?82c;38?h6*:8g8`33=i=1o1:6s|c7d94?4|5:9868;l;<6g7?4382.>4k4l779m1=c=?2wxo;k50;0x96542<>n70:k3;006>"20o0h;;5a59g9<>{tkh21<701234>o97<:9:&61e95k50:pgd0=838p1>=;:450?82c=38>;6*:8g8`e2=i=1o1=6s|c`794?4|5:9?689>;<6g1?42=2.>4k4la69m1=c=:2wxol:50;0x96532<=;70:k5;060>"20o0hm:5a59g97>{tkh91<700a34>o97<:3:&61e95k54:pgd4=838p1>=;:44f?82c=38>>6*:8g8`e2=i=1o196s|c`394?4|5:9?6886;<6g1?43l2.>4k4la69m1=c=>2wxol>50;0x96532"20o0hm:5a59g93>{tk0l1<702b34>o97<<2:&61e95k58:pga?=838p1>=::456?82c?38>56*:8g8``==i=1o1<6s|ce594?4|5:9>689<;<6g3?42?2.>4k4ld99m1=c=92wxoi850;0x96522<=:70:k7;061>"20o0hh55a59g96>{tkm?1<701734>o;7<:4:&6=::44e?82c?38>?6*:8g8``==i=1o186s|ce194?4|5:9>688j;<6g3?42:2.>4k4ld99m1=c==2wxoi<50;0x96522<<270:k7;07`>"20o0hh55a59g92>{tkm;1<703d34>o;7<;0:&6=::46f?82c?388>6*:8g8``==i=1o146s|d1c94?4|5:9=689:;<6g=?4212.>4k4k089m1=c=82wxh=650;0x96512<=870:k9;063>"20o0o<45a59g95>{tl9=1<701634>o57<:5:&6=9:453?82c138>86*:8g8g4<=i=1o1?6s|d1794?4|5:9=688i;<6g=?42;2.>4k4k089m1=c=<2wxh=:50;0x96512<"20o0o<45a59g91>{tl991<700>34>o57<;d:&6=9:47`?82c138?<6*:8g8g4<=i=1o1;6s|d1394?4|5:9=68:j;<6g=?44:2.>4k4k089m1=c=02wxh>l50;0x96502<=>70:kb;06=>"20o0o?l5a59g94>{tl:31<701434>on7<:7:&6=838p1>=8:452?82cj38>96*:8g8g7d=i=1o1>6s|d2594?4|5:9<689?;<6gf?42<2.>4k4k3`9m1=c=;2wxh>850;0x96502<"20o0o?l5a59g90>{tl:?1<700b34>on7<:2:&6=8:44:?82cj38?h6*:8g8g7d=i=1o1:6s|d2194?4|5:9<68;l;<6gf?4382.>4k4k3`9m1=c=?2wxh><50;0x96502<>n70:kb;006>"20o0o?l5a59g9<>{t?<;1<71c134=>87=?a:p==4=838p146i:006?82>m3?>=6*:8g8:<4=i=1o1<6s|99294?4|502n6<<:;<6:a?33n2.>4k46809m1=c=92wx55l50;0x970:6e;742>"20o024<5a59g96>{t11k1<744234>2i7;85:&6081e95k53:p==?=838p147::006?82>m3?4k46809m1=c==2wx55950;0x970:6e;744>"20o024<5a59g92>{t11<1<744234>2i7;9f:&6081e95k57:p==3=838p147>:006?82>m3?=i6*:8g8:<4=i=1o146s|99694?4|503;6<<:;<6:a?3112.>4k46809m1=c=12wx55=50;0x9<>c288>70:6e;76g>"20o024<5a59g9e>{t1>l1<744234>2i7;;e:&6081e95k5b:p31b=838p1:;j:22:?813m3>n:6s|74d94?4|5><96>>7;<554?2b>2wx;kj50;0x9=652>lo706?8;5e`>{t0=k1<7=2f342>;7=?b:p<06=839p15;::973?8>2?39;o636108;15=z{1396=4={<::3?>>:272=<47939~w=??2909w066d;::<>;>9803555rs9;f>5<5s42j?766e:?:54f132j8636108;e1=z{1kj6=4={<:bb?>fi272=<47a`9~w=d72909w06m5;:a4>;>9803n=5rs9`5>5<5s42in76m6:?:54d932io636108;ff=z{1i96=4={<:`3?>d:272=<47c39~w=e?2909w06ld;:`<>;>9803o55rs9af>5<5s42o?76le:?:54c132o8636108;`1=z{1nj6=4={<:gb?>ci272=<47d`9~w=c72909w06j5;:f4>;>9803i=5rs9g5>5<5s42nn76j6:?:54a932no636108;af=z{1l96=4={<:e3?>a:272=<47f39~w=`?2909w06id;:e<>;>9803j55rs9df>5<5s43;?76ie:?:54;:1818?7133;8636108:41=z{0:j6=4={<;3f?2b>272=<460`9~w2ba2909w09j4;5gb>;?8105<5s4=nm79j5:?;4=<0m<1v:km:18181a83=nn6370984ag=z{>l:6=4={<5e2?1a9273<548f09~w2`02909w09ic;5e3>;?8105<5s428=76=c:?;12<49=1v5==:1818>4?328>637568050=z{1936=4={<:0`?>402739:4<179~w=5b2909w06;3;:0a>;?=>08=:5rs967>5<5s42?576;4:?;12<4911v:mk:18181c:3=hh6370984ga=z{>n86=4={<5g2909w09ke;5g=>;?8105<5s42:j76>a:?;12<4981v55=329<637568057=z{18=6=4={<:1f?>5>2739:4<129~w2e02909w09lc;5`3>;?8105<5s42:576>4:?;12<48h1v5;n:1818>1939;m6375g8;1d=z{>?26=4={<56e?2b>27<9n4<0`9~w=6b2908w06>3;:3a>;?=>08<45286f975g52z?;3gv365b8260=:;=<198?4$4:e>2243g?3i7>4}r571?6=:r729o4>249>710===l0(86i:660?k3?m3;0q~9;6;296~;>>=0:>8523549120<,<2m6::<;o7;a?452z?:26<6:<16?9855678 0>a2>>87c;7e;18yv1303:1>v36638260=:;=<19:=4$4:e>2243g?3i7:4}r57=?6=:r72:<4>249>710==>;0(86i:660?k3?m3?0q~9;a;296~;>>90:>8523549126<,<2m6::<;o7;a?052z?:1c<6:<16?98557d8 0>a2>>87c;7e;58yv13k3:1>v365d8260=:;=<19;k4$4:e>2243g?3i764}r574?6=:r729i4>249>710==?30(86i:660?k3?m330q~9;1;296~;>=h0:>852354910e<,<2m6::<;o7;a?g7>52z?:1<<6:<16?98555g8 0>a2>>87c;7e;`8yv12?3:1>v385987a3=:?<5>=?j;0159k:22:?xu5i;0;69u24e691d5<5;k869k9;<550?57127<:;4<109~w7g3290?w0:k6;7b7>;5i<0?i;5277a975?<5>?>;|q1e3<72=q68i655`1897g02=o=70984;13=>;0??08=<5rs3c;>5<3s4>om7;n3:?1e<<3m?16;:m531;8921b2:;:7p}=a`83>1}:1c134=387=?9:?4<3<4981v9ol:18182>n3?>m63;a0812==#=1l18ll4n4:f>5=z{=kj6=4={<6:b?32027?m<4=679'1=`=2909w0:6f;763>;3i809:85+59d90dd5<5s4>2j7;:6:?7e4<5>=1/95h54``8j0>b2:1v9o8:18182>n3?>863;a08127=#=1l18ll4n4:f>1=z{=k=6=4={<6:b?32;27?m<4=609'1=`=;3i809:=5+59d90dd5<5s4>2j7;:1:?7e4<5=o1/95h54``8j0>b2>1v9o<:18182>n3??j63;a0811a=#=1l18ll4n4:f>==z{=h>6=4={<6:b?30>27?m<4=759'1=`=;3i809;>5+59d90dd5<5s4>2j7;83:?7e4<5?81/95h54``8j0>b2k1v9l=:18182>n3?<=63;a08135=#=1l18ll4n4:f>f=z{=h:6=4={<6:b?30827?m<4=6g9'1=`=;3i809:h5+59d90dd5<5s4>2j7;9e:?7e4<5>m1/95h54``8j0>b2o1v9oj:18182>n3?=563;a0812g=#=1l18ll4n4:f>46jh7>52z?7=c<2=j168l?527;8 0>a2=ki7c;7e;32?xu3i;0;6?u248d911c<5=k:6?;l;%7;b?2fj2d>4h4>2:p0f6=838p19o?:47b?82f9383>6*:8g87fc=i=1o1<6s|4cg94?4|5=k;68;7;<6b5?4?82.>4k4;bg9m1=c=92wx8oj50;0x91g72"20o0?nk5a59g96>{t03134>j=7<8e:&64k4;bg9m1=c==2wx8o750;0x91g72"20o0?nk5a59g92>{t03634>j=7<89:&64k4;bg9m1=c=12wx8n650;0x91g72<=>70:n1;0;`>"20o0?nk5a59g9e>{t01434>j=7<7b:&64k4;bg9m1=c=l2wx8n:50;0x91g72<"20o0?nk5a59g9a>{t00b34>j=7<77:&65<5s4>j<7;:c:?7e4<50:1/95h54cd8j0>b28;0q~:m6;296~;3i90>8h524`39620<,<2m69li;o7;a?753ty8h>4?:3y>0<`=:8>01>m8:47b?!3?n39o>6`:8d83?xu4l80;6?u248d9644<5:i<68;7;%7;b?5c:2d>4h4>;|q0`5<72;q684h5203896e02h20l097p}7}:<0l1><>4=2a4>0313-?3j7=k2:l6<`<43ty8oh4?:3y>0<`=:9o01>m8:477?!3?n39o>6`:8d87?xu4km0;6?u248d965b<5:i<68;<;%7;b?5c:2d>4h4:;|q0gf<72;q684h521a896e02h20l0=7p}7}:<0l1>=l4=2a4>0363-?3j7=k2:l6<`<03ty8ol4?:3y>0<`=:9301>m8:46e?!3?n39o>6`:8d8;?xu4lj0;6?u248d9666<5:i<6899;%7;b?5c:2d>4h46;|q0`g<72;q684h523d896e02<=>7);7f;1g6>h20l0j7p}7}:<0l1>?j4=2a4>0143-?3j7=k2:l6<`0<`=:;h01>m8:452?!3?n39o>6`:8d8`?xu4l10;6?u248d967g<5:i<689?;%7;b?5c:2d>4h4k;|q0`2<72;q684h523;896e02<h20l0n7p}7}:<0l1>?64=2a4>00b3-?3j7=k2:l6<`0<`=:;901>m8:44:?!3?n39o>6`:8d824>{t;m>1<7771349h;7;:c:&62909w0:6f;03<>;4k>0>8h5+59d97a47f>==<,<2m6>k9;o7;a?752z?7e5<59816?n655458 0>a2:o=7c;7e;08yv5b;3:1>v3;a18155=:;j219884$4:e>6c13g?3i7=4}r1f6?6=:r7?m=4=0d9>7f>==<>0(86i:2g5?k3?m3>0q~=j1;296~;3i909k9;o7;a?352z?7e5<58j16?n655408 0>a2:o=7c;7e;48yv5cn3:1>v3;a1814g=:;j2198?4$4:e>6c13g?3i794}r1ga?6=:r7?m=4=089>7f>===l0(86i:2g5?k3?m320q~=i0;296~;3i909?=523b:9120<,<2m6>k9;o7;a??52z?7e5<5:o16?n655678 0>a2:o=7c;7e;c8yv5bm3:1>v3;a1816a=:;j219:=4$4:e>6c13g?3i7l4}r1f`?6=:r7?m=4=2c9>7f>==>;0(86i:2g5?k3?m3i0q~=jc;296~;3i909>l523b:9126<,<2m6>k9;o7;a?b52z?7e5<5:016?n6557d8 0>a2:o=7c;7e;g8yv5bi3:1>v3;a1816==:;j219;k4$4:e>6c13g?3i7h4}r1f=?6=:r7?m=4=229>7f>==?30(86i:2g5?k3?m3;;7p}7}:<84=2a;>03d3-?3j7=j6:l6<`<692wx?ij50;0x91g72;:370=l8;77a>"20o08i;5a59g957=z{==i6=4={<6:b?44m27?:k4:5`9'1=`=<>k0b86j:19~w11>2909w0:6f;00g>;3>o0>955+59d902g5<5s4>2j7<1/95h546c8j0>b2;1v998:18182>n388m63;6g8613=#=1l18:o4n4:f>6=z{===6=4={<6:b?44027?:k4:559'1=`=<>k0b86j:59~w1122909w0:6f;003>;3>o0>9>5+59d902g5<5s4>2j7<<6:?72c<2=;1/95h546c8j0>b2?1v99<:18182>n388963;6g8614=#=1l18:o4n4:f>2=z{==96=4={<6:b?44;27?:k4:4g9'1=`=<>k0b86j:99~w1>32909w0:6f;06e>;3>o0>;;5+59d902g5<5s4>2j7<:9:?72c<2?<1/95h546c8j0>b2h1v96=:18182>n38>;63;6g8636=#=1l18:o4n4:f>g=z{=2:6=4={<6:b?42=27?:k4:709'1=`=<>k0b86j:b9~w1>72909w0:6f;060>;3>o0>;=5+59d902g5<5s4>2j7<:3:?72c<2>o1/95h546c8j0>b2l1v99j:18182>n38>>63;6g862`=#=1l18:o4n4:f>c=z{==o6=4={<6:b?43l27?:k4:689'1=`=<>k0b86j:028yv20k3:1>v3;9g8105=:11f3g?3i7?>;|q734<72;q684h52208910a2<>n7);7f;64e>h20l0:>6s|49d94?4|5=k;6?=j;<644?32i2.>4k4;8d9m1=c=82wx85j50;0x91g72;9h70:80;76<>"20o0?4h5a59g95>{t<1i1<775e34><<7;:7:&6:6*:8g87<`=i=1o1?6s|49c94?4|5=k;6?=7;<644?32<2.>4k4;8d9m1=c=<2wx85750;0x91g72;9<70:80;767>"20o0?4h5a59g91>{t<121<775134><<7;:2:&6=6*:8g87<`=i=1o1;6s|49494?4|5=k;6?=<;<644?33n2.>4k4;8d9m1=c=02wx84650;0x91g72;?j70:80;742>"20o0?4h5a59g9=>{t<0=1<773>34><<7;85:&64k4;8d9m1=c=k2wx84:50;0x91g72;??70:80;744>"20o0?4h5a59g9`>{t<091<773434><<7;9f:&64k4;8d9m1=c=991v97?:18182f838?<63;71861f=#=1l185k4n4:f>47397>52z?7e5<5;;168:>555g8 0>a2=2n7c;7e;31?xu0i00;6?u23b59642<5:>368;n;%7;b?1f02d>4h4?;|q4e2<72;q6?n952008962?2h20l0:7p}8a783>7}:;j=1>0303-?3j79n8:l6<`<53ty7f1=:8:01>:7:475?!3?n3=j46`:8d80?xu0i=0;6?u23b5965c<5:>368;;;%7;b?1f02d>4h4;;|q4e6<72;q6?n9521f8962?2h20l0>7p}8a383>7}:;j=1>=m4=26;>0353-?3j79n8:l6<`<13ty7f1=:9h01>:7:472?!3?n3=j46`:8d84?xu0i90;6?u23b5965?<5:>368:i;%7;b?1f02d>4h47;|q4f7<72;q6?n952228962?2<==7);7f;5b<>h20l027p}8b083>7}:;j=1>?h4=26;>0123-?3j79n8:l6<`7f1=:;n01>:7:450?!3?n3=j46`:8d8a?xu0io0;6?u23b5967d<5:>3689>;%7;b?1f02d>4h4l;|q4e`<72;q6?n9523c8962?2<=;7);7f;5b<>h20l0o7p}8ae83>7}:;j=1>?74=26;>00a3-?3j79n8:l6<`7f1=:;201>:7:44f?!3?n3=j46`:8d8e?xu0ik0;6?u23b59675<5:>36886;%7;b?1f02d>4h4>0:p3dg=838p1>m8:335?85303?>o6*:8g84e==i=1o1=<5rs6;e>5<5s49h;7b2880q~=n9;296~;4k>09?h5238f910g<,<2m6>o7;o7;a?652z?0g2<5;j16?4j554:8 0>a2:k37c;7e;38yv5f>3:1>v36g?3g?3i7<4}r1b1?6=:r78o:4=3`9>709?55238f9102<,<2m6>o7;o7;a?252z?0g2<5;>16?4j55418 0>a2:k37c;7e;78yv5f:3:1>v36g?3g?3i784}r1b5?6=:r78o:4=349>709?>5238f911`<,<2m6>o7;o7;a?>7>52z?0g2<5=h16?4j55648 0>a2:k37c;7e;;8yv5e93:1>v36g?3g?3i7o4}r1a4?6=:r78o:4=569>790(86i:2c;?k3?m3h0q~=nf;296~;4k>09985238f9127<,<2m6>o7;o7;a?e52z?0g2<5==16?4j55628 0>a2:k37c;7e;f8yv5fl3:1>v36g?3g?3i7k4}r1bg?6=:r78o:4=539>7098i5238f913?<,<2m6>o7;o7;a?773ty8ml4?:3y>7f1=:=:01>7k:47`?!3?n39j46`:8d825>{t;0l1<77553492h7;;e:&6;41l0>9l5+59d97ge5<5s49h47<b281v>ln:18185d0388n63<9d8612=#=1l1?om4n4:f>7=z{:h26=4={<1`;41l0>995+59d97ge5<5s49h47<<7:?0=`<2=:1/95h53ca8j0>b2<1v>l9:18185d0388:63<9d8617=#=1l1?om4n4:f>3=z{:h>6=4={<1`;41l0>8k5+59d97ge5<5s49h47<:a:?0=`<2??1/95h53ca8j0>b201v>m::18185d038>563<9d8630=#=1l1?om4n4:f>d=z{:i?6=4={<1`;41l0>;<5+59d97ge5<5s49h47<:4:?0=`<2?91/95h53ca8j0>b2m1v>m>:18185d038>?63<9d862c=#=1l1?om4n4:f>`=z{:i;6=4={<1`;41l0>:45+59d97ge4}r1aa?6=:r78o54=419>77}:;j21>><4=2;f>02b3-?3j7=mc:l6<`<6:2wx88?50;0x910a2;;?70:;5;76e>"20o0?9=5a59g94>{t<=l1<777534>?97;:8:&6;6*:8g8715=i=1o1>6s|45f94?4|5=2.>4k4;519m1=c=;2wx89m50;0x910a2;:n70:;5;760>"20o0?9=5a59g90>{t<=h1<776c34>?97;:3:&6>6*:8g8715=i=1o1:6s|45;94?4|5=m;<671?3292.>4k4;519m1=c=?2wx89650;0x910a2;:270:;5;77b>"20o0?9=5a59g9<>{t<75734>?97;86:&64k4;519m1=c=j2wx88950;0x910a2;8i70:;5;745>"20o0?9=5a59g9g>{t<<<1<774f34>?97;80:&64k4;519m1=c=n2wx88=50;0x910a2;8870:;5;75=>"20o0?9=5a59g955=z{=?96=4={<65b?46>27?884:5b9'1=`=<<:0b86j:038yv23?3:1>v3;6g814==:<=?199k4$4:e>1373g?3i7?=;|q720<72;q68:>5206891212h20l0;7p};6283>7}:<>:1><<4=565>03?3-?3j7:94:l6<`<63ty?:?4?:3y>026=:8;019:9:474?!3?n3>=86`:8d81?xu3>80;6?u24629646<5=>=68;9;%7;b?21<2d>4h4<;|q725<72;q68:>521g891212h20l0?7p};5g83>7}:<>:1>=j4=565>0343-?3j7:94:l6<`<23ty?9h4?:3y>026=:9i019:9:471?!3?n3>=86`:8d85?xu3=m0;6?u2462965d<5=>=68;>;%7;b?21<2d>4h48;|q71f<72;q68:>521;891212<>m7);7f;650>h20l037p};6d83>7}:<>:1>>>4=565>0113-?3j7:94:l6<`<>3ty?:i4?:3y>026=:;l019:9:456?!3?n3>=86`:8d8b?xu3>j0;6?u2462967b<5=>=689<;%7;b?21<2d>4h4m;|q72g<72;q68:>523`891212<=:7);7f;650>h20l0h7p};6`83>7}:<>:1>?o4=565>0173-?3j7:94:l6<`026=:;3019:9:44e?!3?n3>=86`:8d8f?xu3>10;6?u2462967><5=>=688j;%7;b?21<2d>4h4i;|q722<72;q68:>5231891212<<27);7f;650>h20l0:<6s|47494?4|5==;6??9;<672?32k2.>4k4;659m1=c=981v9;m:181820838;463;47860`=#=1l18;:4n4:f>4452z?00=<5;l16?99554c8 0>a2>3?7c;7e;28yv1>;3:1>v3<49817f=:;==19864$4:e>2?33g?3i7?4}r5:6?6=:r78854=3c9>711==<=0(86i:6;7?k3?m380q~961;296~;4<109?l523559100<,<2m6:7;;o7;a?552z?00=<5;116?9955468 0>a2>3?7c;7e;68yv1?n3:1>v3<498172=:;==198=4$4:e>2?33g?3i7;4}r5;a?6=:r78854=379>711==<80(86i:6;7?k3?m3<0q~97d;296~;4<109?8523559107<,<2m6:7;;o7;a?152z?00=<5;:16?99555d8 0>a2>3?7c;7e;:8yv1>m3:1>v3<49811d=:;==19:84$4:e>2?33g?3i774}r5:`?6=:r78854=589>711==>?0(86i:6;7?k3?m3k0q~96c;296~;4<1099:523559125<,<2m6:7;;o7;a?d52z?00=<5=<16?9955638 0>a2>3?7c;7e;a8yv1>i3:1>v3<498111=:;==19:>4$4:e>2?33g?3i7j4}r5:=?6=:r78854=529>711==?l0(86i:6;7?k3?m3o0q~968;296~;4<1099?52355913c<,<2m6:7;;o7;a?`52z?00=<5a2>3?7c;7e;33?xu01?0;6?u235:9616<5:><68;l;%7;b?1><2d>4h4>1:p3=d=838p1>:7:311?853?3??i6*:8g84=1=i=1o1=?5rs276>5<5s492h7<>4:?00<<2=h1/95h53468j0>b291v>;<:18185>l38:>63<48861==#=1l1?8:4n4:f>4=z{:?96=4={<1:`?469278844:569'1=`=;<>0b86j:39~w6362909w0=6d;024>;4<00>9;5+59d97025rs273>5<5s492h7b2=1v>:i:18185>l38;h63<488616=#=1l1?8:4n4:f>0=z{:>n6=4={<1:`?47k278844:539'1=`=;<>0b86j:79~w62c2909w0=6d;03f>;4<00>9<5+59d97025<5s492h7b211v>;j:18185>l388<63<488633=#=1l1?8:4n4:f><=z{:?o6=4={<1:`?45n278844:749'1=`=;<>0b86j:`9~w63d2909w0=6d;01`>;4<00>;>5+59d97025<5s492h7<=b:?00<<2?81/95h53468j0>b2j1v>;n:18185>l389m63<488635=#=1l1?8:4n4:f>a=z{:?26=4={<1:`?451278844:6g9'1=`=;<>0b86j:d9~w63?2909w0=6d;01<>;4<00>:h5+59d97025<5s492h7<=3:?00<<2>01/95h53468j0>b28:0q~=:6;296~;41m09=;5235;910e<,<2m6>;;;o7;a?763ty88o4?:3y>7:6:46f?!3?n39>86`:8d826>{t;?31<7773349?m7;:a:&611e95k50:p731=838p1>7j:331?853i3?>46*:8g802==i=1o1=6s|37494?4|5:3n6??>;<17e?32?2.>4k4<699m1=c=:2wx?;;50;0x96?b2;;;70=;a;762>"20o08:55a59g97>{t;?>1<776b349?m7;:4:&611e95k54:p735=838p1>7j:32g?853i3?>?6*:8g802==i=1o196s|37094?4|5:3n6?>l;<17e?32:2.>4k4<699m1=c=>2wx?;?50;0x96?b2;:i70=;a;765>"20o08:55a59g93>{t;?:1<776>349?m7;;f:&611e95k58:p724=838p1>7j:313?853i3?<:6*:8g802==i=1o156s|36394?4|5:3n6?4k4<699m1=c=i2wx?:>50;0x96?b2;8o70=;a;747>"20o08:55a59g9f>{t;?l1<774e349?m7;81:&611e95k5c:p73c=838p1>7j:30b?853i3?<<6*:8g802==i=1o1h6s|37f94?4|5:3n6?<6;<17e?31n2.>4k4<699m1=c=m2wx?;m50;0x96?b2;8370=;a;75a>"20o08:55a59g9b>{t;?h1<7744349?m7;99:&611e95k5119~w60f2909w0=6e;022>;49n5+59d973>71g===o0(86i:24;?k3?m3;97p}<7g83>7}:;0n1>>k4=250>03f3-?3j7=8e:l6<`<73ty8;i4?:3y>79<:47;?!3?n394h4=;|q03g<72;q6?4j522c896142h20l087p}<7`83>7}:;0n1>>64=250>0333-?3j7=8e:l6<`<33ty8;44?:3y>79<:470?!3?n394h49;|q032<72;q6?4j5227896142h20l0<7p}<7783>7}:;0n1>>=4=250>02a3-?3j7=8e:l6<`79<:455?!3?n390;6?u238f960?<5:=8689:;%7;b?50m2d>4h4n;|q0<3<72;q6?4j5245896142<=87);7f;14a>h20l0i7p}<8483>7}:;0n1>8;4=250>0163-?3j7=8e:l6<`701>9<:453?!3?n394h4j;|q0<7<72;q6?4j5240896142<h20l0m7p}<8083>7}:;0n1>9j4=250>00>3-?3j7=8e:l6<`<682wx?5>50;0x96?c2;>;70=83;76g>"20o08;h5a59g954=z{:=>6=4={<1:`?44:278;>4:4d9'1=`=;>o0b86j:008yv5>;3:1>v3<9d817`=:;>>198o4$4:e>6?53g?3i7>4}r1:5?6=:r785h4=3b9>722==<20(86i:2;1?k3?m3;0q~=60;296~;41l09?o523669101<,<2m6>7=;o7;a?452z?0=`<5;h16?::55448 0>a2:397c;7e;18yv5?m3:1>v3<9d817==:;>>198:4$4:e>6?53g?3i7:4}r1;`?6=:r785h4=369>722==<90(86i:2;1?k3?m3?0q~=7c;296~;41l09?;523669104<,<2m6>7=;o7;a?052z?0=`<5;<16?::55438 0>a2:397c;7e;58yv5?i3:1>v3<9d8176=:;>>199h4$4:e>6?53g?3i764}r1:g?6=:r785h4=5`9>722==><0(86i:2;1?k3?m330q~=6b;296~;41l0994523669123<,<2m6>7=;o7;a?g52z?0=`<5=>16?::55618 0>a2:397c;7e;`8yv5>13:1>v3<9d8110=:;>>19:?4$4:e>6?53g?3i7m4}r1:722==>:0(86i:2;1?k3?m3n0q~=67;296~;41l099>52366913`<,<2m6>7=;o7;a?c52z?0=`<5=;16?::557g8 0>a2:397c;7e;d8yv5>=3:1>v3<9d810a=:;>>19;74$4:e>6?53g?3i7??;|q0=1<72;q6?4k5252896132h20l0:=6s|39;94?4|5:3n6?==;<140?33m2.>4k4<939m1=c=9;1v>hk:181823=38:8635=z{:li6=4={<671?46:278j<4:599'1=`=;oi0b86j:09~w6`f2909w0:;5;025>;4n80>9:5+59d97ce5<5s4>?97<>0:?0b4<2=?1/95h53ga8j0>b2:1v>h7:181823=38;i631=z{:l<6=4={<671?47l278j<4:529'1=`=;oi0b86j:49~w6`12909w0:;5;03g>;4n80>9?5+59d97ce5<5s4>?97b2>1v>h;:181823=38;563==z{=:=6=4={<671?448278j<4:779'1=`=;oi0b86j:89~w1622909w0:;5;01b>;4n80>;85+59d97ce5<5s4>?97<=d:?0b4<2?:1/95h53ga8j0>b2k1v9><:181823=389n63f=z{=:96=4={<671?45i278j<4:719'1=`=;oi0b86j:e9~w1662909w0:;5;01=>;4n80>:k5+59d97ce5<5s4>?97<=8:?0b4<2>l1/95h53ga8j0>b2o1v>hi:181823=389?634652z?700<59?16?k?554a8 0>a2:lh7c;7e;32?xu4n:0;6?u2457965><5:l:68:j;%7;b?5ak2d>4h4>2:p047=838p19:9:337?85a:3?>m6*:8g8755=i=1o1<6s|41d94?4|5=>=6??=;<1e6?3202.>4k4;119m1=c=92wx8=k50;0x91212;;:70=i2;763>"20o0?==5a59g96>{t<9n1<7777349m>7;:6:&686*:8g8755=i=1o186s|41`94?4|5=>=6?>k;<1e6?32;2.>4k4;119m1=c==2wx8=o50;0x91212;:h70=i2;766>"20o0?==5a59g92>{t<931<776e349m>7;:1:&6=838p19:9:32:?85a:3??j6*:8g8755=i=1o146s|40c94?4|5=>=6?=?;<1e6?30>2.>4k4;119m1=c=12wx8<750;0x91212;8m70=i2;741>"20o0?==5a59g9e>{t<821<774c349m>7;83:&6=6?4k4;119m1=c=l2wx8<;50;0x91212;8270=i2;75b>"20o0?==5a59g9a>{t<8>1<774?349m>7;9e:&65<5s4>?:7<>6:?0b7<2=j1/95h54028j0>b28;0q~:?7;296~;3:4?:3y>013=::o019?m:47b?!3?n3>9:6`:8d83?xu3:<0;6?u2457966e<5=;i68;7;%7;b?25>2d>4h4>;|q761<72;q689;522`8917e2h20l097p};2283>7}:<=?1>>o4=53a>0313-?3j7:=6:l6<`<43ty?>?4?:3y>013=::2019?m:477?!3?n3>9:6`:8d87?xu3:80;6?u24579661<5=;i68;<;%7;b?25>2d>4h4:;|q765<72;q689;52248917e2h20l0=7p};1g83>7}:<=?1>>;4=53a>0363-?3j7:=6:l6<`<03ty?=h4?:3y>013=::9019?m:46e?!3?n3>9:6`:8d8;?xu3;90;6?u2457960g<5=;i6899;%7;b?25>2d>4h46;|q76c<72;q689;524;8917e2<=>7);7f;612>h20l0j7p};2d83>7}:<=?1>894=53a>0143-?3j7:=6:l6<`i4?:3y>013=:9:6`:8d8`?xu3:j0;6?u24579602<5=;i689?;%7;b?25>2d>4h4k;|q76g<72;q689;52418917e2<h20l0n7p};2`83>7}:<=?1>8<4=53a>00b3-?3j7:=6:l6<`44?:3y>013=:=n019?m:44:?!3?n3>9:6`:8d824>{t<;21<772734>:n7;:c:&6;39k0>8h5+59d907004e==<,<2m69=n;o7;a?7847>52z?703<5;k168a2=9j7c;7e;08yv24?3:1>v3;47817d=:<8i19884$4:e>15f3g?3i7=4}r602?6=:r7?8;4=399>04e==<>0(86i:51b?k3?m3>0q~:<5;296~;3887>52z?703<5;?168a2=9j7c;7e;48yv24;3:1>v3;478170=:<8i198?4$4:e>15f3g?3i794}r606?6=:r7?8;4=329>04e===l0(86i:51b?k3?m320q~:;4;296~;3??7>52z?703<5=0168a2=9j7c;7e;c8yv23:3:1>v3;478112=:<8i19:=4$4:e>15f3g?3i7l4}r675?6=:r7?8;4=549>04e==>;0(86i:51b?k3?m3i0q~:;0;296~;38j7>52z?703<5=:168a2=9j7c;7e;g8yv24m3:1>v3;478117=:<8i19;k4$4:e>15f3g?3i7h4}r60`?6=:r7?8;4=4e9>04e==?30(86i:51b?k3?m3;;7p};3b83>7}:<=<1>9>4=53`>03d3-?3j7:?50;0x91212;9970:>c;77a>"20o0??l5a59g957=z{h2>6=4={<6`a?31m27?544=539'1=`=i1>0b86j:19~wd>42909w0:le;75=>;310098i5+59d9e=25<5s4>hi7;:c:?7=<<5<91/95h5a968j0>b2;1vl6>:18182dm3??i63;988177=#=1l1m5:4n4:f>6=z{h2j6=4={<6`b?31m27?h?4=539'1=`=i130b86j:19~wd>?2909w0:lf;75=>;3l;098i5+59d9e=?5<5s4>hj7;:c:?7`7<5<91/95h5a9;8j0>b2;1vl69:18182dn3??i63;d38177=#=1l1m574n4:f>6=z{<;n6=4<{14`=>=524bd91d5<5<8:69k9;|q:66<72;qU5?=4=5;`>03f3-?3j77=2:l6<`<73ty2><4?:3y]=77<5=3h68;7;%7;b??5:2d>4h4>;|q:65<72;qU5?>4=5;`>0303-?3j77=2:l6<`<53ty2=k4?:3y]=4`<5=3h68;9;%7;b??5:2d>4h4<;|q:5`<72;qU50333-?3j77=2:l6<`<33ty2=i4?:3y]=4b<5=3h68;<;%7;b??5:2d>4h4:;|q:5f<72;qU50353-?3j77=2:l6<`<13ty2=o4?:3y]=4d<5=3h68;>;%7;b??5:2d>4h48;|q:5d<72;qU502a3-?3j77=2:l6<`n4?:3y]=7e<5=3h6899;%7;b??5:2d>4h46;|q:6g<72;qU5?l4=5;`>0123-?3j77=2:l6<`l4?:3y]=7g<5=3h689<;%7;b??5:2d>4h4m;|q:6<<72;qU5?74=5;`>0163-?3j77=2:l6<`54?:3y]=7><5=3h689?;%7;b??5:2d>4h4k;|q:62<72;qU5?94=5;`>00a3-?3j77=2:l6<`;4?:3y]=70<5=3h688j;%7;b??5:2d>4h4i;|q:60<72;qU5?;4=5;`>00>3-?3j77=2:l6<`<682wx5?:50;0xZ<4334>2o7;:c:&6:;1e95k5109~w<7>2909wS7>9:?7=f<2b2880q~;>6;297~;d=;52740975g<5<;o68?9;|q;<=<72;q684m5206891?e2h20l0;7p}78783>7}:<0i1><<4=5;a>03?3-?3j7677:l6<`<63ty3484?:3y>0??2d>4h4<;|q;<6<72;q684m521g891?e2h20l0?7p}78383>7}:<0i1>=j4=5;a>0343-?3j7677:l6<`<23ty34<4?:3y>0;%7;b?>??2d>4h48;|q;3c<72;q684m521;891?e2<>m7);7f;:;3>h20l037p}79083>7}:<0i1>>>4=5;a>0113-?3j7677:l6<`<>3ty35=4?:3y>0??2d>4h4m;|q;<`<72;q684m523`891?e2<=:7);7f;:;3>h20l0h7p}78e83>7}:<0i1>?o4=5;a>0173-?3j7677:l6<`0<5=3i688j;%7;b?>??2d>4h4i;|q;h20l0:<6s|89;94?4|5=3h6??9;<6:f?32k2.>4k47869m1=c=981v59j:18182>k38;463;9c860`=#=1l14594n4:f>4452z?003<5;<1684j55438 0>a20>n7c;7e;28yv?3l3:1>v3<478176=:<0n199h4$4:e><2b3g?3i7?4}r;60<0(86i:86f?k3?m380q~7:7;296~;4:7>52z?003<5=>1684j55618 0>a20>n7c;7e;68yv?2=3:1>v3<478110=:<0n19:?4$4:e><2b3g?3i7;4}r;60?6=:r788;4=559>0:0(86i:86f?k3?m3<0q~7:3;296~;45248f913`<,<2m64:j;o7;a?1>7>52z?003<5=;1684j557g8 0>a20>n7c;7e;:8yv?293:1>v3<47810a=:<0n19;74$4:e><2b3g?3i774}r;64?6=:r788;4=419>053z?`0f<28l1684j55`1890722<:n7p}l8083>7}:73>3-?3j7m70:l6<`<73tyh;k4?:3y>0a5==>9019j;:374?!3?n3i3<6`:8d82?xud?l0;6?u24e19127<5=n?6?;:;%7;b?e?82d>4h4=;|q`3a<72;q68i=5562891b32;??7);7f;a;4>h20l087p}l7b83>7}:7343-?3j7m70:l6<`<33tyh;o4?:3y>0a5==?o019j;:371?!3?n3i3<6`:8d86?xud?h0;6?u24e1913?<5=n?6?:k;%7;b?e?82d>4h49;|q`3<<72;q68i=554a891b32;>;7);7f;a;4>h20l0<7p}l7983>7}:7553-?3j7m70:l6<`170=;9201o8n:c46?811>39;m6s|cc094?4|5=n>689:;<6g2?4212.>4k4lb09m1=c=82wxoo>50;0x91b22<=870:k6;063>"20o0hn<5a59g95>{tkhl1<701634>o:7<:5:&638>86*:8g8`f4=i=1o1?6s|c`f94?4|5=n>688i;<6g2?42;2.>4k4lb09m1=c=<2wxolm50;0x91b22<"20o0hn<5a59g91>{tkhh1<700>34>o:7<;d:&638?<6*:8g8`f4=i=1o1;6s|c`;94?4|5=n>68:j;<6g2?44:2.>4k4lb09m1=c=02wxn;l50;1x904?2::370l80;`5f>;0>l085<5s4>o;7;85:?7`=<5=01/95h5cd08j0>b291vnk>:18182c?3?4=z{jo;6=4={<6g3?30927?h54=549'1=`=kl80b86j:39~wfba2909w0:k7;744>;3l109995+59d9g`45rsbff>5<5s4>o;7;9f:?7`=<5=:1/95h5cd08j0>b2=1vnjk:18182c?3?=i63;d98117=#=1l1oh<4n4:f>0=z{jnh6=4={<6g3?31127?h54=4e9'1=`=kl80b86j:79~wfbe2909w0:k7;76g>;3l1098=5+59d9g`45<5s4>o;7;;e:?7`=<5;;1/95h5cd08j0>b211vo9>:180835i39;463m778a34=:?><1?=o4}rf20?6=:r7?h44:749>0ag=:<30(86i:e30?k3?m3:0q~j>2;296~;3l00>;>524ec9601<,<2m6i?<;o7;a?752z?7`<<2?8168io52478 0>a2m;87c;7e;08yvb683:1>v3;d88635=:8:4$4:e>a743g?3i7=4}rf3b?6=:r7?h44:6g9>0ag=:<90(86i:e30?k3?m3>0q~j?e;296~;3l00>:h524ec9604<,<2m6i?<;o7;a?352z?7`<<2>0168io525f8 0>a2m;87c;7e;48yvb7k3:1>v3;d8861f=:9>4$4:e>a743g?3i794}rf3f?6=:r7?h44:4d9>0ag=::80(86i:e30?k3?m320q~l87;297~;2:j08<552b6a9f21<5>=n6>>n;|qg00<72;q68il5567891bd2;?27);7f;f70>h20l0;7p}k4283>7}:7303-?3j7j;4:l6<`<63tyo8?4?:3y>0ad==>;019jl:376?!3?n3n?86`:8d81?xuc<80;6?u24e`9126<5=nh6?;;;%7;b?b3<2d>4h4<;|qg05<72;q68il557d891bd2;?87);7f;f70>h20l0?7p}k3g83>7}:7353-?3j7j;4:l6<`<23tyo?h4?:3y>0ad==?3019jl:36g?!3?n3n?86`:8d85?xuc;m0;6?u24e`910e<5=nh6?:?;%7;b?b3<2d>4h48;|qg7f<72;q68il555g891bd2;997);7f;f70>h20l037p}m7e83>6}:=;o1?=64=c:1>g1c34=3:7=?a:p31`=838p1:;?:5g5?812<39;56s|77194?4|5>{t?>91<71c134=<47=?9:p32d=838p1:9l:5g5?81?839;56s|79194?4|5>2?69k9;<5;{t?1?1<766f34=3:7:j6:p323=838p1:97:22b?810>3>n:6s|76f94?4|5>2;6>>n;<54a?2b>2wx;;;50;0x920?2::j70996;6f2>{t?<91<71c134=>:7=?8:p<0>=838p158>:22:?8>213>n:6s|81194?4|5=3268o<;<:37;2wx48850;0x91b52{t19i1<70g4343:=77?c:p<36=838p197n:4c0?8>193>n:6s|74`94?4|5>?h69k9;<56a?57i2wx4:m50;0x91?e2{tk1h1<744234>o87;85:&64k4l8`9m1=c=:2wxo5950;0x9f?6288>70:k4;744>"20o0h4l5a59g97>{tk1<1<744234>o87;9f:&64k4l8`9m1=c=>2wxo5=50;0x9f>c288>70:k4;76g>"20o0h4l5a59g93>{tk181<744234>o87;;e:&63?<96*:8g8`fg=i=1o1<6s|ccc94?4|5ji?6<<:;<6g2?30;2.>4k4lbc9m1=c=92wxoo750;0x9fe4288>70:k6;745>"20o0hno5a59g96>{tkk21<744234>o:7;80:&6:006?82c>3?=j6*:8g8`fg=i=1o186s|cc494?4|5ji;6<<:;<6g2?31m2.>4k4lbc9m1=c==2wxoo;50;0x9fda288>70:k6;75=>"20o0hno5a59g92>{tkk>1<744234>o:7;:c:&63??i6*:8g8`fg=i=1o146s|cdf94?4|5jl=6<<:;<6g4k4leb9m1=c=82wxohl50;0x9f`2288>70:k8;747>"20o0hin5a59g95>{tklk1<744234>o47;81:&64k4leb9m1=c=<2wxoh950;0x9f`6288>70:k8;75a>"20o0hin5a59g91>{tkl<1<744234>o47;99:&6o6*:8g8`af=i=1o1;6s|cd694?4|5jon6<<:;<6g4k4leb9m1=c=02wxh70:ka;741>"20o0o=i5a59g94>{tl8i1<744234>om7;83:&66s|d0c94?4|5m8?6<<:;<6ge?3082.>4k4k1e9m1=c=;2wxh<750;0x9a44288>70:ka;75b>"20o0o=i5a59g90>{tl821<744234>om7;9e:&6:006?82ci3?=56*:8g8g5a=i=1o1:6s|d0494?4|5m8;6<<:;<6ge?32k2.>4k4k1e9m1=c=?2wxh<;50;0x9a7a288>70:ka;77a>"20o0o=i5a59g9<>{tl=l1<744234>oo7;85:&64k4k4d9m1=c=:2wxh9l50;0x9a32288>70:kc;744>"20o0o8h5a59g97>{tl=k1<744234>oo7;9f:&64k4k4d9m1=c=>2wxh9950;0x9a36288>70:kc;76g>"20o0o8h5a59g93>{tl=<1<744234>oo7;;e:&6:47b?82>i38:86B:b182!56l3:0q);7f;;b5>h20l0;7p}6a183>7}:7753E?i<7?t$23g>5=z,<2m64o>;o7;a?752z?7e4<2=>1684o52038H0d728q/?;31h09==5C5c295~"49m0;7p*:8g8:e4=i=1o1?6s|98f94?4|5=k:68;;;<6:e?47m2F>n=4>{%12`?60d7==<90197n:32g?I3e83;p(>?k:19~ 0>a20k:7c;7e;78yv?>j3:1>v3;a08617=:<0k1>=m4L4`3>4}#;8n1<6s+59d9=d75<5s4>j=7;:1:?7=d<58k1G9o>51z&05a<73t.>4k46a09m1=c=?2wx54750;0x91g62<>m70:6a;03=>J2j90:w)=>d;28y!3?n33j=6`:8d8;?xu>ik0;6?u24`39120<5=3j6?=?;M7a4?7|,:;o6=5r$4:e>0b2h1v4o6:18182f93?{t1h21<701634>2m7<=b:N6f5<6s-9:h7>4}%7;b??f92d>4h4l;|q:e2<72;q68l?5562891?f2;8j7A;m0;3x 67c291v(86i:8c2?k3?m3n0q~7n6;296~;3i80>:k5248c967?{#=1l15l?4n4:f>`=z{0k>6=4={<6b5?31m27?5l4=299O1g6=9r.8=i4?;|&6i81e95k5f:p=d2=838p19o>:44:?82>i389?6B:b182!56l3:0q);7f;;b5>h20l0:<6s|9`194?4|5=k:68;l;<6:e?46>2F>n=4>{%12`?6n70:6a;03<>J2j90:w)=>d;28y!3?n33j=6`:8d826>{t09k1<700b34>o>7<=8:&62.>4k47089m1=c=:2wx4=j50;0x91?>2<>n70:k2;03<>"20o03<45a59g97>{tk<21<744234>o>7;9e:&61e95k50:pg00=838p1l6k:006?82c:3?=56*:8g8`12=i=1o1=6s|c4794?4|5h2h6<<:;<6g6?32k2.>4k4l569m1=c=:2wxo8:50;0x9d>e288>70:k2;77a>"20o0h9:5a59g97>{t=;?1<70g434?9:7:j6:p171=838p19j::4c0?83503>n:6s|53;94?4|5=n<68o<;<71e?2b>2wx9?l50;0x91b>2{t=;n1<70g434?9i7:j6:p331=838p1:87:5g5?811i39;46s|77d94?4|5>=;69k9;<546?5702wx;:950;0x921?2=o=7098a;13<>{t?>l1<71c134=3>7=?8:p3=1=838p1:67:5g5?81?i39;46s|74f94?4|5>?n69k9;<554?5712wx4;m50;0x91?e2;;?70:6a;76e>"20o03:o5a59g94>{t0?k1<777534>2m7;:8:&6k1e95k51:p<3?=838p197m:332?82>i3?>;6*:8g8;2g=i=1o1>6s|87:94?4|5=3i6???;<6:e?32>2.>4k476c9m1=c=;2wx4;950;0x91?e2;:n70:6a;760>"20o03:o5a59g90>{t0?<1<776c34>2m7;:3:&6k1e95k55:p<33=838p197m:32`?82>i3?>>6*:8g8;2g=i=1o1:6s|87694?4|5=3i6?>m;<6:e?3292.>4k476c9m1=c=?2wx4;=50;0x91?e2;:270:6a;77b>"20o03:o5a59g9<>{t0>?1<775734>2m7;86:&6k1e95k59:p<22=838p197m:30e?82>i3?<96*:8g8;2g=i=1o1m6s|86194?4|5=3i6?4k476c9m1=c=j2wx4:<50;0x91?e2;8i70:6a;745>"20o03:o5a59g9g>{t0>;1<774f34>2m7;80:&6k1e95k5d:p<26=838p197m:30:?82>i3?=j6*:8g8;2g=i=1o1i6s|87d94?4|5=3i6?<7;<6:e?31m2.>4k476c9m1=c=n2wx4;k50;0x91?e2;8870:6a;75=>"20o03:o5a59g955=z{127?5l4:5b9'1=`=0?h0b86j:038yv>1:3:1>v3;9c814==:<0k199k4$4:e>=0e3g?3i7?=;|q7a4<728kp18l=:5d5?8531389?63<48816<=:;=31>?o4=26:>74e349?57<=d:?00<<5:o16?:=523:896142;8j70=83;01f>;4?:09>i52361967`<5:=86?=?;<1e5?45;278j<4=299>7c7=:;301>h>:30a?85a9389h63=74=53a>74>34>:n7<=b:?75g<5:m168b;03=>{t;31l09>55248g967?<5=3n6?0m38;563;9d814g=:;=<1>=64=265>771349?:7<=3:?003<5:116?98523;896212;8j70=;6;01f>;4i52354967`<5:>=6?=?;<172?4712788;4=0c9>7f>=:9201>m7:335?85d0389?63?74=2a;>74f349h47<=b:?0g=<5:m16?n6523d896e?2;9;70=l8;03=>;4k109k;<1`7f>=:8;01>m7:331?85d038:863;6g8177=:9>4=54e>72c34>=j7<:2:?72c<5=:168;h52468910a2;?>70:9f;063>;3>o09945247d960g<5=03`=::=0198i:31;?821n388m63;6g817g=:>m4=54e>75b34><<7<<2:?735<5<9168:>525f891172;?970:80;067>;3?90999524629603<5==;6?;8;<644?42127?;=4=5`9>026=::90199?:316?8208388:63;718172=:<>:1>>64=553>75f34><<7<522g8962?2;:370=;8;022>;4<109>>5235:967><5:>36?<6;<1771>=:;n01>:7:30e?8530388<63<49814<=:;=21>=l4=26;>76d349?47;4<109=9523559666<5:><6?>6;<173?47j2788:4=0b9>711=:9n01>:8:32f?853?38:<63<468154=:;==1><<4=264>773349?;7<<2:?002<5<916?99525f896202;?970=;7;067>;4<>0999523559603<5:><6?;8;<173?4212788:4=5`9>711=::901>:8:316?853?388:63<468172=:;==1>>64=264>75f349?;7<2;:370=;9;022>;4<009>55235;9666<5:>26?>6;<17=?47j278844=0b9>71?=:9n01>:6:32f?853138:<63<488154=:;=31><<4=26:>773349?57<<2:?00<<5<916?97525f8962>2;?970=;9;067>;4<009995235;9603<5:>26?;8;<17=?421278844=5`9>71?=::901>:6:316?8531388:63<488172=:;=31>>64=26:>75f349?57<;4j6?>k;<17e?47m2788l4=119>71g=:8;01>:n:331?853i38:863<4`8177=:;=k1>9>4=26b>72c349?m7<:2:?00d<5=:16?9o52468962f2;?>70=;a;063>;4j6?=<;<17e?44=2788l4=379>71g=::=01>:n:31;?853i388m63<4`817g=:;=k1>>m4=26b>75b349;4?:09k;<147?47m278;>4=119>725=:8;01>9<:331?850;38:863<728177=:;>91>9>4=250>72c34970=83;063>;4?:099452361960g<5:=86?=<;<147?44=278;>4=379>725=::=01>9<:31;?850;388m63<72817g=:;>91>>m4=250>75b349<87<<0:?031<58016?::521`896132;:h70=84;03`>;4?=09;<140?46:278;94=159>722=::801>9;:363?850<38?h63<758117=:;>>1>8=4=257>733349<87<:5:?031<5=>16?::524;896132;?j70=84;007>;4?=09?8523669660<5:=?6?=8;<140?440278;94=3`9>722=::h01>9;:31`?850<388i63<84=2d2>74f349m=7<<0:?0b4<58k16?k?521a896`62;:o70=i1;03a>;4n809==523g39647<5:l:6??=;<1e5?46<278j<4=339>7c7=:=:01>h>:36g?85a938>>638:4=2d2>732349m=7<:7:?0b4<5=016?k?524c896`62;9870=i1;001>;4n809?;523g39661<5:l:6?=7;<1e5?44i278j<4=3c9>7c7=::i01>h>:31f?85a:388<63=l4=2d1>76d349m>7;4n;09=9523g09664<5:l96?:?;<1e6?43l278j?4=539>7c4=:<901>h=:377?85a:38>963874=2d1>73f349m>7<<3:?0b7<5;<16?k<5224896`52;9<70=i2;00<>;4n;09?l523g0966d<5:l96?=l;<1e6?44m27?=o4=099>04d=:8<019?m:300?826j389463;1c816d=:<8h1>=l4=53a>76d34>:n7b;026>;39k09=95240`9664<5=;i6?:?;<62f?43l27?=o4=539>04d=:<9019?m:377?826j38>963;1c8112=:<8h1>874=53a>73f34>:n7<<3:?75g<5;<168b;00<>;39k09?l5240`966d<5=;i6?=l;<62f?44m27?=n4=319>04e=:93019?l:32a?826k38;o63;1b814a=:<8i1>=k4=53`>77734>:o7<>1:?75f<59;168c;074>;39j098i5240a9604<5=;h6?;<;<62g?42<27?=n4=549>04e=:<=019?l:37:?826k38>m63;1b8176=:<8i1>>;4=53`>75134>:o7<<7:?75f<5;1168c;00g>;39j09?h524bg9664<5=in6?:?;<6`a?43l27?oh4=539>0f`=::8019mi:363?82dn38?h63;cg8117=:<0i1>>84=5;`>75034>2o7<<8:?7=f<5;h1684m522`891?d2;9h70:6c;00a>;31k09?;5248`9661<5=3i6?=7;<6:f?44i27?5o4=3c9>0l388>63;9e8105=:<0n1>9j4=5;g>73534>2h7<:3:?7=a<5==1684j5247891?c2;?<70:6d;06=>;31m099l5248f9665<5=3o6?=:;<6g7?47027?h>4=179>0a5=:;9019j<:30;?82c;389563;d2816d=:?l4=5f0>74c34>o?7<=f:?7`0<581168i;5204891b22;8870:k5;01<>;3l<09>4524e7967g<5=n>6?0a1=:92019j8:335?82c?389?63;d6816==:?74=5f4>74f34>o;7<=b:?7`2<5:m168i9523d891b>2;:370:k9;022>;3l009>>524e;967><5=n26?<6;<6g=?45i27?h44=2c9>0a?=:;n019j6:30e?82cj38;463;dc8153=:?=4=5fa>74?34>on7<=9:?7`g<5:h168il523`891be2;8o70:kb;01b>;3l=09<5524e69640<5=n?6?<<;<6g0?45027?h94=289>0a2=:;k019j;:30a?82c<389h63;d5816c=:=64=5f5>77134>o:7<=3:?7`3<5:1168i8523;891b12;8j70:k6;01f>;3l?09>i524e4967`<5=n36?>7;<6g27?h54=229>0a>=:;2019j7:30:?82c0389m63;d9816g=:?j4=5f;>74a34>om7;3lh09>l524ec967d<5=nj6?0ae=:8<019jl:300?82ck389463;db816<=:?o4=5f`>74e34>oo7<=d:?7`f<5:o16847521:891?>2;;=70:69;017>;31009>55248c9664<5=3j6?:?;<6:e?43l27?5l4=539>0i38>963;9`8112=:<0k1>874=5;b>73f34>2m7<<3:?7=d<5;<1684o5224891?f2;9<70:6a;00<>;31h09?l5248c966d<5=3j6?=l;<6:e?44m2F>n=4>{%12`?6d2<>;7077d;774>;>190>8=529839116<503968:?;<;:7?338272594:419>=<3===:01479:463?8?>?3??<6368d8605=:11l199>4=87:>027343>m7;;0:?:1a<2<91658k555289<3a2<>;70790;774>;>>80>8=529709116<50<868:?;<;50?3382729o4:419>=0e===:01n6l:463?8e?l3??<63l8d8605=:k1l199>4=b;3>02734i2=7;;0:?`=7<2<916o4=555289f?32<>;70mmd;774>;djl0>8=52ccd9116<5ji;68:?;gf5===:01nm;:463?8ed=3??<63led8605=:kll199>4=bd3>02734im=7;;0:?`b7<2<916ok=555289f`32<>;70mi5;774>;dn?0>8=52d0d9116<5m8;68:?;?4:419>`75===:01i<;:463?8b5=3??<63k278605=:l;=199>4=e73>02734n>=7;;0:?g17<2<916h8=555289a332<>;70j:5;774>;c=?0>8=52d459116<5m?368:?;e=b===:01l6j:463?8e3k3?j=636f786e4=:m9n18h84}r561?6=lr724n4>089>==b=9930147?:02:?8?>93;;563693824<=:1091==74=8;7>46>343297??9:?:=3<68016549511;89<>b28:27077f;33=>;0=?0?i;5rs642>557??9:?:1d<6801658j511;89<3b28:2707:f;33=>;>>90:<452973955?<50<96<>6;<;57?771272:94>089>=0d=993014;l:02:?811:3>n:6s|77;94?g|5j2h6<>6;089>g=`=99301n7?:02:?8e>93;;563l93824<=:k091==74=b;7>46>34==m7:j6:p327=83kp1nlk:02:?8eem3;;563lbg824<=:kj:1==74=ba2>46>34ih>7??9:?`g6<68016on:511;89fe228:270982;6f2>{t?>31<7ot=bgf>46>34inj7??9:?`b5<68016ok?511;89f`528:270mi3;33=>;dn=0:<452cg7955?<5jl=6<>6;<54e?2b>2wx;5?50;cx9a7a28:270j=0;33=>;c:80:<452d30955?<5m886<>6;84>089>`70=99301i<8:02:?81?:3>n:6s|79;94?g|5m?;6<>6;089>`05=99301i;;:02:?8b2=3;;563k57824<=:l<=1==74=e7;>46>34=3m7:j6:p141=838p18?7:5g5?836l3?:;6s|50:94?4|5<;269k9;<72`?3602wx9=h50;0x90772=o=70;>5;73b>{t=8:1<71c134?:97;>0:~fg052903i7h5154xH0d728qC??>4}M7a5?4|,<3:6<>8;o7:6?7f>2w/9l85b718^c>=?r?m6;>560856?042k:1>l4rZ4:g>a}2i3?i68m55e86a?d228i14;475;:7>=5=0;0:j7sf12:94?"3nk0:?:5a4gc94>=n9:<1<7*;fc8272=i=n9:>1<7*;fc8272=i=n9:;1<7*;fc8272=i=n9;l1<7*;fc8272=i=n9;n1<7*;fc8272=i=n9;h1<7*;fc8272=i=n9;31<7*;fc8272=i=n9:l1<7*;fc8272=i3:9j56g=83.?jo4>369m0cg=9=10e<=6:18'0cd=9:=0b9hn:078?l74;3:1(9hm:014?k2ai3;=76g>2983>!2aj3;8;6`;f`823>=n9<;1<7*;fc8215=i=n9=o1<7*;fc8215=i65f15f94?"3nk0:9=5a4gc97>=n9=h1<7*;fc8215=i=n9=31<7*;fc8215=i=n9==1<7*;fc8215=i=n9=?1<7*;fc8215=i=n9=91<7*;fc8215=i=n9<31<7*;fc8215=i=n9<=1<7*;fc8215=i5<#4n5db>47<3`;>97>5$5da>4373g>mm7?=;:k211<72->mn7?:0:l7bd<6;21b=8=50;&7bg<6=91e8ko51598m435290/8kl51428j1`f28?07d?;c;29 1`e28?;7c:ia;35?>o6<80;6):ib;364>h3nh0:;65f17c94?"3nk0::45a4gc94>=n9?21<7*;fc822<=i=n9?<1<7*;fc822<=i=n9?91<7*;fc822<=i=n9?;1<7*;fc822<=i=n9=n9=n9=n9>;1<7*;fc822<=i3:9j53e=83.?jo4>689m0cg=9=10e<8m:18'0cd=9?30b9hn:078?l71=3:1(9hm:04:?k2ai3;=76g>5`83>!2aj3;=56`;f`823>=n9191<7*;fc82<7=i=n91:1<7*;fc82<7=i65f16d94?"3nk0:4?5a4gc97>=n9>n1<7*;fc82<7=i=n9>h1<7*;fc82<7=i=n9>31<7*;fc82<7=i=n9>=1<7*;fc82<7=i=n9>?1<7*;fc82<7=i=n91h1<7*;fc82<7=i=n9131<7*;fc82<7=i5<#47<3`;3;7>5$5da>4>53g>mm7?=;:k2<3<72->mn7?72:l7bd<6;21b=5;50;&7bg<60;1e8ko51598m4>3290/8kl51908j1`f28?07d?8e;29 1`e28297c:ia;35?>o6?:0;6):ib;3;6>h3nh0:;65f18a94?"3nk0:5o5a4gc94>=n90k1<7*;fc82=g=i=n9021<7*;fc82=g=i=n90?1<7*;fc82=g=i=n9091<7*;fc82=g=i=n90;1<7*;fc82=g=i=n91l1<7*;fc82=g=i=n91n1<7*;fc82=g=i=n9h91<7*;fc82=g=ij2d?jl4>3:9j59c9m0cg=9=10e<7k:18'0cd=90h0b9hn:078?l7>?3:1(9hm:0;a?k2ai3;=76g>8b83>!2aj3;2n6`;f`823>=njk91<7*;fc8af7=i=njk:1<7*;fc8af7=i65fb`d94?"3nk0in?5a4gc97>=njho1<7*;fc8af7=i=h9jk1<7*;fc82gf=i65`1b;94?"3nk0:on5a4gc97>=h9j21<7*;fc82gf=i=h9m<1<7*;fc82gf=i=h9m>1<7*;fc82gf=i=h9m81<7*;fc82gf=i=h9m:1<7*;fc82gf=i=h9jo1<7*;fc82gf=i=e=k81<7?50;2x 0g12i51k0;66sm32;94?56290;wA;m1;:x 0232k<:7):ie;032>"28=0>m?5+511911b27mn7mn754?:%6ef?47n2d?jl4l;:k16<<72->mn7l4?:%6ef?47n2d?jl4n;:k16g<72->mn732c9>i4?:%6ef?47n2d?jl47;:k16c<72->mn7mn7mn7mn7mn7<<9:l7bdmn7<<9:l7bdmn7<<9:l7bdmn7<<9:l7bd<>32c99:4?:%6ef?4412d?jl47;:k11<<72->mn7<<9:l7bd<032c99l4?:%6ef?4412d?jl49;:k176<72->mn7<<9:l7bd<232c9?84?:%6ef?4412d?jl4;;:k173<72->mn7<<9:l7bd<432c9?:4?:%6ef?4412d?jl4=;:k17=<72->mn7<<9:l7bd<632c9?l4?:%6ef?4412d?jl4?;:k6e6<722e>8h4?:%6ef?32=2d?jl4i;:m61f<72->mn7;:5:l7bd:44?:%6ef?32=2d?jl4k;:m62`<72->mn7;:5:l7bd:k4?:%6ef?32=2d?jl4m;:m635<72->mn7;:5:l7bd;<4?:%6ef?32=2d?jl46;:m636<72->mn7;:5:l7bd;84?:%6ef?32=2d?jl48;:m633<72->mn7;:5:l7bd<132e>8k4?:%6ef?32=2d?jl4:;:m614<72->mn7;:5:l7bd<332e>9?4?:%6ef?32=2d?jl4<;:m616<72->mn7;:5:l7bd<532e>994?:%6ef?32=2d?jl4>;:m613<72->mn7;:5:l7bd<732wi8ik50;42>5<7sE?i=76t$467>g063->mi70b87=:578y!3f>3>oi6Ti8;1x3c!2aj38996`;f`82b>=n:8<1<7*;fc8160=i5<#?;4n5db>4b<3`8947>5$5da>7423g>mm7?l;:k16<<72->mn7<=5:l7bd<6j21b>?o50;&7bg<5:<1e8ko51`98m74e290/8kl52378j1`f28307d<=d;29 1`e2;8>7c:ia;3;?>o5:o0;6):ib;011>h3nh0:;65f22294?"3nk09>85a4gc953=3:9j65b=83.?jo4=249m0cg=9;10e?>j:18'0cd=:;?0b9hn:038?l4683:1(9hm:306?k2ai3;;76g=1083>!2aj38996`;f`8e?>o59;0;6):ib;011>h3nh0n76g=1583>!2aj38996`;f`8g?>o59<0;6):ib;011>h3nh0h76g=1683>!2aj38996`;f`8a?>o5910;6):ib;011>h3nh0j76g=1883>!2aj38996`;f`8:?>o59k0;6):ib;011>h3nh0376g=1b83>!2aj38996`;f`84?>o59m0;6):ib;011>h3nh0=76g=1d83>!2aj38996`;f`86?>o59o0;6):ib;011>h3nh0?76g=2183>!2aj38996`;f`80?>o5:80;6):ib;011>h3nh0976g=2583>!2aj38996`;f`82?>o5:?0;6):ib;011>h3nh0;76g=3383>!2aj38?j6`;f`82b>=n:=:1<7*;fc810c=i5<#9h4n5db>4b<3`8>>7>5$5da>72a3g>mm7?l;:k116<72->mn7<;f:l7bd<6j21b>8:50;&7bg<5m7c:ia;3;?>o5=00;6):ib;07b>h3nh0:;65f24c94?"3nk098k5a4gc953=3:9j661=83.?jo4=4g9m0cg=9;10e?=7:18'0cd=:=l0b9hn:038?l44i3:1(9hm:36e?k2ai3;;76g=3c83>!2aj38?j6`;f`8e?>o5;j0;6):ib;07b>h3nh0n76g=3d83>!2aj38?j6`;f`8g?>o5;o0;6):ib;07b>h3nh0h76g=4083>!2aj38?j6`;f`8a?>o5<;0;6):ib;07b>h3nh0j76g=4283>!2aj38?j6`;f`8:?>o5<<0;6):ib;07b>h3nh0376g=4783>!2aj38?j6`;f`84?>o5<>0;6):ib;07b>h3nh0=76g=4983>!2aj38?j6`;f`86?>o5<00;6):ib;07b>h3nh0?76g=4`83>!2aj38?j6`;f`80?>o5h3nh0976g=4d83>!2aj38?j6`;f`82?>o5=90;6):ib;07b>h3nh0;76g:a283>>i2h3nh0:j65`54a94?"3nk0>:o5a4gc95`=:k4?:%6ef?31j2d?jl4>b:9l126=83.?jo4:6c9m0cg=9h10c89>:18'0cd==?h0b9hn:0;8?j30;3:1(9hm:44a?k2ai3;376a:7483>!2aj3?=n6`;f`823>=h=><1<7*;fc862g=i5<#43<3f?>=7>5$5da>00e3g>mm7?;;:m617<72->mn7;9b:l7bd<6;21d98=50;&7bg<2>k1e8ko51398k033290/8kl557`8j1`f28;07b;:6;29 1`e2<i2=>0;6):ib;75f>h3nh0m76a:5983>!2aj3?=n6`;f`8f?>i2=h0;6):ib;75f>h3nh0o76a:5c83>!2aj3?=n6`;f`8`?>i2=m0;6):ib;75f>h3nh0i76a:5d83>!2aj3?=n6`;f`8b?>i2=o0;6):ib;75f>h3nh0276a:6083>!2aj3?=n6`;f`8;?>i2>;0;6):ib;75f>h3nh0<76a:6283>!2aj3?=n6`;f`85?>i2>=0;6):ib;75f>h3nh0>76a:6483>!2aj3?=n6`;f`87?>i2>?0;6):ib;75f>h3nh0876a:6683>!2aj3?=n6`;f`81?>i2>h0;6):ib;75f>h3nh0:76a:6b83>!2aj3?=n6`;f`83?>{e;=:1<78>:183I3e932p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1>=5+583955262tc9<54?:%6ef?45=2d?jl4>f:9j640=83.?jo4=249m0cg=9l10e?<<:18'0cd=:;?0b9hn:0f8?l4503:1(9hm:306?k2ai3;h76g=2883>!2aj38996`;f`82f>=n:;k1<7*;fc8160=i5<#?;4n5db>4?<3`89h7>5$5da>7423g>mm7?7;:k16c<72->mn7<=5:l7bd<6?21b>>>50;&7bg<5:<1e8ko51798m76>290/8kl52378j1`f28?07d7c:ia;37?>o58j0;6):ib;011>h3nh0:?65f21f94?"3nk09>85a4gc957=mn7<=5:l7bdmn7<=5:l7bdmn7<=5:l7bdmn7<=5:l7bdmn7<=5:l7bd<132c9=h4?:%6ef?45=2d?jl4:;:k15c<72->mn7<=5:l7bd<332c9>=4?:%6ef?45=2d?jl4<;:k164<72->mn7<=5:l7bd<532c9>94?:%6ef?45=2d?jl4>;:k163<72->mn7<=5:l7bd<732c9??4?:%6ef?43n2d?jl4>f:9j616=83.?jo4=4g9m0cg=9l10e?:k:18'0cd=:=l0b9hn:0f8?l42:3:1(9hm:36e?k2ai3;h76g=5283>!2aj38?j6`;f`82f>=n:<>1<7*;fc810c=i5<#9h4n5db>4?<3`8>;7>5$5da>72a3g>mm7?7;:k11<<72->mn7<;f:l7bd<6?21b>8o50;&7bg<5m7c:ia;37?>o5;?0;6):ib;07b>h3nh0:?65f22594?"3nk098k5a4gc957=mn7<;f:l7bdmn7<;f:l7bdmn7<;f:l7bd4?:%6ef?43n2d?jl46;:k100<72->mn7<;f:l7bdmn7<;f:l7bd<132c9854?:%6ef?43n2d?jl4:;:k10<<72->mn7<;f:l7bd<332c98l4?:%6ef?43n2d?jl4<;:k10g<72->mn7<;f:l7bd<532c98h4?:%6ef?43n2d?jl4>;:k115<72->mn7<;f:l7bd<732c>m>4?::m60`<72->mn7;9b:l7bd<6n21d98m50;&7bg<2>k1e8ko51d98k00>290/8kl557`8j1`f28n07b;9e;29 1`e2<i2>o0;6):ib;75f>h3nh0:n65`56294?"3nk0>:o5a4gc95d=;84?:%6ef?31j2d?jl4>7:9l120=83.?jo4:6c9m0cg=9?10c8:i:18'0cd==?h0b9hn:078?j3293:1(9hm:44a?k2ai3;?76a:5383>!2aj3?=n6`;f`827>=h=<91<7*;fc862g=i5<#47<3f?>:7>5$5da>00e3g>mm7??;:m612<72->mn7;9b:l7bd954?:%6ef?31j2d?jl4j;:m61d<72->mn7;9b:l7bd9o4?:%6ef?31j2d?jl4l;:m61a<72->mn7;9b:l7bd9h4?:%6ef?31j2d?jl4n;:m61c<72->mn7;9b:l7bd<>32e>:<4?:%6ef?31j2d?jl47;:m627<72->mn7;9b:l7bd<032e>:>4?:%6ef?31j2d?jl49;:m621<72->mn7;9b:l7bd<232e>:84?:%6ef?31j2d?jl4;;:m623<72->mn7;9b:l7bd<432e>::4?:%6ef?31j2d?jl4=;:m62d<72->mn7;9b:l7bd<632e>:n4?:%6ef?31j2d?jl4?;:a76`=83<:6=4?{M7a5?>|,<>?6o8>;%6ea?47>2.><94:a39'155===n0b87?:39m1dd=:91/94?51168j0?52=h0q);n6;10b>\a039p;k470;:2>xo5810;6):ib;011>h3nh0:j65f20494?"3nk09>85a4gc95`=44?:%6ef?45=2d?jl4>b:9j67g=83.?jo4=249m0cg=9h10e?!2aj38996`;f`823>=n:::1<7*;fc8160=i5<#?;4n5db>43<3`8;n7>5$5da>7423g>mm7?;;:k14f<72->mn7<=5:l7bd<6;21b>=j50;&7bg<5:<1e8ko51398m76b290/8kl52378j1`f28;07d<>0;29 1`e2;8>7c:ia;33?>o5980;6):ib;011>h3nh0m76g=1383>!2aj38996`;f`8f?>o59=0;6):ib;011>h3nh0o76g=1483>!2aj38996`;f`8`?>o59>0;6):ib;011>h3nh0i76g=1983>!2aj38996`;f`8b?>o5900;6):ib;011>h3nh0276g=1c83>!2aj38996`;f`8;?>o59j0;6):ib;011>h3nh0<76g=1e83>!2aj38996`;f`85?>o59l0;6):ib;011>h3nh0>76g=1g83>!2aj38996`;f`87?>o5:90;6):ib;011>h3nh0876g=2083>!2aj38996`;f`81?>o5:=0;6):ib;011>h3nh0:76g=2783>!2aj38996`;f`83?>o5;;0;6):ib;07b>h3nh0:j65f25294?"3nk098k5a4gc95`=o6=4+4g`961`4?:%6ef?43n2d?jl4>b:9j602=83.?jo4=4g9m0cg=9h10e?;::18'0cd=:=l0b9hn:0;8?l42?3:1(9hm:36e?k2ai3;376g=5883>!2aj38?j6`;f`823>=n:5<#9h4n5db>43<3`8897>5$5da>72a3g>mm7?;;:k173<72->mn7<;f:l7bd<6;21b>>950;&7bg<5m7c:ia;33?>o5;k0;6):ib;07b>h3nh0m76g=3b83>!2aj38?j6`;f`8f?>o5;l0;6):ib;07b>h3nh0o76g=3g83>!2aj38?j6`;f`8`?>o5<80;6):ib;07b>h3nh0i76g=4383>!2aj38?j6`;f`8b?>o5<:0;6):ib;07b>h3nh0276g=4483>!2aj38?j6`;f`8;?>o5h3nh0<76g=4683>!2aj38?j6`;f`85?>o5<10;6):ib;07b>h3nh0>76g=4883>!2aj38?j6`;f`87?>o5h3nh0876g=4c83>!2aj38?j6`;f`81?>o5h3nh0:76g=5183>!2aj38?j6`;f`83?>o2i:0;66a:4d83>!2aj3?=n6`;f`82b>=h=5<#4b<3f?=i7>5$5da>00e3g>mm7?l;:m62c<72->mn7;9b:l7bd<6j21d9:>50;&7bg<2>k1e8ko51`98k016290/8kl557`8j1`f28307b;83;29 1`e2<i2?<0;6):ib;75f>h3nh0:;65`56494?"3nk0>:o5a4gc953=m6=4+4g`913d9?4?:%6ef?31j2d?jl4>3:9l105=83.?jo4:6c9m0cg=9;10c8;;:18'0cd==?h0b9hn:038?j32>3:1(9hm:44a?k2ai3;;76a:5683>!2aj3?=n6`;f`8e?>i2=10;6):ib;75f>h3nh0n76a:5`83>!2aj3?=n6`;f`8g?>i2=k0;6):ib;75f>h3nh0h76a:5e83>!2aj3?=n6`;f`8a?>i2=l0;6):ib;75f>h3nh0j76a:5g83>!2aj3?=n6`;f`8:?>i2>80;6):ib;75f>h3nh0376a:6383>!2aj3?=n6`;f`84?>i2>:0;6):ib;75f>h3nh0=76a:6583>!2aj3?=n6`;f`86?>i2><0;6):ib;75f>h3nh0?76a:6783>!2aj3?=n6`;f`80?>i2>>0;6):ib;75f>h3nh0976a:6`83>!2aj3?=n6`;f`82?>i2>j0;6):ib;75f>h3nh0;76sm32g94?06290;wA;m1;:x 0232k<:7):ie;032>"28=0>m?5+511911b1d:|k14=<72->mn7<=5:l7bd<6n21b><850;&7bg<5:<1e8ko51d98m744290/8kl52378j1`f28n07d<=8;29 1`e2;8>7c:ia;3`?>o5:00;6):ib;011>h3nh0:n65f23c94?"3nk09>85a4gc95d=k4?:%6ef?45=2d?jl4>7:9j666=83.?jo4=249m0cg=9?10e?>6:18'0cd=:;?0b9hn:078?l47j3:1(9hm:306?k2ai3;?76g=0b83>!2aj38996`;f`827>=n:9n1<7*;fc8160=i5<#?;4n5db>47<3`8:<7>5$5da>7423g>mm7??;:k154<72->mn7<=5:l7bdmn7<=5:l7bdmn7<=5:l7bdmn7<=5:l7bd<>32c9=o4?:%6ef?45=2d?jl47;:k15f<72->mn7<=5:l7bd<032c9=i4?:%6ef?45=2d?jl49;:k15`<72->mn7<=5:l7bd<232c9=k4?:%6ef?45=2d?jl4;;:k165<72->mn7<=5:l7bd<432c9><4?:%6ef?45=2d?jl4=;:k161<72->mn7<=5:l7bd<632c9>;4?:%6ef?45=2d?jl4?;:k177<72->mn7<;f:l7bd<6n21b>9>50;&7bg<5m7c:ia;3`?>o5=:0;6):ib;07b>h3nh0:n65f24694?"3nk098k5a4gc95d=6=4+4g`961`7:9j60g=83.?jo4=4g9m0cg=9?10e?=<:18'0cd=:=l0b9hn:078?l44=3:1(9hm:36e?k2ai3;?76g=3783>!2aj38?j6`;f`827>=n::=1<7*;fc810c=i5<#9h4n5db>47<3`88m7>5$5da>72a3g>mm7??;:k17g<72->mn7<;f:l7bdmn7<;f:l7bdmn7<;f:l7bdmn7<;f:l7bd<>32c9884?:%6ef?43n2d?jl47;:k103<72->mn7<;f:l7bd<032c98:4?:%6ef?43n2d?jl49;:k10=<72->mn7<;f:l7bd<232c9844?:%6ef?43n2d?jl4;;:k10d<72->mn7<;f:l7bd<432c98o4?:%6ef?43n2d?jl4=;:k10`<72->mn7<;f:l7bd<632c99=4?:%6ef?43n2d?jl4?;:k6e6<722e>8h4?:%6ef?31j2d?jl4>f:9l10e=83.?jo4:6c9m0cg=9l10c886:18'0cd==?h0b9hn:0f8?j31m3:1(9hm:44a?k2ai3;h76a:6g83>!2aj3?=n6`;f`82f>=h=>:1<7*;fc862g=i5<#4?<3f?5$5da>00e3g>mm7?7;:m630<72->mn7;9b:l7bd<6?21d9:850;&7bg<2>k1e8ko51798k02a290/8kl557`8j1`f28?07b;:1;29 1`e2<i2=;0;6):ib;75f>h3nh0:?65`54194?"3nk0>:o5a4gc957=9:4?:%6ef?31j2d?jl4i;:m61=<72->mn7;9b:l7bd9l4?:%6ef?31j2d?jl4k;:m61g<72->mn7;9b:l7bd9i4?:%6ef?31j2d?jl4m;:m61`<72->mn7;9b:l7bd9k4?:%6ef?31j2d?jl46;:m624<72->mn7;9b:l7bd:?4?:%6ef?31j2d?jl48;:m626<72->mn7;9b:l7bd<132e>:94?:%6ef?31j2d?jl4:;:m620<72->mn7;9b:l7bd<332e>:;4?:%6ef?31j2d?jl4<;:m622<72->mn7;9b:l7bd<532e>:l4?:%6ef?31j2d?jl4>;:m62f<72->mn7;9b:l7bd<732wi?>j50;42>5<7sE?i=76t$467>g063->mi70b87=:5`8y!3f>398h6Ti8;1x3c!2aj38996`;f`82b>=n:8<1<7*;fc8160=i5<#?;4n5db>4b<3`8947>5$5da>7423g>mm7?l;:k16<<72->mn7<=5:l7bd<6j21b>?o50;&7bg<5:<1e8ko51`98m74e290/8kl52378j1`f28307d<=d;29 1`e2;8>7c:ia;3;?>o5:o0;6):ib;011>h3nh0:;65f22294?"3nk09>85a4gc953=3:9j65b=83.?jo4=249m0cg=9;10e?>j:18'0cd=:;?0b9hn:038?l4683:1(9hm:306?k2ai3;;76g=1083>!2aj38996`;f`8e?>o59;0;6):ib;011>h3nh0n76g=1583>!2aj38996`;f`8g?>o59<0;6):ib;011>h3nh0h76g=1683>!2aj38996`;f`8a?>o5910;6):ib;011>h3nh0j76g=1883>!2aj38996`;f`8:?>o59k0;6):ib;011>h3nh0376g=1b83>!2aj38996`;f`84?>o59m0;6):ib;011>h3nh0=76g=1d83>!2aj38996`;f`86?>o59o0;6):ib;011>h3nh0?76g=2183>!2aj38996`;f`80?>o5:80;6):ib;011>h3nh0976g=2583>!2aj38996`;f`82?>o5:?0;6):ib;011>h3nh0;76g=3383>!2aj38?j6`;f`82b>=n:=:1<7*;fc810c=i5<#9h4n5db>4b<3`8>>7>5$5da>72a3g>mm7?l;:k116<72->mn7<;f:l7bd<6j21b>8:50;&7bg<5m7c:ia;3;?>o5=00;6):ib;07b>h3nh0:;65f24c94?"3nk098k5a4gc953=3:9j661=83.?jo4=4g9m0cg=9;10e?=7:18'0cd=:=l0b9hn:038?l44i3:1(9hm:36e?k2ai3;;76g=3c83>!2aj38?j6`;f`8e?>o5;j0;6):ib;07b>h3nh0n76g=3d83>!2aj38?j6`;f`8g?>o5;o0;6):ib;07b>h3nh0h76g=4083>!2aj38?j6`;f`8a?>o5<;0;6):ib;07b>h3nh0j76g=4283>!2aj38?j6`;f`8:?>o5<<0;6):ib;07b>h3nh0376g=4783>!2aj38?j6`;f`84?>o5<>0;6):ib;07b>h3nh0=76g=4983>!2aj38?j6`;f`86?>o5<00;6):ib;07b>h3nh0?76g=4`83>!2aj38?j6`;f`80?>o5h3nh0976g=4d83>!2aj38?j6`;f`82?>o5=90;6):ib;07b>h3nh0;76g:a283>>i2h3nh0:j65`54a94?"3nk0>:o5a4gc95`=:k4?:%6ef?31j2d?jl4>b:9l126=83.?jo4:6c9m0cg=9h10c89>:18'0cd==?h0b9hn:0;8?j30;3:1(9hm:44a?k2ai3;376a:7483>!2aj3?=n6`;f`823>=h=><1<7*;fc862g=i5<#43<3f?>=7>5$5da>00e3g>mm7?;;:m617<72->mn7;9b:l7bd<6;21d98=50;&7bg<2>k1e8ko51398k033290/8kl557`8j1`f28;07b;:6;29 1`e2<i2=>0;6):ib;75f>h3nh0m76a:5983>!2aj3?=n6`;f`8f?>i2=h0;6):ib;75f>h3nh0o76a:5c83>!2aj3?=n6`;f`8`?>i2=m0;6):ib;75f>h3nh0i76a:5d83>!2aj3?=n6`;f`8b?>i2=o0;6):ib;75f>h3nh0276a:6083>!2aj3?=n6`;f`8;?>i2>;0;6):ib;75f>h3nh0<76a:6283>!2aj3?=n6`;f`85?>i2>=0;6):ib;75f>h3nh0>76a:6483>!2aj3?=n6`;f`87?>i2>?0;6):ib;75f>h3nh0876a:6683>!2aj3?=n6`;f`81?>i2>h0;6):ib;75f>h3nh0:76a:6b83>!2aj3?=n6`;f`83?>{e;:k1<7=>:183I3e932p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1==5+5839552mn7>4?:%6ef?47n2d?jl4k;:k16=<72->mn744?:%6ef?47n2d?jl4m;:k16d<72->mn7o4?:%6ef?47n2d?jl46;:k16a<72->mn7k4?:%6ef?47n2d?jl48;:k175<72->mn7mn7mn7;:k155<72->mn7mn7<<9:l7bdmn7<<9:l7bd4?:%6ef?4412d?jl4m;:k111<72->mn7<<9:l7bdmn7<<9:l7bdmn7<<9:l7bd<132c9?>4?:%6ef?4412d?jl4:;:k170<72->mn7<<9:l7bd<332c9?;4?:%6ef?4412d?jl4<;:k172<72->mn7<<9:l7bd<532c9?54?:%6ef?4412d?jl4>;:k17d<72->mn7<<9:l7bd<732c>m>4?::m60`<72->mn7;:5:l7bd9n4?:%6ef?32=2d?jl4j;:m62<<72->mn7;:5:l7bd:h4?:%6ef?32=2d?jl4l;:m62c<72->mn7;:5:l7bd;=4?:%6ef?32=2d?jl4n;:m634<72->mn7;:5:l7bd<>32e>;>4?:%6ef?32=2d?jl47;:m630<72->mn7;:5:l7bd<032e>;;4?:%6ef?32=2d?jl49;:m60c<72->mn7;:5:l7bd<232e>9<4?:%6ef?32=2d?jl4;;:m617<72->mn7;:5:l7bd<432e>9>4?:%6ef?32=2d?jl4=;:m611<72->mn7;:5:l7bd<632e>9;4?:%6ef?32=2d?jl4?;:af1e=8381<7>t$4c5>67b3A?ji6g<0983>>i3m?0;66sm5c;94?0=83:p(8o9:g78L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3`9:?7>5;n6f2?6=3th>hn4?:783>5}#=h<1j85G5`g8m66>2900e>>n:188m6762900e>?=:188m6742900c9k9:188yg3an3:1:7>50z&6e3mh5f31;94?=n;9k1<75f30394?=n;881<75f30194?=h5<1290;w);n6;d6?M3fm2c8<44?::k04d<722c8=<4?::k057<722c8=>4?::m7a3<722wi:9o50;494?6|,2;29?l56;3:17b:j6;29?xu6;10;6?uQ12:891bb2;>>7);7f;303>h20l0;7p}>3783>7}Y9:<019jj:360?!3?n3;8;6`:8d82?xu6;<0;6?uQ127891bb2;>97);7f;303>h20l097p}>3583>7}Y9:>019jj:362?!3?n3;8;6`:8d80?xu6;;0;6?uQ120891bb2;9m7);7f;303>h20l0?7p}>3083>7}Y9:;019jj:31f?!3?n3;8;6`:8d86?xu6;90;6?uQ122891bb2;9h7);7f;303>h20l0=7p}>2g83>7}Y9;l019jj:31a?!3?n3;8;6`:8d84?xu6:l0;6?uQ13g891bb2;9j7);7f;303>h20l037p}>2e83>7}Y9;n019jj:31;?!3?n3;8;6`:8d8:?xu6:j0;6?uQ13a891bb2;9<7);7f;303>h20l0j7p}>2c83>7}Y9;h019jj:315?!3?n3;8;6`:8d8a?xu6:h0;6?uQ13c891bb2;9>7);7f;303>h20l0h7p}>2883>7}Y9;3019jj:310?!3?n3;8;6`:8d8g?xu6<90;6?uQ152891bb2;?j7);7f;303>h20l0n7p}>3g83>7}Y9:l019jj:37:?!3?n3;8;6`:8d8e?xu6;l0;6?uQ12g891bb2;?<7);7f;303>h20l0:<6s|12f94?4|V89o70:ke;061>"20o0:?:5a59g954=z{89h6=4={_30g>;3ll09995+59d95614h4>4:p56?=838pR<=6;<6ga?43l2.>4k4>369m1=c=9<1v<=<:181[74;27?hh4=419'1=`=9:=0b86j:048yv7503:1>vP>299>0ac=::80(86i:014?k3?m3;<7p}>5083>7}Y9<;01>:?:366?!3?n3;><6`:8d83?xu687);7f;364>h20l0:7p}>4d83>7}Y9=o01>:?:361?!3?n3;><6`:8d81?xu6:7);7f;364>h20l087p}>4c83>7}Y9=h01>:?:31e?!3?n3;><6`:8d87?xu6h20l0>7p}>4883>7}Y9=301>:?:31`?!3?n3;><6`:8d85?xu6<10;6?uQ15:896272;9i7);7f;364>h20l0<7p}>4683>7}Y9==01>:?:31b?!3?n3;><6`:8d8;?xu6h20l027p}>4483>7}Y9=?01>:?:314?!3?n3;><6`:8d8b?xu6<=0;6?uQ156896272;9=7);7f;364>h20l0i7p}>4283>7}Y9=901>:?:316?!3?n3;><6`:8d8`?xu6<;0;6?uQ150896272;987);7f;364>h20l0o7p}>5883>7}Y9<301>:?:37b?!3?n3;><6`:8d8f?xu6=10;6?uQ14:896272;?27);7f;364>h20l0m7p}>5683>7}Y9<=01>:?:374?!3?n3;><6`:8d824>{t9<<1<796*:8g8215=i=1o1=<5rs076>5<5sW;>963<418111=#=1l1=8>4n4:f>4487>52z\211=:;=:1>8=4$4:e>4373g?3i7?<;|q216<72;qU=8=4=263>7353-?3j7?:0:l6<`<6<2wx=8<50;0xZ435349?<7<;d:&6b28<0q~?;1;296~X6<816?9>52208 0>a28?;7c;7e;34?xu6>h0;6?uQ17c8965a2;>>7);7f;35=>h20l0;7p}>6983>7}Y9?201>=i:360?!3?n3;=56`:8d82?xu6>>0;6?uQ1758965a2;>97);7f;35=>h20l097p}>6783>7}Y9?<01>=i:362?!3?n3;=56`:8d80?xu6>=0;6?uQ1768965a2;9m7);7f;35=>h20l0?7p}>6283>7}Y9?901>=i:31f?!3?n3;=56`:8d86?xu6>;0;6?uQ1708965a2;9h7);7f;35=>h20l0=7p}>6083>7}Y9?;01>=i:31a?!3?n3;=56`:8d84?xu6>90;6?uQ1728965a2;9j7);7f;35=>h20l037p}>5g83>7}Y9=i:31;?!3?n3;=56`:8d8:?xu6=l0;6?uQ14g8965a2;9<7);7f;35=>h20l0j7p}>5e83>7}Y9=i:315?!3?n3;=56`:8d8a?xu6=j0;6?uQ14a8965a2;9>7);7f;35=>h20l0h7p}>5c83>7}Y9=i:310?!3?n3;=56`:8d8g?xu6?;0;6?uQ1608965a2;?j7);7f;35=>h20l0n7p}>7083>7}Y9>;01>=i:37:?!3?n3;=56`:8d8e?xu6?90;6?uQ1628965a2;?<7);7f;35=>h20l0:<6s|17d94?4|V8"20o0::45a59g954=z{8;4;o09995+59d953?4h4>4:p53d=838pR<8m;<10b?43l2.>4k4>689m1=c=9<1v<8::181[71=278?k4=419'1=`=9?30b86j:048yv72i3:1>vP>5`9>76`=::80(86i:04:?k3?m3;<7p}>8283>7}Y91901>=j:366?!3?n3;3>6`:8d83?xu6080;6?uQ1938965b2;>87);7f;3;6>h20l0:7p}>8183>7}Y91:01>=j:361?!3?n3;3>6`:8d81?xu6?o0;6?uQ16d8965b2;>:7);7f;3;6>h20l087p}>7e83>7}Y9>n01>=j:31e?!3?n3;3>6`:8d87?xu6?j0;6?uQ16a8965b2;9n7);7f;3;6>h20l0>7p}>7c83>7}Y9>h01>=j:31`?!3?n3;3>6`:8d85?xu6?h0;6?uQ16c8965b2;9i7);7f;3;6>h20l0<7p}>7883>7}Y9>301>=j:31b?!3?n3;3>6`:8d8;?xu6?10;6?uQ16:8965b2;937);7f;3;6>h20l027p}>7683>7}Y9>=01>=j:314?!3?n3;3>6`:8d8b?xu6??0;6?uQ1648965b2;9=7);7f;3;6>h20l0i7p}>7483>7}Y9>?01>=j:316?!3?n3;3>6`:8d8`?xu6?=0;6?uQ1668965b2;987);7f;3;6>h20l0o7p}>8c83>7}Y91h01>=j:37b?!3?n3;3>6`:8d8f?xu60h0;6?uQ19c8965b2;?27);7f;3;6>h20l0m7p}>8883>7}Y91301>=j:374?!3?n3;3>6`:8d824>{t9121<796*:8g82<7=i=1o1=<5rs0:4>5<5sW;3;63<3d8111=#=1l1=5<4n4:f>4452z\2<3=:;:o1>8=4$4:e>4>53g?3i7?<;|q2<0<72;qU=5;4=21f>7353-?3j7?72:l6<`<6<2wx=5:50;0xZ4>33498i7<;d:&6b28<0q~?83;296~X6?:16?>k52208 0>a28297c;7e;34?xu61j0;6?uQ18a8965c2;>>7);7f;3:f>h20l0;7p}>9`83>7}Y90k01>=k:360?!3?n3;2n6`:8d82?xu6100;6?uQ18;8965c2;>97);7f;3:f>h20l097p}>9983>7}Y90201>=k:362?!3?n3;2n6`:8d80?xu61?0;6?uQ1848965c2;9m7);7f;3:f>h20l0?7p}>9483>7}Y90?01>=k:31f?!3?n3;2n6`:8d86?xu61=0;6?uQ1868965c2;9h7);7f;3:f>h20l0=7p}>9283>7}Y90901>=k:31a?!3?n3;2n6`:8d84?xu61;0;6?uQ1808965c2;9j7);7f;3:f>h20l037p}>9083>7}Y90;01>=k:31;?!3?n3;2n6`:8d8:?xu6190;6?uQ1828965c2;9<7);7f;3:f>h20l0j7p}>8g83>7}Y91l01>=k:315?!3?n3;2n6`:8d8a?xu60l0;6?uQ19g8965c2;9>7);7f;3:f>h20l0h7p}>8e83>7}Y91n01>=k:310?!3?n3;2n6`:8d8g?xu6i=0;6?uQ1`68965c2;?j7);7f;3:f>h20l0n7p}>a283>7}Y9h901>=k:37:?!3?n3;2n6`:8d8e?xu6i;0;6?uQ1`08965c2;?<7);7f;3:f>h20l0:<6s|1`394?4|V8k:70="20o0:5o5a59g954=z{8k;6=4={_3b4>;4;m09995+59d95j2d>4h4>4:p54k4>9c9m1=c=9<1v<78:181[7>?278?i4=419'1=`=90h0b86j:048yv7?k3:1>vP>8b9>76b=::80(86i:0;a?k3?m3;<7p}mb283>3}Yjk9018l6:230?83ck39;m63:fg804<=:>;>1?=74=76b>66>3-?3j7lm2:l6<`<73tyin<4?:7y]fg7<5>n;<7gg?56;27>jk4<0`9>272=;9k01;:n:22b?!3?n3hi>6`:8d82?xuej90;6;uQbc2890d>2::270;kc;13=>;2no08=>526369747<5?>j6>?>;%7;b?de:2d>4h4=;|qaec<72?qUnlh4=4`:>67634?oo7=>1:?6bc<49816:?:53018932f2:;97);7f;`a6>h20l087p}mad83>3}Yjho018l6:231?83ck39:>63:fg8057=:>;>1?<<4=76b>6743-?3j7lm2:l6<`<33tyi8l4?:2y]f1g<5:9268o<;<`7g?5702wxn9l50;0x965f2{t9jn1<7:6*:8g82gf=i=1o1<6s|1b`94?4|V8ii70=<9;760>"20o0:on5a59g95>{t9jk1<7?6*:8g82gf=i=1o1>6s|1b;94?4|V8i270=<9;766>"20o0:on5a59g97>{t9j21<7=6*:8g82gf=i=1o186s|1b594?4|V8i<70=<9;77b>"20o0:on5a59g91>{t9m<1<770=<9;741>"20o0:on5a59g93>{t9m>1<7"20o0:on5a59g9=>{t9m81<7"20o0:on5a59g9f>{t9m:1<7"20o0:on5a59g9`>{t9jo1<7o6*:8g82gf=i=1o1i6s|1b494?4|V8i=70=<9;77a>"20o0:on5a59g9b>{tm<=1<70313498m7<4}%7;b?c112d>4h4>0:pa03=838p19jj:477?854i38846B:b182!56l3:0q);7f;g5=>h20l0:=6s|e4694?4|5=nn68;<;<10e?44?2F>n=4>{%12`?6J2j90:w)=>d;28y!3?n3o=56`:8d827>{tm<81<70363498m7<<5:N6f5<6s-9:h7>4}%7;b?c112d>4h4>4:pa07=838p19jj:46e?854i388?6B:b182!56l3:0q);7f;g5=>h20l0:96s|e6394?4|5=nn6899;<10e?42i2F>n=4>{%12`?62wxi:>50;0x91bb2<=>70=J2j90:w)=>d;28y!3?n3o=56`:8d823>{tm?l1<70143498m7<:7:N6f5<6s-9:h7>4}%7;b?c112d>4h4>8:pa3c=838p19jj:452?854i38>96B:b182!56l3:0q);7f;g5=>h20l0:56s|e7f94?4|5=nn689?;<10e?42<2F>n=4>{%12`?6J2j90:w)=>d;28y!3?n3o=56`:8d82f>{tm?h1<700b3498m7<:2:N6f5<6s-9:h7>4}%7;b?c112d>4h4>c:pa31=838p19jj:44:?854i38?h6B:b182!56l3:0q);7f;g5=>h20l0:h6s|e4a94?4|5=nn68;l;<10e?4382F>n=4>{%12`?650;0x91bb2<>n70=J2j90:w)=>d;28y!3?n3o=56`:8d82b>{tm?k1<775f34>oi7;9c:N6f5<6s-9:h7>4}%7;b?c112d>4h4?;|qf2=<72;q6?>7522:891bb2<{#=1l1i;74n4:f>7=z{l<>6=4={<10=?44>27?hh4:679O1g6=9r.8=i4?;|&601e95k53:pa32=838p1>=6:316?82cm3?=96B:b182!56l3:0q);7f;g5=>h20l0?7p}j6283>7}:;:31>>=4=5ff>0033E?i<7?t$23g>5=z,<2m6h86;o7;a?37>52z?07<<5=h168ik55718H0d728q/?;3ll0>:?5C5c295~"49m0;7p*:8g8f2<=i=1o1;6s|e7294?4|5:926?;8;<6ga?3192F>n=4>{%12`?676?=:?k:19~ 0>a2l<27c;7e;;8yvc2m3:1>v3<388111=:4}#;8n1<6s+59d9a3?5<5s49857<:3:?7``<2=m1G9o>51z&05a<73t.>4k4j689m1=c=j2wxi8l50;0x965>2;?970:ke;76f>J2j90:w)=>d;28y!3?n3o=56`:8d8`?xub=h0;6?u232;961b<5=nn68;n;M7a4?7|,:;o6=5r$4:e>`0>3g?3i7j4}rg6=?6=:r78?44=419>0ac==<20@8l?:0y'74b=82w/95h5e7;8j0>b2l1vh;7:1818541388>63;dd8612=K=k:1=v*<1e83?x"20o0n:45a59g9b>{t>::1<7741349?<7;9c:&6;<174?31?2.>4k492g9m1=c=:2wx:9>50;0x91bb2;8;70=;0;752>"20o0=>k5a59g97>{t>=;1<777a349?<7;95:&64k492g9m1=c=>2wx:9:50;0x91bb2;;h70=;0;756>"20o0=>k5a59g93>{t>=?1<777e349?<7;91:&6j6*:8g856c=i=1o156s|63494?4|5=nn6??7;<174?32m2.>4k492g9m1=c=i2wx:?950;0x91bb2;;<70=;0;76`>"20o0=>k5a59g9f>{t>;21<7772349?<7;:b:&6m6*:8g856c=i=1o1h6s|63c94?4|5=nn6??=;<174?3202.>4k492g9m1=c=m2wx:?l50;0x91bb2;;:70=;0;763>"20o0=>k5a59g9b>{t>;i1<7777349?<7;:6:&6;4<90>995+59d927`716==<90(86i:70e?k3?m3;97p}93083>7}:=m4=263>0353-?3j78=f:l6<`<6;2wx:><50;0x91bb2;:i70=;0;765>"20o0=>k5a59g951=z{?986=4={<6ga?4712788=4:4g9'1=`=>;l0b86j:078yv04<3:1>v3;dd8175=:;=:19:84$4:e>34a3g?3i7?9;|q570<72;q68ik523d896272<=>7);7f;41b>h20l0:;6s|62494?4|5=nn6?4k492g9m1=c=911v;=8:18182cm389n63<418634=#=1l1:?h4n4:f>4?52z?7``<5:h16?9>55628 0>a2?8m7c;7e;3b?xu1;00;6?u24eg967?<5:>;688i;%7;b?05n2d>4h4>b:p26g=838p19jj:30;?85383?=i6*:8g856c=i=1o1=n5rs71`>5<5s4>oi7<=3:?005<2>01/95h563d8j0>b28n0q~80ac=:9201>:?:46f?!3?n3<9j6`:8d82b>{t>9h1<77413498j7;9c:&6:?:307?854n3?=m6*:8g854d=i=1o1=6s|60c94?4|5:>;6?<>;<10b?31?2.>4k490`9m1=c=:2wx:"20o0={t>8i1<777a3498j7;95:&6:?:33f?854n3?=86*:8g854d=i=1o196s|60g94?4|5:>;6??k;<10b?31;2.>4k490`9m1=c=>2wx:"20o0={t>;:1<777e3498j7;91:&6:?:33:?854n3?>j6*:8g854d=i=1o156s|61394?4|5:>;6??7;<10b?32m2.>4k490`9m1=c=i2wx:=<50;0x96272;;<70="20o0={t>991<77723498j7;:b:&6:?:337?854n3?>m6*:8g854d=i=1o1h6s|61794?4|5:>;6??=;<10b?3202.>4k490`9m1=c=m2wx:=850;0x96272;;:70="20o0={t>9=1<77773498j7;:6:&6;4;o0>995+59d925g76`==<90(86i:72b?k3?m3;97p}90b83>7}:;=:1>=m4=21e>0353-?3j78?a:l6<`<6;2wx:=j50;0x96272;:i70="20o0=9k0b86j:078yv07n3:1>v3<418175=:;:l19:84$4:e>36f3g?3i7?9;|q555<72;q6?9>523d8965a2<=>7);7f;43e>h20l0:;6s|60394?4|5:>;6?4k490`9m1=c=911v;?=:1818538389n63<3g8634=#=1l1:=o4n4:f>4?52z?005<5:h16?>h55628 0>a2?:j7c;7e;3b?xu19=0;6?u2352967?<5:9m688i;%7;b?07i2d>4h4>b:p243=838p1>:?:30;?854n3?=i6*:8g854d=i=1o1=n5rs734>5<5s49?<7<=3:?07c<2>01/95h561c8j0>b28n0q~8>8;296~;4<909=;5232d910e<,<2m6;>n;o7;a?7b3ty==44?:3y>716=:9201>=i:46f?!3?n3<;m6`:8d82b>{t=l21<77413498i7;9c:&61e95k50:p1c5=838p1>=i:307?854m3?=m6*:8g86a2=i=1o1=6s|5g594?4|5:9m6?<>;<10a?31?2.>4k4:e69m1=c=:2wx9k650;0x965a2;8;70="20o0>i:5a59g97>{t=o31<777a3498i7;95:&61e95k54:p1cg=838p1>=i:33f?854m3?=86*:8g86a2=i=1o196s|5g`94?4|5:9m6??k;<10a?31;2.>4k4:e69m1=c=>2wx9km50;0x965a2;;h70="20o0>i:5a59g93>{t=on1<777e3498i7;91:&61e95k58:p1ab=838p1>=i:33:?854m3?>j6*:8g86a2=i=1o156s|5eg94?4|5:9m6??7;<10a?32m2.>4k4:e69m1=c=i2wx9ih50;0x965a2;;<70="20o0>i:5a59g9f>{t=l:1<77723498i7;:b:&61e95k5c:p1`7=838p1>=i:337?854m3?>m6*:8g86a2=i=1o1h6s|5d094?4|5:9m6??=;<10a?3202.>4k4:e69m1=c=m2wx9h=50;0x965a2;;:70="20o0>i:5a59g9b>{t=l>1<77773498i7;:6:&61e95k5119~w0c22909w0=;4;l0>995+59d91`176c==<90(86i:4g4?k3?m3;97p}:e883>7}:;:l1>=m4=21f>0353-?3j7;j7:l6<`<6;2wx9ho50;0x965a2;:i70="20o0>i:5a59g951=z{v3<3g8175=:;:o19:84$4:e>0c03g?3i7?9;|q6aa<72;q6?>h523d8965b2<=>7);7f;7f3>h20l0:;6s|5dg94?4|5:9m6?4k4:e69m1=c=911v8ki:181854n389n63<3d8634=#=1l19h94n4:f>4?52z?07c<5:h16?>k55628 0>a24h4>b:p1c4=838p1>=i:30;?854m3?=i6*:8g86a2=i=1o1=n5rs4d7>5<5s498j7<=3:?07`<2>01/95h55d58j0>b28n0q~;i5;296~;4;o09=;5232g910e<,<2m68k8;o7;a?7b3ty>j;4?:3y>76`=:9201>=j:46f?!3?n3?n;6`:8d82b>{t=j?1<77413498h7;9c:&6=j:307?854l3?=m6*:8g86g1=i=1o1=6s|5e694?4|5:9n6?<>;<10`?31?2.>4k4:c59m1=c=:2wx9i;50;0x965b2;8;70="20o0>o95a59g97>{t=m<1<777a3498h7;95:&6=j:33f?854l3?=86*:8g86g1=i=1o196s|5e:94?4|5:9n6??k;<10`?31;2.>4k4:c59m1=c=>2wx9i750;0x965b2;;h70="20o0>o95a59g93>{t=mk1<777e3498h7;91:&6=j:33:?854l3?>j6*:8g86g1=i=1o156s|5c`94?4|5:9n6??7;<10`?32m2.>4k4:c59m1=c=i2wx9om50;0x965b2;;<70="20o0>o95a59g9f>{t=kn1<77723498h7;:b:&6=j:337?854l3?>m6*:8g86g1=i=1o1h6s|5cd94?4|5:9n6??=;<10`?3202.>4k4:c59m1=c=m2wx9n>50;0x965b2;;:70="20o0>o95a59g9b>{t=j;1<77773498h7;:6:&6;4;m0>995+59d91f276b==<90(86i:4a7?k3?m3;97p}:c783>7}:;:o1>=m4=21g>0353-?3j7;l4:l6<`<6;2wx9n950;0x965b2;:i70="20o0>o95a59g951=z{0b86j:078yv3d13:1>v3<3d8175=:;:n19:84$4:e>0e33g?3i7?9;|q6gd<72;q6?>k523d8965c2<=>7);7f;7`0>h20l0:;6s|5b`94?4|5:9n6?4k4:c59m1=c=911v8ml:181854m389n63<3e8634=#=1l19n:4n4:f>4?52z?07`<5:h16?>j55628 0>a24h4>b:p1f`=838p1>=j:30;?854l3?=i6*:8g86g1=i=1o1=n5rs4f2>5<5s498i7<=3:?07a<2>01/95h55b68j0>b28n0q~;k2;296~;4;l09=;5232f910e<,<2m68m;;o7;a?7b3ty>h>4?:3y>76c=:9201>=k:46f?!3?n3?h86`:8d82b>{t=k21<70g434?i57:j6:p1ad=838p1>=j:4c0?83ck3>n:6s|5gg94?4|5:9m68o<;<7eb?2b>2wx:?=50;0x96272{t>=21<70g434=6:333?854i3?>:6*:8g81ff=i=1o1<6s|2c`94?4|5:926?>j;<10e?32<2.>4k4=bb9m1=c=92wx>oo50;0x965>2;:o70="20o09nn5a59g96>{t:k31<776d3498m7;:2:&6=838p1>=6:32a?854i3?>=6*:8g81ff=i=1o186s|2c594?4|5:926?>6;<10e?33n2.>4k4=bb9m1=c==2wx>n850;0x965>2;9;70="20o09nn5a59g92>{t:j?1<774a3498m7;85:&6=6:30g?854i3?4k4=bb9m1=c=12wx>n<50;0x965>2;8j70="20o09nn5a59g9e>{t:j;1<774>3498m7;9f:&6=6:30;?854i3?=i6*:8g81ff=i=1o1o6s|2cd94?4|5:926?<<;<10e?3112.>4k4=bb9m1=c=l2wx>ok50;0x965>2;;=70="20o09nn5a59g9a>{t:k<1<776?3498m7;;e:&6;3ll098;524eg961d<5=nn6?:j;<174?43?2788=4=4c9>716=:=o01>=i:365?854n38?;63<3g810g=:;:l1>9k4=21f>72?3498i7<;b:?07`<5j52548965c2;>370=;4;m098h5rs5g1>5<30r7>mk4=9c9>0ac=:==019jj:36;?82cm38?563;dd810d=:8>4=263>721349?<7<;8:?005<5<016?9>525c896272;?;70=;4;o09845232d961g<5:9m6?;?;<10a?43>278?h4=469>76c=:=301>=j:36b?854m38><63<3e814==:;:n1><84=21g>7443498h7<=8:?07a<5:016?>j523c8965c2;8i70=;4;m09>k5232f9666<5:9o6?>6;<10`?47j278?i4=0b9>76b=:9n01>=k:32f?854l38:<63<3e8154=:;:n1><<4=21g>7733498h7<>5:?07a<59>16?>j520:8965c2;;270=;4;m09=n5232f964b<5:9o6??j;<10`?46n278?i4=219>76b=:;;01>=k:307?854l389:63<3e8102=:;:n1>974=21g>72f3498h7<:0:?07d<58116?>o52048965f2;8870=;4;h09>45232c967g<5:9j6?76g=:::01>=n:32:?854i38;n63<3`814f=:;:k1>=j4=21b>76b3498m7<>0:~fg312908=7?>:30xH0d728qC??>4}M7a5?4|,<3:6<>8;o7:6?7d;2w/9l8559a8^c>=;r8:6?l5218~^0>c2;q:i7?m:|k2ac<72->mn7?je:l7bd<732c:ii4?:%6ef?7bm2d?jl4>;:k2af<72->mn7?je:l7bd<532c:io4?:%6ef?7bm2d?jl4<;:k2ad<72->mn7?je:l7bd<332c:i44?:%6ef?7bm2d?jl4:;:k2b=<72->mn7?je:l7bd<132c:j:4?:%6ef?7bm2d?jl48;:k2b3<72->mn7?je:l7bdmn7?je:l7bd4?:%6ef?7bm2d?jl4m;:k2b7<72->mn7?je:l7bdmn7?je:l7bdmn7?kd:l7bd<632e:ho4?:%6ef?7cl2d?jl4=;:m2`d<72->mn7?kd:l7bd<432e:h44?:%6ef?7cl2d?jl4;;:m2`=<72->mn7?kd:l7bd<232e:i:4?:%6ef?7cl2d?jl49;:m2a3<72->mn7?kd:l7bd<032e:i84?:%6ef?7cl2d?jl47;:m2a1<72->mn7?kd:l7bd<>32e:i>4?:%6ef?7cl2d?jl4n;:m2a7<72->mn7?kd:l7bdmn7?kd:l7bdmn7?kd:l7bdmn7?m1:l7bd<632!:mi4?:%6ef?7e92d?jl4=;:)2eg<72->mn7?m1:l7bd<432!:m44?:%6ef?7e92d?jl4;;:)2e2<72->mn7?m1:l7bd<232!:o94?:%6ef?7e92d?jl49;:)2g7<72->mn7?m1:l7bd<032!:o=4?:%6ef?7e92d?jl47;:)2f`<72->mn7?m1:l7bd<>32!:nn4?:%6ef?7e92d?jl4n;:)2fd<72->mn7?m1:l7bdmn7?m1:l7bdmn7?m1:l7bd4?:283>5}#=h<1j:5G5`g8m66?2900e8o;:188k1c12900qo?m0;297?6=8r.>m;4i7:J6e`=n;921<75f5`694?=h5<4290;w);n6;d4?M3fm2c8<54?::k6e1<722e?i;4?::a5de=8391<7>t$4c5>c1<@4?:1y'1d0=n>1C9lk4i22;>5<5<53;294~"2i?0m;6F:ad9j75>=831b9l:50;9l0`0=831vn5<7s-?j:7h8;I7ba>o4810;66g:a583>>i3m?0;66sm1b194?5=83:p(8o9:g58L0gb3`9;47>5;h7b0?6=3f>n:7>5;|`2g4<72:0;6=u+5`49b2=O=ho0e>>7:188m0g32900c9k9:188yg7en3:1?7>50z&6e3mh5f31:94?=n=h>1<75`4d494?=zj8ho6=4<:183!3f>3l<7E;ne:k04=<722c>m94?::m7a3<722wi=ol50;194?6|,3:17pl>b883>6<729q/9l85f69K1dc5<N2il1b?=650;9j1d2=831d8h850;9~f4d229086=4?{%7b2?`03A?ji6g<0983>>o2i=0;66a;e783>>{e9h<1<7=50;2x 0g12o=0D8oj;h135;n6f2?6=3th?io4?:383>5}#=h<1?h20l0;7p}>ee83>7}Y9ln01h20l097p}>ec83>7}Y9lh01h20l0?7p}>e883>7}Y9l301h20l0=7p}>f683>7}Y9o=01h20l037p}>f483>7}Y9o?01h20l0j7p}>f283>7}Y9o9012::37);7f;3fa>h20l0h7p}>f083>7}Y9o;01h20l0n7p}>e983>7}Y9l201b383>6}Y9k80R2.>4k4>b09m1=c=82wx=lh50;1xZ4ga3W;oo63>b187a3=#=1l1=o?4n4:f>4=z{8ko6=4<{_3b`>X6lk16=lk54d48 0>a28h:7c;7e;08yv7fj3:1?vP>ac9]5ag<58kh69k9;%7;b?7e92d>4h4<;|q2e<<72:qU=l74^0f:?87fi3>n:6*:8g82f4=i=1o186s|1`594?5|V8k<7S?k8:?2e=<3m?1/95h51c38j0>b2<1v;o7;a?07>53z\2g7=Y9l<01uQ1b28Z4c234;h=7:j6:&6;6jo0?i;5+59d95g75<4sW;io6P>e29>5gb=1c13-?3j7?m1:l6<`"20o0:n<5a59g9g>{t9k<1<7=t^0`5?[7b827:n:4;e79'1=`=9k;0b86j:e9~w4d32908wS?m4:\2`c=:9k?18h84$4:e>4d63g?3i7k4}r3b1?6=;rT:m85Q1e5894g12=o=7);7f;3a5>h20l0m7p};e`83>47|58h868o;;<3ab?3f<27:o<4:a59>5f5==h>01a`86e1=:9hi19l:4=0cf>0g334;j:7;n4:?2f0<2i=16=o955`6894d>2;6jm0>m9521c291d2<5=oi69k9;|pe4g=838pRl?n;<`b=?g792.>4k4n189m1=c=82wxm<650;0xZd7?34hj577if:&64k4n189m1=c=:2wxm<850;0xZd7134hj577id:&64k4n1d9m1=c=82wxm4k4n1d9m1=c=:2wxm4k4n229m1=c=82wxm?<50;0xZd4534hjn77if:&6;<`bf??am2.>4k4n229m1=c=:2wxm?>50;0xZd4734hjn77id:&64k4n299m1=c=82wxm?950;0xZd4034hjo77if:&64k4n299m1=c=:2wxm?;50;0xZd4234hjo77id:&64k4n2e9m1=c=82wxm?m50;0xZd4d34hjh77if:&64k4n2e9m1=c=:2wxm?o50;0xZd4f34hjh77id:&6m;<`b=?c7027iml4j099>fdd=m9201ool:d2;?8dfl3o;463l588f4==z{h>36=48{_c7<>;ei00j8552b`c9e1><5kki6l:7;<`bg?g3027imi4n499>g0?=i=20q~o<0;296~Xf;916nl75a3d8yvg493:1>vPn309>fdg=i;l0q~o<2;296~Xf;;16nll5a3d8yvg4;3:1>vPn329>fde=i;l0q~o<4;296~Xf;=16nlj5a3d8yve2i3:1>vPl5`9>g0?=k{#=1l1nnl4n4:f>5=z{kij6=4={<`b=?b>j27i:?4>379O1g6=9r.8=i4?;|&6h20l097p}mc983>7}:jh31h474=c41>4533E?i<7?t$23g>5=z,<2m6omm;o7;a?552z?ae<16n;<51208H0d728q/?;e>;0:?<5C5c295~"49m0;7p*:8g8agg=i=1o196s|bb694?4|5kk26i7:;<`56?7482F>n=4>{%12`?64?:3y>fd?=l0>01o8=:00e?I3e83;p(>?k:19~ 0>a2kii7c;7e;58yvdd:3:1>v3ma88g=6=:j?81=?k4L4`3>4}#;8n1<6s+59d9ffd5<5s4hj57j62:?a27<6:m1G9o>51z&05a<73t.>4k4mcc9m1=c=12wxnn>50;0x9gg>2m3:70l92;31g>J2j90:w)=>d;28y!3?n3hhn6`:8d8b?xuejo0;6?u2b`;9`<6<5k<96<gee3g?3i7l4}r`aa?6=:r7im44k8g9>f34=9;k0@8l?:0y'74b=82w/95h5bb`8j0>b2j1volk:1818df13n3i63m63826<=K=k:1=v*<1e83?x"20o0ioo5a59g9`>{tjm>1<7ag234h=>7?;0:N6f5<6s-9:h7>4}%7;b?ddj2d>4h4j;|qa`6<72;q6nl75d`689g05289m7A;m0;3x 67c291v(86i:caa?k3?m3l0q~lk2;296~;ei00om>52b70956c{#=1l1nnl4n4:f>4652z?ae<v3ma88ge4=:j?81=>m4L4`3>4}#;8n1<6s+59d9ffdf34=9:h0@8l?:0y'74b=82w/95h5bb`8j0>b2890q~lle;296~;ei00o5k52b70956g{#=1l1nnl4n4:f>4252z?ae<v3ma88g===:j?81=>=4L4`3>4}#;8n1<6s+59d9ffdf34=9;20@8l?:0y'74b=82w/95h5bb`8j0>b28=0q~m:c;296~;ei00h:?52c4;9a=d{#=1l1o;>4n4:f>1=z{ko>6=4={<`be?b>l27i:?4>509O1g6=9r.8=i4?;|&6h20l0:7p}me383>7}:jhk1h4o4=c41>42b3E?i<7?t$23g>5=z,<2m6ok;;o7;a?452z?aed0b86j:29~wgba2909w0lna;f:3>;e>;0:8o5C5c295~"49m0;7p*:8g8aa1=i=1o186s|beg94?4|5kkj6i79;<`56?73i2F>n=4>{%12`?6fdg=l0?01o8=:06:?I3e83;p(>?k:19~ 0>a2ko?7c;7e;48yvdck3:1>v3ma`8g=1=:j?81=964L4`3>4}#;8n1<6s+59d9f`25<5s4hjm7j63:?a27<6<>1G9o>51z&05a<73t.>4k4me59m1=c=02wxnio50;0x9ggf2m3970l92;372>J2j90:w)=>d;28y!3?n3hn86`:8d8:?xuel00;6?u2b`c9`<7<5k<96<::;M7a4?7|,:;o6=5r$4:e>gc33g?3i7o4}r`gf34=9=>0@8l?:0y'74b=82w/95h5bd68j0>b2k1voj8:1818dfi3n3j63m638206=K=k:1=v*<1e83?x"20o0ii95a59g9g>{tjm<1<7a>b34h=>7?;2:N6f5<6s-9:h7>4}%7;b?db<2d>4h4k;|qaaa<72;q6nlo5d`789g0528?27A;m0;3x 67c291v(86i:cg7?k3?m3o0q~ljc;296~;eih0om952b70950>{#=1l1nh:4n4:f>c=z{koi6=4={<`be?bf;27i:?4>569O1g6=9r.8=i4?;|&6;e>;0:9;5C5c295~"49m0;7p*:8g8aa1=i=1o1=<5rscg:>5<5s4hjm7jn1:?a27<6=<1G9o>51z&05a<73t.>4k4me59m1=c=9;1vok7:1818dfi3nj<63m638211=K=k:1=v*<1e83?x"20o0ii95a59g956=z{ko<6=4={<`be?b>n27i:?4>529O1g6=9r.8=i4?;|&6;e>;0:9?5C5c295~"49m0;7p*:8g8aa1=i=1o1=85rscg3>5<5s4hjm7j68:?a27<651z&05a<73t.>4k4me59m1=c=9?1voj::1818dfi3n3h63m638204=K=k:1=v*<1e83?x"20o0ii95a59g952=z{j?o6=4={<`be?e1:27h944j8b9O1g6=9r.8=i4?;|&691e95k53:pfcc=838p1oom:e;g?8d1:3;=m6B:b182!56l3:0q);7f;`e`>h20l0;7p}mfb83>7}:jhh1h4l4=c41>40?3E?i<7?t$23g>5=z,<2m6ohk;o7;a?752z?aeg;e>;0::;5C5c295~"49m0;7p*:8g8aba=i=1o1?6s|bg:94?4|5kki6i78;<`56?71<2F>n=4>{%12`?6fdd=l0<01o8=:040?I3e83;p(>?k:19~ 0>a2klo7c;7e;78yvda>3:1>v3mac8g=0=:j?81=;<4L4`3>4}#;8n1<6s+59d9fcb5<5s4hjn7j64:?a27<6>81G9o>51z&05a<73t.>4k4mfe9m1=c=?2wxnk:50;0x9gge2m3870l92;354>J2j90:w)=>d;28y!3?n3hmh6`:8d8;?xuen:0;6?u2b``9`<4<5k<96<;i;M7a4?7|,:;o6=5r$4:e>g`c3g?3i774}r`e6?6=:r7imo4k909>f34=9b2h1voh>:1818dfj3n2<63m63821a=K=k:1=v*<1e83?x"20o0iji5a59g9f>{tjo:1<7a>a34h=>7?:c:N6f5<6s-9:h7>4}%7;b?dal2d>4h4l;|qaac<72;q6nll5d9g89g0528?i7A;m0;3x 67c291v(86i:cdg?k3?m3n0q~m?6;296~;eik0om852b709524{#=1l1nkj4n4:f>`=z{j:>6=4={<`bf?bf<27i:?4>709O1g6=9r.8=i4?;|&6h20l0:<6s|c1194?4|5kki6io=;<`56?71n2F>n=4>{%12`?6J2j90:w)=>d;28y!3?n3hmh6`:8d826>{tk9;1<7ag734h=>7?9d:N6f5<6s-9:h7>4}%7;b?dal2d>4h4>3:pg56=838p1oom:e;e?8d1:3;=o6B:b182!56l3:0q);7f;`e`>h20l0:86s|bgd94?4|5kki6i7j;<`56?71j2F>n=4>{%12`?6J2j90:w)=>d;28y!3?n3hmh6`:8d822>{tjlo1<7a>c34h=>7?:a:N6f5<6s-9:h7>4}%7;b?dal2d>4h4>7:pg0c=838p1oom:b41?8e213o3h6B:b182!56l3:0q);7f;a54>h20l097p}l1683>7}:jhi1h4j4=c41>4>43E?i<7?t$23g>5=z,<2m6n?9;o7;a?652z?aef;e>;0:4=5C5c295~"49m0;7p*:8g8`53=i=1o1>6s|c0194?4|5kkh6i76;<`56?70n2F>n=4>{%12`?66:l6<`<43tyh=<4?:3y>fde=l0=01o8=:05g?I3e83;p(>?k:19~ 0>a2j;=7c;7e;68yve683:1>v3mab8g=3=:j?81=:m4L4`3>4}#;8n1<6s+59d9g405<5s4hjo7j65:?a27<6?k1G9o>51z&05a<73t.>4k4l179m1=c=>2wxo=k50;0x9ggd2m3?70l92;34e>J2j90:w)=>d;28y!3?n3i::6`:8d84?xud8m0;6?u2b`a9`<5<5k<96<96;M7a4?7|,:;o6=5r$4:e>f713g?3i764}ra3g?6=:r7imn4k939>f34=9>20@8l?:0y'74b=82w/95h5c048j0>b201vn>m:1818dfk3n2=63m638232=K=k:1=v*<1e83?x"20o0h=;5a59g9e>{tk9k1<7a?734h=>7?86:N6f5<6s-9:h7>4}%7;b?e6>2d>4h4m;|q`4<<72;q6nlm5d9d89g0528=>7A;m0;3x 67c291v(86i:b35?k3?m3i0q~m?8;296~;eij0o4h52b709522{#=1l1o<84n4:f>a=z{j;m6=4={<`bg?bf=27i:?4>8c9O1g6=9r.8=i4?;|&6h20l0m7p}l1e83>7}:jhi1hl=4=c41>4>>3E?i<7?t$23g>5=z,<2m6n?9;o7;a?773tyh=n4?:3y>fde=lh801o8=:0:;?I3e83;p(>?k:19~ 0>a2j;=7c;7e;32?xud9k0;6?u2b`a9`d7<5k<96<68;M7a4?7|,:;o6=5r$4:e>f713g?3i7?=;|q`5d<72;q6nlm5d`289g05282=7A;m0;3x 67c291v(86i:b35?k3?m3;87p}l1883>7}:jhi1h4h4=c41>4>23E?i<7?t$23g>5=z,<2m6n?9;o7;a?733tyh=54?:3y>fde=l0o01o8=:0:7?I3e83;p(>?k:19~ 0>a2j;=7c;7e;36?xud9;0;6?u2b`a9`<><5k<96<9j;M7a4?7|,:;o6=5r$4:e>f713g?3i7?9;|q`42<72;q6nlm5d9f89g0528=87A;m0;3x 67c291v(86i:b35?k3?m3;<7p}l5g83>7}:jhi1o;<4=b7:>`>b3E?i<7?t$23g>5=z,<2m6n8?;o7;a?752z?aea;e>;0:5l5C5c295~"49m0;7p*:8g8`6c=i=1o1=6s|c3f94?4|5kko6i7n;<`56?7>12F>n=4>{%12`?6n4?:3y>fdb=l0301o8=:0;;?I3e83;p(>?k:19~ 0>a2j8m7c;7e;18yve5i3:1>v3mae8g=2=:j?81=484L4`3>4}#;8n1<6s+59d9g7`5<5s4hjh7j66:?a27<61<1G9o>51z&05a<73t.>4k4l2g9m1=c==2wxo?650;0x9ggc2m3>70l92;3:0>J2j90:w)=>d;28y!3?n3i9j6`:8d85?xud:>0;6?u2b`f9`<2<5k<96<7<;M7a4?7|,:;o6=5r$4:e>f4a3g?3i794}ra12?6=:r7imi4k929>f34=9080@8l?:0y'74b=82w/95h5c3d8j0>b211vn<::1818dfl3n2>63m6382=4=K=k:1=v*<1e83?x"20o0h>k5a59g9=>{tk;>1<7a?634h=>7?60:N6f5<6s-9:h7>4}%7;b?e5n2d>4h4n;|q`66<72;q6nlj5d8289g05282m7A;m0;3x 67c291v(86i:b0e?k3?m3h0q~m=2;296~;eim0o4k52b7095=c{#=1l1o?h4n4:f>f=z{j8:6=4={<`b`?b?m27i:?4>8e9O1g6=9r.8=i4?;|&6=838p1ook:ec6?8d1:3;j86B:b182!56l3:0q);7f;a1b>h20l0n7p}l3683>7}:jhn1hl:4=c41>4g43E?i<7?t$23g>5=z,<2m6n52z?aeav3mae8ge7=:j?81=l?4L4`3>4}#;8n1<6s+59d9g7`f34=9h:0@8l?:0y'74b=82w/95h5c3d8j0>b2880q~m<3;296~;eim0om=52b7095<`{#=1l1o?h4n4:f>457>52z?aeav3mae8g=`=:j?81=4j4L4`3>4}#;8n1<6s+59d9g7`f34=90=0@8l?:0y'74b=82w/95h5c3d8j0>b28<0q~m=0;296~;eim0o4i52b7095=e{#=1l1o?h4n4:f>4152z?aea;16o875e828H0d728q/?;d=002=?5C5c295~"49m0;7p*:8g8:53=i=1o186s|90194?4|5kkj6o;n;n=4>{%12`?66:l6<`<43ty2=94?:3y>fdd=j?k:19~ 0>a20;=7c;7e;08yv?6=3:1>v3mab8a1d=:k<315<;4L4`3>4}#;8n1<6s+59d9=405<5s4hjh7l:a:?`1<<>9>1G9o>51z&05a<73t.>4k46179m1=c=82wxno=50;0x9f3>2kh270l92;`a7>J2j90:w)=>d;28y!3?n3hi>6`:8d83?xuej80;6?u2c4;9fg1<5k<96ol>;M7a4?7|,:;o6=5r$4:e>gd53g?3i7?4}r`a4?6=:r7h944mb79>f34=jk:0@8l?:0y'74b=82w/95h5bc08j0>b2;1vooi:1818e213hi963m638aec=K=k:1=v*<1e83?x"20o0in?5a59g97>{tjho1<7gd334h=>7lne:N6f5<6s-9:h7>4}%7;b?de:2d>4h4;;|q:66<72;qU5?=4=b7:><443-?3j77=2:l6<`<73ty2><4?:3y]=77<5j?264<>;%7;b??5:2d>4h4>;|q:65<72;qU5?>4=b7:><473-?3j77=2:l6<`<53ty2=k4?:3y]=4`<5j?264?i;%7;b??5:2d>4h4<;|q:5`<72;qU5<7b3-?3j77=2:l6<`<33ty2=i4?:3y]=4b<5j?264?k;%7;b??5:2d>4h4:;|q:5f<72;qU5<7d3-?3j77=2:l6<`<13ty2=o4?:3y]=4d<5j?264?m;%7;b??5:2d>4h48;|q:5d<72;qU5<7f3-?3j77=2:l6<`n4?:3y]=7e<5j?2644h46;|q:6g<72;qU5?l4=b7:><4e3-?3j77=2:l6<`l4?:3y]=7g<5j?2644h4m;|q:6<<72;qU5?74=b7:><4>3-?3j77=2:l6<`54?:3y]=7><5j?264<7;%7;b??5:2d>4h4k;|q:62<72;qU5?94=b7:><403-?3j77=2:l6<`;4?:3y]=70<5j?264<9;%7;b??5:2d>4h4i;|q:60<72;qU5?;4=b7:><423-?3j77=2:l6<`<682wx5?:50;0xZ<4334i>577=4:&6:;1e95k5109~w<7>2909wS7>9:?`1<<>901/95h59308j0>b2880q~l:9;296~Xe=016o875b4;8yvc5l3:1>vPj2e9>g0?=m;n0q~k=b;296~Xb:k16o875e3`8yvd2?3:1>vPm569>g0?=j<=0q~o7f;296~Xf0o16o875a9d8yvg>83:1>vPn919>g0?=i0:0q~:j9;297~Xejh16o875bcc89g312=o27A;m0;3x 67c2?1vq~l;a;296~;d=00i8l52b709f1g{z{hi36=4={<`56?7dl27i9;4>eg9O1g6=9r.8=i4?;|&61e95k50:pef0=838p1o8=:0aa?8d2>3;nh6B:b182!56l3:0q);7f;c`3>h20l0:7p}nc483>7}:j?81=no4=c75>4cd3E?i<7?t$23g>5=z,<2m6lm8;o7;a?452z?a27<6k016n8851d`8H0d728q/?;e=?0:il5C5c295~"49m0;7p*:8g8bg2=i=1o186s|ab094?4|5k<96n=4>{%12`?6f34=9m<01o;9:0d;?I3e83;p(>?k:19~ 0>a2hi<7c;7e;48yvgc83:1>v3m6382`0=:j<<1=k94L4`3>4}#;8n1<6s+59d9ef15<5s4h=>7?k4:?a13<6n?1G9o>51z&05a<73t.>4k4nc69m1=c=02wxmnk50;0x9g0528n870l:6;3e1>J2j90:w)=>d;28y!3?n3kh;6`:8d8:?xufkm0;6?u2b7095a4<5k?=6de03g?3i7o4}rc`g?6=:r7i:?4>d09>f00=9o90@8l?:0y'74b=82w/95h5ab58j0>b2k1vlmm:1818d1:3;o<63m5782b7=K=k:1=v*<1e83?x"20o0jo:5a59g9g>{tijk1<74ea34h>:7?i1:N6f5<6s-9:h7>4}%7;b?gd?2d>4h4k;|qbg<<72;q6n;<51bg89g3128l;7A;m0;3x 67c291v(86i:`a4?k3?m3o0q~ol1;296~;e>;0:o;52b4495`>{#=1l1mn94n4:f>c=z{8nn6=4={_3ga>;e=?0:hh5+59d95ab5<5sW;oo63m5782`f=#=1l1=ij4n4:f>4=z{8ni6=4={_3gf>;e=?0:ho5+59d95ab5<5sW;om63m5782`d=#=1l1=ij4n4:f>6=z{8n26=4={_3g=>;e=?0:h45+59d95ab5<5sW;o463m5782`==#=1l1=ij4n4:f>0=z{8o<6=4={_3f3>;e=?0:i:5+59d95ab5<5sW;n:63m5782a3=#=1l1=ij4n4:f>2=z{8o>6=4={_3f1>;e=?0:i85+59d95ab5<5sW;n863m5782a1=#=1l1=ij4n4:f><=z{8o86=4={_3f7>;e=?0:i>5+59d95ab5<5sW;n>63m5782a7=#=1l1=ij4n4:f>g=z{8o:6=4={_3f5>;e=?0:i<5+59d95ab5<5sW;n<63m5782a5=#=1l1=ij4n4:f>a=z{8nm6=4={_3gb>;e=?0:hk5+59d95ab5<5sW;o;63m5782`2=#=1l1=ij4n4:f>c=z{8h96=4={_3a6>;e=?0:n?5+59d95g75<5sW;jj63m5782ec=#=1l1=o?4n4:f>4=z{8ko6=4={_3b`>;e=?0:mi5+59d95g75<5sW;jn63m5782eg=#=1l1=o?4n4:f>6=z{8k26=4={_3b=>;e=?0:m45+59d95g75<5sW;j;63m5782e2=#=1l1=o?4n4:f>0=z{8i?6=4={_3`0>;e=?0:o95+59d95g75<5sW;h>63m5782g7=#=1l1=o?4n4:f>2=z{8i;6=4={_3`4>;e=?0:o=5+59d95g75<5sW;ii63m5782f`=#=1l1=o?4n4:f><=z{8hh6=4={_3ag>;e=?0:nn5+59d95g75<5sW;im63m5782fd=#=1l1=o?4n4:f>g=z{8h36=4={_3a<>;e=?0:n55+59d95g75<5sW;i:63m5782f3=#=1l1=o?4n4:f>a=z{8h?6=4={_3a0>;e=?0:n95+59d95g75<5sW;j963m5782e0=#=1l1=o?4n4:f>c=zukh>97>51987>1c|D:gd8j0?528i?7p*:a78a0c=]n10:w?95}[7;`?3|;=08>7m7:bc9765<#4=5<#6=5<#0=5<#2=5<#<=5<#g=5<#a=5<#c=5<6=44i45;>5<5<5<:269705<#4=5<#6=5<#0=5<#2=5<#<=5<#g=5<#a=5<#c=5<#4=5<#6=5<#0=5<#2=5<#<=5<#g=5<#a=5<#c=5<#5=5<#7=54o`de>5<#1=5<#3=5<#==5<#d=5<#f=5<#`=5<493:119l<4$420>02c3g?2<7<4n4ca>46<,<3:6<>?;o7:6?523t.>m;4;d19Yb=<4s;81>>4=c;j65>=83.?jo4=0g9m0cg=n21b><850;&7bg<58o1e8ko5e:9j675=83.?jo4=0g9m0cg=l21b>?650;&7bg<58o1e8ko5c:9j67?=83.?jo4=0g9m0cg=j21b>?o50;&7bg<58o1e8ko5a:9j67d=83.?jo4=0g9m0cg=121b>?j50;&7bg<58o1e8ko58:9j67`=83.?jo4=0g9m0cg=?21b>>>50;&7bg<58o1e8ko56:9j65?=83.?jo4=0g9m0cg==21b>=l50;&7bg<58o1e8ko54:9j65e=83.?jo4=0g9m0cg=;21b>=j50;&7bg<58o1e8ko52:9j65c=83.?jo4=0g9m0cg=921b><>50;&7bg<58o1e8ko50:9j664=83.?jo4=389m0cg=n21b>9>50;&7bg<5;01e8ko5e:9j61b=83.?jo4=389m0cg=l21b>8<50;&7bg<5;01e8ko5c:9j605=83.?jo4=389m0cg=j21b>8:50;&7bg<5;01e8ko5a:9j603=83.?jo4=389m0cg=121b>8950;&7bg<5;01e8ko58:9j60?=83.?jo4=389m0cg=?21b>8o50;&7bg<5;01e8ko56:9j665=83.?jo4=389m0cg==21b>>;50;&7bg<5;01e8ko54:9j660=83.?jo4=389m0cg=;21b>>950;&7bg<5;01e8ko52:9j66>=83.?jo4=389m0cg=921b>>o50;&7bg<5;01e8ko50:9j1d5=831d99k50;&7bg<2=<1e8ko5f:9l10e=83.?jo4:549m0cg=m21d9;750;&7bg<2=<1e8ko5d:9l13c=83.?jo4:549m0cg=k21d9;h50;&7bg<2=<1e8ko5b:9l126=83.?jo4:549m0cg=i21d9:?50;&7bg<2=<1e8ko59:9l125=83.?jo4:549m0cg=021d9:;50;&7bg<2=<1e8ko57:9l120=83.?jo4:549m0cg=>21d99h50;&7bg<2=<1e8ko55:9l107=83.?jo4:549m0cg=<21d98<50;&7bg<2=<1e8ko53:9l105=83.?jo4:549m0cg=:21d98:50;&7bg<2=<1e8ko51:9l100=83.?jo4:549m0cg=821vn>><:181>5<7s-?j:7=>e:J6e`=n;921<75`4d494?=z{hko6=4={_cb`>;3l909?l5+59d9ede5<5sWkjn63;d1817==#=1l1mlm4n4:f>4=z{hkj6=4={_cbe>;3l909?:5+59d9ede5<5sWkj563;d18173=#=1l1mlm4n4:f>6=z{hk36=4={_cb<>;3l909?85+59d9ede5<5sWkj;63;d18176=#=1l1mlm4n4:f>0=z{hh=6=4={_ca2>;3l9099l5+59d9ede5<5sWki963;d1811<=#=1l1mlm4n4:f>2=z{hh?6=4={_ca0>;3l9099:5+59d9ede5<5sWki?63;d18110=#=1l1mlm4n4:f><=z{hh96=4={_ca6>;3l909995+59d9ede5<5sWki=63;d18116=#=1l1mlm4n4:f>g=z{hh;6=4={_ca4>;3l9099?5+59d9ede5<5sWkjj63;d1810a=#=1l1mlm4n4:f>a=z{hkn6=4={_cba>;3l9098=5+59d9ede5<5sWkj:63;d18177=#=1l1mlm4n4:f>c=z{hl86=4={_ce7>;3l909==5+59d9ec45<5sWkm=63;d1814`=#=1l1mk<4n4:f>4=z{hl;6=4={_ce4>;3l9095<5sWknj63;d1814f=#=1l1mk<4n4:f>6=z{hon6=4={_cfa>;3l9095<5sWknh63;d1814<=#=1l1mk<4n4:f>0=z{hlh6=4={_ceg>;3l909?=5+59d9ec45<5sWkmn63;d1816c=#=1l1mk<4n4:f>2=z{hlj6=4={_cee>;3l909>i5+59d9ec45<5sWkm563;d1816g=#=1l1mk<4n4:f><=z{hl36=4={_ce<>;3l909>l5+59d9ec45<5sWkm;63;d1816<=#=1l1mk<4n4:f>g=z{hl=6=4={_ce2>;3l909>55+59d9ec45<5sWkm963;d18166=#=1l1mk<4n4:f>a=z{hl?6=4={_ce0>;3l909=;5+59d9ec45<5sWkno63;d1814==#=1l1mk<4n4:f>c=z{l;96=4={_g26>;48:08<55rsc27>5<5sWh;863;d18613=#=1l1n==4n4:f>5=z{k:96=4={_`36>;3l90>995+59d9f555<5sWh;=63;d18616=#=1l1n==4n4:f>7=z{k:;6=4={_`34>;3l90>9?5+59d9f555rs`de>5<5sWkmj63;d18614=#=1l1n==4n4:f>1=z{hln6=4={_cea>;3l90>8k5+59d9f555<5sWh;h63;d18633=#=1l1n==4n4:f>3=z{k:h6=4={_`3g>;3l90>;85+59d9f555<5sWh;n63;d18636=#=1l1n==4n4:f>==z{k:j6=4={_`3e>;3l90>;<5+59d9f555<5sWh;563;d18635=#=1l1n==4n4:f>d=z{k:36=4={_`3<>;3l90>:k5+59d9f555<5sWh;;63;d1862`=#=1l1n==4n4:f>f=z{k:=6=4={_`32>;3l90>:45+59d9f555<5sWh;963;d1861f=#=1l1n==4n4:f>`=z{hlo6=4={_ce`>;3l90>8h5+59d9f555<5s4>o<7;n3:?046<3m?1vqoo;f;295=<483>jwA;m0;3xL6473tF>n<4={%7:5?77:2d>5?4jf:'1d0==090Vk652z`g>f7=uS?3h7ltc68`2?112>=1;847d;a7>f3=0o02=77?:|kbg5<72->mn7omf:l7bd<732cjnh4?:%6ef?gen2d?jl4>;:kbfa<72->mn7omf:l7bd<532cjnn4?:%6ef?gen2d?jl4<;:kbfg<72->mn7omf:l7bd<332cjnl4?:%6ef?gen2d?jl4:;:kbf<<72->mn7omf:l7bd<132cjn54?:%6ef?gen2d?jl48;:k060<722c>;54?::k25`<722cj854?::kf4=<722ejio4?:%6ef?gbi2d?jl4?;:mba<<72->mn7oja:l7bd<632eji54?:%6ef?gbi2d?jl4=;:mba2<72->mn7oja:l7bd<432eji;4?:%6ef?gbi2d?jl4;;:mba0<72->mn7oja:l7bd<232eji94?:%6ef?gbi2d?jl49;:mba6<72->mn7oja:l7bd<032e8>94?::mf5`<722en=i4?::`6ec<7280;6=u+5`4964}#=0;1ji5rig;94?=n9;?1<75f55294?=h=:l1<75rb45:>5<3290;w);n6;0:7>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`c3tcm57>5;h311?6=3`??<7>5;n70b?6=3th8>44?:583>5}#=h<1>4=4H4cf?I3e83;p(>?k:19~H0d628q/94?5fe9~mc?=831b=?;50;9j116=831d9>h50;9~f64f290?6=4?{%7b2?4>;2B>mh5C5c295~"49m0;7pB:b082!3>93lo7pgi9;29?l75=3:17d;;0;29?j34n3:17pl:8383>1<729q/9l852848L0gb3E?i<7?t$23g>5=zD=1<75`52d94?=zj<286=4;:183!3f>382:6F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hk;|ke=?6=3`;997>5;h743?6=3f?8j7>5;|`267<72=0;6=u+5`496<4<@:0y'1<7=nm1vek750;9j55?=831b=?;50;9l16`=831vn<<<:187>5<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;dg?xoa13:17d??9;29?l75=3:17b;m10;684?:1y'1d0=:0>0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1ji5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zj0o26=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hk;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3th2il4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fe9~mc?=831b==750;9j573=831b99>50;9l16`=831vn4km:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;dg?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pl6eb83>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`c3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`:a`<72<0;6=u+5`496<2<@:0y'1<7=nm1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~f6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93lo7pgi9;29?l7713:17d?=5;29?l3383:17b;kl0;684?:1y'1d0=:0>0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1ji5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zj0im6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7hk;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3th2h=4?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?5fe9~mc?=831b==750;9j573=831b99>50;9l16`=831vn4j>:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;dg?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pl6d383>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`c3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`:`1<72<0;6=u+5`496<2<@:0y'1<7=nm1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~f6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93lo7pgi9;29?l7713:17d?=5;29?l3383:17b;4}#=0;1ji5rig;94?=n9;?1<75f55294?=h=:l1<75rb8a1>5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?`c3tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`:g6<72<0;6=u+5`496<2<@:0y'1<7=nm1vek750;9j55?=831b=?;50;9j116=831d9>h50;9~f6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93lo7pgi9;29?l7713:17d?=5;29?l3383:17b;"28:0>8i5a58296>h2ik037);61;dg?k3>:3ni7p*:a787gf=]n108wol5bb8ab?{n:921<7*;fc816f=in5a4gc92>=n:;91<7*;fc816f=in5a4gc90>=n:;31<7*;fc816f=in5a4gc96>=n:;h1<7*;fc816f=in5a4gc94>=n::81<7*;fc8113=i=n:=n1<7*;fc8113=i=n:<91<7*;fc8113=i=n:=n=h91<75`55g94?"3nk0>;?5a4gc93>=h=;?5a4gc91>=h=?o1<7*;fc8637=i;?5a4gc97>=h=>:1<7*;fc8637=i65`56394?"3nk0>;?5a4gc95>=h=>91<7*;fc8637=i5<2290;wA;m1;4x 0232k>27c<69;18j7?f2<1/>4659`a8 0?62on0b87=:4;8y!3f>3o:o6g:7`83>>ob9o0;66g>2583>>o2i80;66aj1e83>>{e;:i1<7:50;2xH0d621q/99:5b738 1`b2;:=7);?4;7b6>"28:0>8i5a58296>h2ik0:7);61;dg?k3>:3h97p*:a7807f=n:9=1<75f22394?=n=h91<75`55f94?=zj:8n6=46:183I3e93=p(8:;:83;?!37938>n6*:a986?!37;3??h6*:a683?!3>93>n?6`:9387`<=z,6}?132j65m5}h06g?6=,=li6?8n;o6ee?4<3`8=57>5$5da>70f3g>mm7?4;h05f?6=,=li6?8n;o6ee?6<3`8<:7>5$5da>7>33g>mm7<4;h0;7?6=,=li6?6;;o6ee?7<3`8397>5$5da>7>33g>mm7>4;n77a?6=,=li6887;o6ee?4<3f?>o7>5$5da>00?3g>mm7?4;n75=?6=,=li6887;o6ee?6<3th8?o4?:583>5}K=k;14v*:458a24=#=84$427>0g53-?;?7;;d:l6=5<53g?jn7?4$4;2>cb4}%7b2?54j2c9<:4?::k174<722c>m>4?::m60a<722wi8nl50;c94?6|D9;%730?3f:2.><>4:4e9m1<6=:2d>mo4<;%7:5?`c3g?2>7o9;|&6e3<3kk1Qj54<{959<==650;&7bg<5:;1e8ko52:9j640=83.?jo4=239m0cg=921b>?=50;&7bg<5:;1e8ko50:9j664=83.?jo4=4b9m0cg=:21b>9>50;&7bg<511e8ko51:9l13?=83.?jo4:699m0cg=821vn9mn:18b>5<7sE?i=76t$467>g063->mi75?4nb:'1d0==>=0j0ve?>7:18'0cd=:;80b9hn:398m771290/8kl52308j1`f2810e?<<:18'0cd=:;80b9hn:198m755290/8kl525a8j1`f2;10e?:?:18'0cd=:=i0b9hn:098m72c290/8kl525a8j1`f2910e8o<:188k02b290/8kl557:8j1`f2;10c8;l:18'0cd==?20b9hn:098k00>290/8kl557:8j1`f2910qok?d;296?6=8r.>m;4<1d9K1dc5<>7>53;294~"2i?0n56F:ad9j75?=831b?=o50;9l0`0=831vn;;9:180>5<7s-?j:7:je:J6e`=n;931<75f31c94?=h5<4290;w);n6;6fa>N2il1b?=750;9j75g=831d8h850;9~f0>729086=4?{%7b2?2bn2B>mh5f31;94?=n;9k1<75`4d494?=zj<=h6=4<:183!3f>3>nj6F:ad9j75?=831b?=o50;9l0`0=831vn;;j:181>5<7s-?j:7=>e:J6e`=n;921<75`4d494?=zj??36=4=:183!3f>39:i6F:ad9j75>=831d8h850;9~f33329096=4?{%7b2?56m2B>mh5f31:94?=h5<3290;w);n6;gg?M3fm2c8<44?::k04d<722c8=<4?::m7a3<722wi9>>50;694?6|,5<5<52z\bf`=:8;4$4:e>dda3g?3i7?4}rca`?6=:rTjni524ba9602<,<2m6lli;o7;a?452z\bff=:8=4$4:e>dda3g?3i7=4}rcaf?6=:rTjno524ba9604<,<2m6lli;o7;a?252z\bfd=:9j4$4:e>dda3g?3i7;4}rca=?6=:rTjn4524ba9616<,<2m6lli;o7;a?052z\bf==:><4$4:e>dda3g?3i794}r111?6=:rT8>85233;957352z\63==:=181=?;4}r32a?6=:rT:=h52130957351dy]e1><5<=j6k74=45:>c?<5:826k74=20b>c?<5<296k74=4:0>c?<58896k74=000>c?<50o36k74=8g:>c?<50oj6k74=8ga>c?<50oh6k74=8gg>c?<50on6k74=8ge>c?<50in6k74=8ae>c?<50n;6k74=8f2>c?<50n96k74=8f0>c?<50n?6k74=8f6>c?<5l;m6k74=8a1>c?<50i86k74=8a7>c?<5l;h6<<;;|qf4=<72?qUi=64=001>46>34;9?7??9:?f4a<4811695>531;8901d2::27p}6e683>7}:1ll1=?;4=5a`>0143-?3j77j6:l6<`<73ty2i84?:3y>=`c=9;?019ml:452?!3?n33n:6`:8d82?xu>m=0;6?u29df9573<5=ih689?;%7;b??b>2d>4h4=;|q:a6<72;q65hm5137891ed2<h20l087p}6e383>7}:1lh1=?;4=5a`>00b3-?3j77j6:l6<`<33ty2i<4?:3y>=`g=9;?019ml:44:?!3?n33n:6`:8d86?xu>m90;6?u29d;9573<5=ih68;l;%7;b??b>2d>4h49;|q:`c<72;q65h65137891ed2<>n7);7f;;f2>h20l0<7p}:7`83>1}:=>k19>h4=d3`>01f3498o7l4>249'1=`=;;=0b86j:09~w64?2909w0==a;70b>;>kl0:>85+59d97715<4sWo:i63j1g867c=:m8i1i;l4>249>1=4==:l0186<:006?830k39;m6*:8g863`=i=1o1=6s|56d94?5|5<=26<<:;<7;7?34n27>4=4<0`9'1=`==>o0b86j:19~w`7c2909wSk>d:?f5f228260=#=1l1=?>4n4:f>4=z{88:6=48{<317?34n278?n4:a29>0fd==h901;;=:22:?802>39;m6395b804<=:>4473g?3i7>4}r;a4?6=77c=:?h018=?:22:?84di39;56*:8g8:ec=i=1o1<6s|9`g94?2|50i868=i;<11a?41127>?=4<0`9>6fg=;9k0(86i:8ce?k3?m3;0q~7nd;290~;>k;0>?k5233g960e<5<9;6>?>;<0`e?5692.>4k46ag9m1=c=:2wx5nl50;1xZdc>343o87;b281v4mn:180[gb0272h>4:3g9>=a2=9;?0(86i:8a`?k3?m380q~7l9;297~Xfm>165i<552d897);7f;;`g>h20l087p}6c983>6}Yil<014j>:41e?8?c:3;996*:8g8:gf=i=1o186s|9b594?5|Vho>707k0;70b>;>l80:>85+59d9=fe5<4sWkn8636cg867c=:1m:1=?;4$4:e>529bg916`<50im6<<:;%7;b??dk2d>4h48;|q:ga<72;qUmhl4=8f6>05a3-?3j77lc:l6<`<73ty2hn4?:3y>=`c==:l019ml:30g?!3?n33oh6`:8d82?xu>lk0;6?u29df916`<5=ih6?4h4=;|q:`d<72;q65hm552d891ed2;8j7);7f;;g`>h20l087p}6d883>7}:1lh19>h4=5a`>74>3-?3j77kd:l6<`<33ty2h54?:3y>=`g==:l019ml:30;?!3?n33oh6`:8d86?xu>l>0;6?u29d;916`<5=ih6?<<;%7;b??cl2d>4h49;|q:`3<72;q65h6552d891ed2;;=7);7f;;g`>h20l0<7p}6dd83>7}Y;;>014ki:41e?!3?n33oh6`:8d83?xu5k10;6?u232a911b<5:9i6?=>;|q:f<<72;q6??k557;891ef2;887);7f;;a<>h20l0;7p}6b683>7}:;;o198m4=5ab>7713-?3j77m8:l6<`<63ty2n;4?:3y>77c===o019mn:32;?!3?n33i46`:8d81?xu1=80;6>u264090`0<5??=6>>6;<460?5702wx>n950;0x9`7a288>70={t><31<766f34<>m7:j6:p203=838p1;;9:5g5?802039;46s|64`94?4|5??h69k9;<46a?5702wx5n?50;0x970:lb;75=>"20o02o=5a59g94>{t1kl1<744234>hn7;:c:&6k91e95k51:p=gc=838p14m=:006?82dj3??i6*:8g8:g5=i=1o1>6s|9cf94?4|5=ii6?<<;<6`e?3112.>4k46bb9m1=c=82wx5ol50;0x91ee2;;=70:la;76g>"20o02nn5a59g95>{t1kk1<776?34>hm7;;e:&6jj1e95k52:p17`=839p1>=m:4c0?82di3?j?63:3187a3=z{;i26=4<{<6`g?3f;27=9l4<109>6fg=<5:9h6?=>;<10f?47?27?oo4=339>0fd=:=:019mm:36g?82di388>63;c`8105=:9j4}rg3g?6=9hq6??755528964f2<>;70;72;743>;20:0>;:529d:9116<50o268:?;<;fe?338272io4:419>=`e===:014kk:463?8?bm3??<636eg8605=:1jo199>4=8ae>027343o<7;;0:?:`4<2<9165i<555289;707k4;774>;>l<0>8=52e0d9116<50i968:?;<;`7?338272o94:419>a4e==h;01h>k:5g5?xu2080;6?u256c9116<5<2;69k9;|q63a<72;q69:755528901d2=o=7p}95e83><}:1l21==74=8g:>46>343nm7??9:?:ag<680165hm511;89;>mo0:<45264g90`0;7>59z?:g`<680165nh511;89;>l;0:<4529e1955?<50n?6<>6;<;g1?77127=954;e79~w334290?w07l2;33=>;>k:0:<4529b6955?<5???69k9;|ae06=838j6;l5agyO1g6=9rB8>=5rL4`2>7}#=0;1==<4n4;1>46a3t.>m;4j1c9Yb=<4s;31nh4l2;Y1=b=kr936n75388`f?702k=1=54j:ba9g6:18'0cd=m=?0b9hn:598m`27290/8kl5e578j1`f2<10eh:i:18'0cd=m=?0b9hn:798m`2b290/8kl5e578j1`f2>10eh:k:18'0cd=m=?0b9hn:998m`2d290/8kl5e578j1`f2010eh:m:18'0cd=m=?0b9hn:`98m`2f290/8kl5e578j1`f2k10eh:6:18'0cd=m=?0b9hn:b98m`2?290/8kl5e578j1`f2m10eh:8:18'0cd=m=?0b9hn:d98m`5a290/8kl5e578j1`f2o10eo:7:18'0cd=j==0b9hn:198mg21290/8kl5b558j1`f2810eo:::18'0cd=j==0b9hn:398mg23290/8kl5b558j1`f2:10eo:<:18'0cd=j==0b9hn:598mg25290/8kl5b558j1`f2<10eo:>:18'0cd=j==0b9hn:798mg27290/8kl5b558j1`f2>10eh>7:188md2?2900eo;7:188mg3>2900en;m:188m`7c2900ch6n:18'0cd=m130b9hn:198k`>?290/8kl5e9;8j1`f2810ch68:18'0cd=m130b9hn:398k`>1290/8kl5e9;8j1`f2:10ch6::18'0cd=m130b9hn:598k`>3290/8kl5e9;8j1`f2<10ch6<:18'0cd=m130b9hn:798k`>5290/8kl5e9;8j1`f2>10ci;6:188ka3f2900ch>j:188k`742900n8oi:182>5<7s-?j:7<6c:J6e`=h:0h1<75rbd51>5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77>2wbj44?::k24<<722c:>84?::k605<722e>?k4?::aa25=83?1<7>t$4c5>7?33A?ji6B:b182!56l3:0qA;m1;3x 0?628:=7pgi9;29?l7713:17d?=5;29?l3383:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1==84}hd:>5<5<;6=44o41e>5<55;294~"2i?09595G5`g8H0d728q/?5<4>079~mc?=831b==750;9j573=831b99>50;9l16`=831vnh9l:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;332>{nn00;66g>0883>>o6:<0;66g:4183>>i2;o0;66sme6f94?3=83:p(8o9:3;7?M3fm2F>n=4>{%12`?64613tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`f3`<72<0;6=u+5`496<2<@:0y'1<7=99<0qdh6:188m46>2900e<<::188m0272900c8=i:188ygc0n3:197>50z&6e3<51=1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<;5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjl2;6=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??6:jb<<722c:<44?::k260<722c>8=4?::m67c<722wii5?50;794?6|,J2j90:w)=>d;28yI3e93;p(87>:025?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17plj7583>0<729q/9l852868L0gb3E?i<7?t$23g>5=zD5<6=44i463>5<h50;9~f`11290>6=4?{%7b2?4><2B>mh5C5c295~"49m0;7pB:b082!3>93;;:6sff883>>o6800;66g>2483>>o2<90;66a:3g83>>{em>=1<7;50;2x 0g12;3?7E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<>9;|ke=?6=3`;;57>5;h311?6=3`??<7>5;n70b?6=3thn;54?:483>5}#=h<1>4:4H4cf?I3e83;p(>?k:19~H0d628q/94?51148yl`>2900e<>6:188m4422900e8:?:188k05a2900qok89;291?6=8r.>m;4=959K1dc{K=k;1=v*:908243=zao31<75f11;94?=n9;?1<75f55294?=h=:l1<75rbd14>5<2290;w);n6;0:0>N2il1G9o>51z&05a<73tF>n<4>{%7:5?77>2wbj44?::k24<<722c:>84?::k605<722e>?k4?::aa6>=83?1<7>t$4c5>7?33A?ji6B:b182!56l3:0qA;m1;3x 0?628:=7pgi9;29?l7713:17d?=5;29?l3383:17b;0D8oj;M7a4?7|,:;o6=5rL4`2>4}#=0;1==84}hd:>5<5<;6=44o41e>5<55;294~"2i?09595G5`g8H0d728q/?5<4>079~mc?=831b==750;9j573=831b99>50;9l16`=831vnh=m:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;332>{nn00;66g>0883>>o6:<0;66g:4183>>i2;o0;66sme2a94?3=83:p(8o9:3;7?M3fm2F>n=4>{%12`?64613tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`f7a<72<0;6=u+5`496<2<@:0y'1<7=99<0qdh6:188m46>2900e<<::188m0272900c8=i:188ygc4m3:197>50z&6e3<51=1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<;5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zjh382=6F:ad9O1g6=9r.8=i4?;|N6f4<5s-?2=7??6:l6=7<0=2wbj44?::k260<722e>?k4?::ae3e=8391<7>t$4c5>7?63A?ji6B:b182!56l3:0qA;m1;0x 0?628:=7c;62;56?xoa13:17d?=5;29?j34n3:17pln6g83>6<729q/9l852838L0gb3E?i<7?t$23g>5=zD5<6=44o41e>5<53;294~"2i?095<5G5`g8H0d728q/?5<4>079m1<4=?<1vek750;9j573=831d9>h50;9~fd1629086=4?{%7b2?4>92B>mh5C5c295~"49m0;7pB:b081!3>93;;:6`:93841>{nn00;66g>2483>>i2;o0;66sma6094?5=83:p(8o9:3;2?M3fm2F>n=4>{%12`?64613g?2>79:;|ke=?6=3`;997>5;n70b?6=3thj;>4?:283>5}#=h<1>4?4H4cf?I3e83;p(>?k:19~H0d62;q/94?51148j0?52>?0qdh6:188m4422900c8=i:188ygg0<3:1?7>50z&6e3<5181C9lk4L4`3>4}#;8n1<6sC5c396~"2180:<;5a580930=zao31<75f13794?=h=:l1<75rb`56>5<4290;w);n6;0:5>N2il1G9o>51z&05a<73tF>n<4={%7:5?77>2d>5?485:jb<<722c:>84?::m67c<722wim:850;194?6|,;I7ba>J2j90:w)=>d;28yI3e938p(87>:025?k3>:3=>7pgi9;29?l75=3:17b;m0;6>4?:1y'1d0=:0;0D8oj;M7a4?7|,:;o6=5rL4`2>7}#=0;1==84n4;1>235<5<7s-?j:7<63:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;332>{nn00;66g>2483>>o2<90;66a:3g83>>{em8>1<7?9:183I3e9313;:7c<6a;01?!4>033jo6*:908243=i=0819h5r$4c5>`733`k?h7>5;h`657>5;hg2`?6=3`8nm7>5;h705?6=3`;987>5;h7b5?6=3f8m87>5;n0e1?6=3f8m>7>5;n70=?6=3f8m?7>5;ng25;ng22?6=3fo:97>5;ng2e?6=3f5;n4`4?6=3f5;n4f2?6=3th8>k4?:3694?6|D<,<::6?;m;%7b=;rh1o7j5}h06g?6=,=li6?;j;o6ee?d<3`8=57>5$5da>73b3g>mm7o4;h05f?6=,=li6?;j;o6ee??<3`8=h7>5$5da>73b3g>mm764;h05a?6=,=li6?;j;o6ee?1<3`8=j7>5$5da>73b3g>mm784;h044?6=,=li6?;j;o6ee?3<3`8<=7>5$5da>73b3g>mm7:4;h047?6=,=li6?;j;o6ee?5<3`8<87>5$5da>73b3g>mm7<4;h06`?6=,=li6?;j;o6ee?7<3`8>j7>5$5da>73b3g>mm7>4;h042?6=,=li6?97;o6ee?d<3`83?7>5$5da>71?3g>mm7o4;h0;1?6=,=li6?97;o6ee??<3`83;7>5$5da>71?3g>mm764;h0;5$5da>71?3g>mm784;h0;e?6=,=li6?97;o6ee?3<3`83n7>5$5da>71?3g>mm7:4;h0;`?6=,=li6?97;o6ee?5<3`83i7>5$5da>71?3g>mm7<4;h043?6=,=li6?97;o6ee?7<3`8<57>5$5da>71?3g>mm7>4;n77a?6=,=li68;?;o6ee?d<3f?>o7>5$5da>0373g>mm7o4;n75=?6=,=li68;?;o6ee??<3f?=i7>5$5da>0373g>mm764;n75b?6=,=li68;?;o6ee?1<3f?<<7>5$5da>0373g>mm784;n745?6=,=li68;?;o6ee?3<3f?5$5da>0373g>mm7:4;n741?6=,=li68;?;o6ee?5<3f?<:7>5$5da>0373g>mm7<4;n77b?6=,=li68;?;o6ee?7<3f?>=7>5$5da>0373g>mm7>4;|`7`c<72831<7>tL4`2>=}#==>1n;?4$5df>7613-?;87;n2:&646<252:l6eg=;rhi6om5bg8~m76?290/8kl523a8j1`f2>10e??9:18'0cd=:;i0b9hn:798m744290/8kl523a8j1`f2<10e?<7:18'0cd=:;i0b9hn:598m74>290/8kl523a8j1`f2:10e?10e?:?:18'0cd=:<<0b9hn:798m72c290/8kl52448j1`f2<10e?;=:18'0cd=:<<0b9hn:598m734290/8kl52448j1`f2:10e?;;:18'0cd=:<<0b9hn:398m732290/8kl52448j1`f2810e?;8:18'0cd=:<<0b9hn:198m0g42900c8:j:18'0cd==>80b9hn:698k03d290/8kl55608j1`f2?10c886:18'0cd==>80b9hn:498k00b290/8kl55608j1`f2=10c88i:18'0cd==>80b9hn:298k017290/8kl55608j1`f2;10c89>:18'0cd==>80b9hn:098k014290/8kl55608j1`f2910qo=;5;295<<729qG9o?58z&60181/8kk52148 0632h219097c;nb;:8 0?628:=7c;62;:b?x"2i?08885Uf980gd=jj0ij7sf21:94?"3nk09>n5a4gc93>=n:8<1<7*;fc816f=in5a4gc91>=n:;21<7*;fc816f=in5a4gc97>=n:;k1<7*;fc816f=i65f23`94?"3nk09>n5a4gc95>=n:;n1<7*;fc816f=i=n:=:1<7*;fc8113=i=n:<81<7*;fc8113=i=n:<>1<7*;fc8113=i65f24794?"3nk099;5a4gc95>=n:<=1<7*;fc8113=i;?5a4gc92>=h=?31<7*;fc8637=i;?5a4gc90>=h=?l1<7*;fc8637=i;?5a4gc96>=h=>;1<7*;fc8637=i;?5a4gc94>=zj=io6=4;:183I3e932p(8:;:c42?!2am38;:6*:0586e7=#=99199j4n4;3>7=i=hh1=6*:908243=i=081m:5r$4c5>1ec3`8;;7>5;h005?6=3`?j?7>5;n77`?6=3thn5}#=h<1?n:7>5;|`457<72:0;6=u+5`49a==O=ho0e>>6:188m66f2900c9k9:188yg17n3:1?7>50z&6e3mh5f31;94?=n;9k1<75`4d494?=zj>8?6=4<:183!3f>3o37E;ne:k04<<722c83:17pl82183>6<729q/9l85e99K1dc5<N2il1b?=750;9j75g=831d8h850;9~f27d29086=4?{%7b2?c?3A?ji6g<0883>>o48h0;66a;e783>>{e?8k1<7=50;2x 0g12l20D8oj;h13=?6=3`9;m7>5;n6f2?6=3th<=54?:283>5}#=h<1i55G5`g8m66>2900e>>n:188k1c12900qo9>6;297?6=8r.>m;4j8:J6e`=n;931<75f31c94?=h5<4290;w);n6;g;?M3fm2c8<44?::k04d<722e?i;4?::a35b=8391<7>t$4c5>`><@4?:1y'1d0=5;n6f2?6=3th<>;4?:283>5}#=h<18hk4H4cf?l5713:17d=?a;29?j2b>3:17pl82e83>6<729q/9l85e69K1dc5<N2il1b?=750;9j75g=831d8h850;9~f25a29086=4?{%7b2?c03A?ji6g<0883>>o48h0;66a;e783>>{e?:n1<7=50;2x 0g12l=0D8oj;h13=?6=3`9;m7>5;n6f2?6=3th5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900qo9<9;297?6=8r.>m;4j7:J6e`=n;931<75f31c94?=h5<4290;w);n6;g4?M3fm2c8<44?::k04d<722e?i;4?::a363=8391<7>t$4c5>`1<@4?:1y'1d0=m>1C9lk4i22:>5<5<53;294~"2i?0n;6F:ad9j75?=831b?=o50;9l0`0=831vn:5<7s-?j:7k8;I7ba>o4800;66g<0`83>>i3m?0;66sm73:94?5=83:p(8o9:d58L0gb3`9;57>5;h13e?6=3f>n:7>5;|`1`6<72:0;6=u+5`490`c<@4?:1y'1d0=5;n6f2?6=3th9i84?:283>5}#=h<18hk4H4cf?l5713:17d=?a;29?j2b>3:17pl=e283>6<729q/9l854dg8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`1a4<72:0;6=u+5`490`c<@4?:1y'1d0=5;n6f2?6=3th9hi4?:283>5}#=h<18hk4H4cf?l5713:17d=?a;29?j2b>3:17pl=dc83>6<729q/9l854dg8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`1`<<72:0;6=u+5`490`c<@0;6>4?:1y'1d0=5;n6f2?6=3th9h84?:283>5}#=h<18hk4H4cf?l5713:17d=?a;29?j2b>3:17pl=cd83>6<729q/9l854dg8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`1a2<72;0;6=u+5`4974c<@3:17pl9ed83>3<729q/9l85f59K1dc5<5<5<56;294~"2i?0m86F:ad9j75?=831b?=o50;9j747=831b?<<50;9j745=831d8h850;9~f3`5290=6=4?{%7b2?`43A?ji6g<0883>>o48h0;66g<1083>>o49;0;66g<1283>>i3m?0;66sm71194?0=83:p(8o9:g78L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3`9:?7>5;n6f2?6=3th<<84?:783>5}#=h<1j95G5`g8m66>2900e>>n:188m6762900e>?=:188m6742900c9k9:188yg17?3:1:7>50z&6e3mh5f31;94?=n;9k1<75f30394?=n;881<75f30194?=h5<1290;w);n6;d0?M3fm2c8<44?::k04d<722c8=<4?::k057<722c8=>4?::m7a3<722wi:ho50;494?6|,2;29?l56;3:17b:j6;29?xd1mj0;6;4?:1y'1d0=n=1C9lk4i22:>5<5<5<3:1N2il1b?=750;9j75g=831b?>:185>5<7s-?j:7h<;I7ba>o4800;66g<0`83>>o4980;66g<1383>>o49:0;66a;e783>>{e>l21<7850;2x 0g12o>0D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;h127?6=3f>n:7>5;|`044<720086ou+5`497575<5<5<5<5<2290;w);n6;gf?M3fm2c8<44?::k04d<722c8=<4?::k057<722e?i;4?::a6c`=83?1<7>t$4c5>c4<@1;29?l56:3:17b:j6;29?xd4890;6>4?:1y'1d0=m>1C9lk4i22:>5<5<52z\f71=::oo1?=74}rg07?6=:rTn?>522gg975g52z\f6c=::oo1?h522gg974452z?045<48016>kk54d48yvc4>3:1>vPj379>6c`=;930q~k<2;296~Xb;;16>kh531c8yvc493:1>vPj309>6c`=;8;0q~k<0;296~Xb;916>kh53008yv4am3:1>v3<01804d=::ol18h84}r0eg?6=:rT9jn5231290`0g}#=h<1>kl4id17>5<5<5<5<5<3on7E;ne:k04<<722c8k750;794?6|,2;29?j2b>3:17pl=f`83>6<729q/9l85e69K1dc5<52z\f76=::o21?=o4}rg05?6=:rTn?<522g:974752z\f75=::o21?<<4}r0e3?6=:r79jl4<089>6c>=k7531;8yvc4:3:1>vPj339>6c?=;9k0q~k=f;296~Xb:o16>k753038yvc5m3:1>vPj2d9>6c?=;880q~52z\1b3=::ok18h84}|`676<72<0;6=u+5`49a`=O=ho0e>>6:188m66f2900e>?>:188m6752900c9k9:188yg34<3:197>50z&6e3mh5f31;94?=n;9k1<75f30394?=n;881<75`4d494?=zj<936=48:28:!3f>3?846g:3383>>o2;:0;66gn5e83>>of=10;66gn5883>>of=?0;66a:3083>>d2;<0;6>4?:1y'1d0=m>1C9lk4i22:>5<5<55;294~"2i?0m>6F:ad9j75?=831b?=o50;9j747=831b?<<50;9l0`0=831vn8=8:180>5<7s-?j:7k8;I7ba>o4800;66g<0`83>>i3m?0;66s|52094?4|V<9970;<5;13=>{t=:91<7>6;<701?2b>2wxm8j50;0xZd3c34?8:7=?9:pe0>=838pRl;7;<702?57i2wxm8750;0xZd3>34?8:7=>1:pe00=838pRl;9;<702?56:2wx9>;50;0x90502::j70;<6;6f2>{t=:;1<7n:6srb3g`>5<2290;w);n6;ge?M3fm2c8<44?::k04d<722c8=<4?::k057<722e?i;4?::a6`b=83?1<7>t$4c5>c4<@1;29?l56:3:17b:j6;29?xd5n80;6:4<:8y'1d0=:o;0e?km:188m7cd2900el;n:188md3?2900el;6:188md302900c?kn:188f7cb29086=4?{%7b2?c03A?ji6g<0883>>o48h0;66a;e783>>{e:ll1<7;50;2x 0g12o80D8oj;h13=?6=3`9;m7>5;h125?6=3`9:>7>5;n6f2?6=3th9j=4?:283>5}#=h<1i:5G5`g8m66>2900e>>n:188k1c12900q~hk531;8yv4bk3:1>vP=eb9>6`c=;9k0q~m7>52z\b1d=::ll1?=74}rc657>52z\b1<=::ll1?52z?1b5<48h16>hh54d48yv4bi3:1>vP=e`9>6c6=1<729q/9l854g38L0gb3`9;57>5;h13e?6=3`9:=7>5;n6f2?6=3th=j94?:683>5}#=h<18k:4H4cf?l5713:17d=?a;29?l5693:17d=>2;29?l56;3:17d=>4;29?j2b>3:17pl80c83>2<729q/9l854g68L0gb3`9;57>5;h13e?6=3`9:=7>5;h126?6=3`9:?7>5;h120?6=3f>n:7>5;|qf03<72;qUi984=d5:>4423-?3j7k;5:l6<`<73tyn894?:3y]a12<5l=36<<:;%7;b?c3=2d>4h4>;|qf06<72;qUi9=4=d54>4423-?3j7k;5:l6<`<53tyn8?4?:3y]a14<5l==6<<:;%7;b?c3=2d>4h4<;|qf04<72;qUi9?4=d56>4423-?3j7k;5:l6<`<33tyn8=4?:3y]a16<5l=?6<<:;%7;b?c3=2d>4h4:;|qf0c<72;qUi9h4=d:2>4423-?3j7k;5:l6<`<13tyn8h4?:3y]a1c<5l2;6<<:;%7;b?c3=2d>4h48;|qf0a<72;qUi9j4=d5e>4423-?3j7k;5:l6<`4h46;|qf0g<72;qUi9l4=d5g>4423-?3j7k;5:l6<`4h4m;|qf0<<72;qUi974=d5a>4423-?3j7k;5:l6<`<5l=j6<<:;%7;b?c3=2d>4h4k;|qf02<72;qUi994=d50>4423-?3j7k;5:l6<`4h4i;|qa0=<72;qUn964=d1f>4423-?3j7l;7:l6<`<73tyi8;4?:3y]f10<5l9o6<<:;%7;b?d3?2d>4h4>;|qa00<72;qUn9;4=d1`>4423-?3j7l;7:l6<`<53tyi894?:3y]f12<5l9i6<<:;%7;b?d3?2d>4h4<;|qa06<72;qUn9=4=d1b>4423-?3j7l;7:l6<`<33tyi8?4?:3y]f14<5l926<<:;%7;b?d3?2d>4h4:;|qa04<72;qUn9?4=d1;>4423-?3j7l;7:l6<`<13tyi8=4?:3y]f16<5l9<6<<:;%7;b?d3?2d>4h48;|qf4=<72;qUi=64=d2g>66?3tyj854?:35xZd2?34o<>7h6;34o34o34o34o3<7h6;34o<87h6;34o<:7h6;34o<47h6;34o8;7h6;34o857h6;34o8n7h6;34o8h7h6;34k=n7h6;34k=j7h6;34k<=7h6;34k34k<97h6;34k=h7h6;34k?h7h6;7511;89`5f28:270k;b;j0:<452e2f955?<5l9n6<>6;|qf5a<72;qUi`7c3tyn:k4?:3y>a2`==:l019ji:374?!3?n3o>:6`:8d8;?xub>l0;6?u2e6g916`<5=nm6?;:;%7;b?c2>2d>4h46;|qf2a<72;q6i:j552d891ba2;??7);7f;g62>h20l0j7p}j6b83>7}:m>i19>h4=5fe>7343-?3j7k:6:l6<`a2d==:l019ji:371?!3?n3o>:6`:8d8`?xub>>0;6?u2e6c916`<5=nm6?:k;%7;b?c2>2d>4h4k;|qf1f<72;q6i:=552d891ba2;>;7);7f;g62>h20l0n7p}j5183>7}:m>819>h4=5fe>7553-?3j7k:6:l6<`a2?==:l01>:::374?!3?n3o>:6`:8d83?xub=<0;6?u2e6:916`<5:>>6?;:;%7;b?c2>2d>4h4>;|qf11<72;q6i:9552d896222;??7);7f;g62>h20l097p}j5283>7}:m><19>h4=266>7343-?3j7k:6:l6<`<43tyn9?4?:3y>a23==:l01>:::371?!3?n3o>:6`:8d87?xub=80;6?u2e66916`<5:>>6?:k;%7;b?c2>2d>4h4:;|qf34<72;q6i5?552d896222;>;7);7f;g62>h20l0=7p}j7183>7}:m1:19>h4=266>7553-?3j7k:6:l6<`<03tyn?;4?:2y>a6c==:l01>>>:d15?84aj3o8:6*:8g8f70=i=1o1<6s|e2694?5|5l9o68=i;<135?c4<279jo4j359'1=`=m:?0b86j:09~w`542908w0k;4880n?>522g`9a65<,<2m6h=:;o7;a?47>53z?f7g<2;o16?=?5e20897`e2l997);7f;g01>h20l087p}j3083>6}:m:k19>h4=222>`56348mn7k<1:&6;4n4:f>0=z{l8m6=4<{6cd=m;l0(86i:d16?k3?m3<0q~k=e;297~;b;>0>?k523139a7c<5;li6h4h48;|qb0a<72:q6m9j552d89`732h>o70{ti<>1<7;t=`4f>05a3499j7<:f:?457<48h169>=531;897cc2::27);7f;c67>h20l0;7p}n5383>0}:i?n19>h4=20e>73c34=;j7=?a:?671<48016>hm531;8 0>a2h?87c;7e;38yvg2l3:19v3n77867c=:;;l1>::4=607>66f34?847o:d:?1aa<48h1/95h5a418j0>b2;1vl;l:1868g0=3?8j63<2g8136=:?;81?=o4=410>676348nh7=>2:&66763-?3j7o:3:l6<`<33tyj9l4?:4y>e25==:l01>d343g?3i7;4}rc6=?6==r7j;?4:3g9>77`=:?l01:?l:22b?83403k>563=f08b1<=#=1l1m8=4n4:f>3=z{h?36=4:{k4=6d9>34g=;9k018=7:`7;?84a93k>46*:8g8b16=i=1o1;6s|a4594?3|5h=;68=i;<11b?41l27<=54<0`9>162=;9k01?h>:`74?!3?n3k>?6`:8d8;?xuf=?0;68u2a7d916`<5:8m6?8m;<522?57i27>?54n579>6`e=;8;0(86i:`70?k3?m330q~o:5;291~;f>j0>?k5233d963?<5>;?6>>n;<700?569279in4<0`9'1=`=i<90b86j:`9~wd36290>w0o9b;70b>;4:o099n5271f975g<5<9?6>?=;<0fg?56:2.>4k4n529m1=c=j2wx>k:50;0x9`732;l?70{t:o?1<77`2348ho7=?a:p6c4=838p1h?;:3d1?8dej39;56s|52;94?5|5l;?68=6;<512?57127ino4<0`9~w7`42908w0k>4;0e7>;0:?085dz?f51;08:08=>527179744<5>:<6>?>;<53=?56927=il4<0`9>2`e=;9k01;hi:22b?817939;m639e9804d=#=1l1i<74n4:f>4=z{l;<6=4k{2c6=;9301;h=:22:?817;39;5638048056=:?9=1?<<4=62:>675343:?5af<49;16:kh5300892662:;:708j8;125>"20o0n=45a59g96>{tm8<1<7jt=d37>`71341:?5b5<49:16:k<5300892642:;:709?5;13=>;08>08<45271;9745<5?oj6>?>;<4fg?56927=jk4<129>357=;8801;k7:231?!3?n3o:56`:8d80?xub9<0;6iu2e069a43<5?on6>?<;<4e4?56927=j?4<129>355=;8801:>::232?817?39:?63808804<=:>lk1?<<4=7g`>674341:?444<49:16:h653018 0>a2l;27c;7e;68yvc6i3:1hv3j158f5d=:>lo1?=o4=7d3>66f347=?a:?446<48h16;=;531c892602::j709?9;13e>;1mh08<4526da975?<5?lm6>>6;<535?57127=i54<089'1=`=m830b86j:19~wa3>2909wSj:9:?f51<1j<1vi;n:181[b2i27n=949c19~w`6b2909wSk?e:?f51<1m<1vh?<:181[c6;27n=949e79~w7c?2909w0:ld;7b7>;5m00?i;5rs`42>5<5s499j7;:1:?46a<4801/95h5a728j0>b291vl;i:181855n3??j6382`804<=#=1l1m;>4n4:f>4=z{h272909w0==f;741>;0;m08<45+59d9e365rs`4;>5<5s499j7;83:?47g<4801/95h5a728j0>b2=1vl88:181855n3?<=63838804<=#=1l1m;>4n4:f>0=z{h<=6=4={<11b?30827;0;<08<45+59d9e365<5s499j7;9e:?476<4801/95h5a728j0>b211vl8<:181855n3?=563830804<=#=1l1m;>4n4:f><=z{h<96=4={<11b?32k27<>k4<089'1=`=i?:0b86j:`9~wd3b2909w0==f;77a>;0:108<45+59d9e365<5s4=:>7:j6:?1`6<4801/95h57028j0>b291v:>j:181817n3>n:63=d1804<=#=1l1;<>4n4:f>4=z{>886=4={<510?2b>279i84<089'1=`=?8:0b86j:39~w2462909w09=2;6f2>;5m:08<45+59d93465rs63e>5<5s4=9<7:j6:?1a4<4801/95h57028j0>b2=1v:?k:181816m3>n:63=dg804<=#=1l1;<>4n4:f>0=z{>;i6=4={<52g?2b>279hi4<089'1=`=?8:0b86j:79~w27>2909w09>a;6f2>;5lk08<45+59d93465<5s4=:47:j6:?1`<<4801/95h57028j0>b211v:?::181816>3>n:63=d6804<=#=1l1;<>4n4:f><=z{>;86=4={<520?2b>279h84<089'1=`=?8:0b86j:`9~w26d2909w09?d;6f2>;5kl08<45+59d93465<69r7n;?4>089>a25=99301h9n:02:?8c0j3;;563j7b824<=:m>n1==74=d5f>46>34o;b??0:<452e65955?<5l=36<>6;;0:m089m6>>n;<50`?57i2736?=;9k01:=8:22b?814=39;m63832804d=:?:;1?=o4=60e>66f34=947=?a:p2`b=838p1;kj:5g5?80a<39:>6s|6dd94?4|5?l;69k9;<4e0?56;2wx:k?50;0x93`52=o=708i4;120>{t?981<71c134=;n7=>1:p352=838p1:>::5g5?817j39:>6s|71494?4|5>:<69k9;<53f?56;2wx;=650;0x926>2=o=709?b;120>{t>l31<71c13450;0x92662=o=709?b;13e>{t>l=1<71c1344k4j889m1=c=82wxi5650;0xZ`>?34>oj7;81:&64k4j889m1=c=:2wxi5850;0xZ`>134>oj7;9f:&64k4j889m1=c=<2wxi5:50;0xZ`>334>oj7;99:&64k4j889m1=c=>2wxi5<50;0xZ`>534>oj7;;e:&64k49f49m1=c=92wx:k650;0x91ba2;8j70=;5;744>"20o0=j85a59g96>{t>o31<774>349?97;9f:&64k49f49m1=c==2wx:km50;0x91ba2;;=70=;5;76g>"20o0=j85a59g92>{t>on1<776?349?97;;e:&6>7;<0ef?4a>2wxm9k50;0x9d2c288>70:ld;77`>J2j90:w)=>d;28yxu0:j0;6?u273f90`0<5;n86>>n;%7;b?15j2d>4h4?;|q46<<72;q6;?o54d4897b72::j7);7f;51f>h20l0:7p}83d83>7}:?:l18h84=3g6>66f3-?3j79=b:l6<`<53ty36b=>n;%7;b?15j2d>4h4;;|q47=<72;q6;>754d4897ba2::j7);7f;51f>h20l0>7p}83783>7}:?:=18h84=3fg>66f3-?3j79=b:l6<`<13ty363=>n;%7;b?15j2d>4h47;|q475<72;q6;>?54d4897b02::j7);7f;51f>h20l027p}82d83>7}:?;l18h84=3f6>66f3-?3j79=b:l6<`:4?:3y>37>=4h4?;|q1gc<72;q6m;j5137897b72=o=7);7f;0g5>h20l0:7p}=e583>7}:i><1=?;4=3g6>1c13-?3j7e23=9;?01?k<:5g5?!3?n38o=6`:8d80?xu5m90;6?u2a669573<5;o:69k9;%7;b?4c92d>4h4;;|q1``<72;q6m:=5137897ba2=o=7);7f;0g5>h20l0>7p}=db83>7}:i>81=?;4=3fg>1c13-?3j7e27=9;?01?jm:5g5?!3?n38o=6`:8d84?xu5l10;6?u2a629573<5;n269k9;%7;b?4c92d>4h47;|q1`3<72;q6m;h5137897b02=o=7);7f;0g5>h20l027p}=d583>7}:i?i1=?;4=3f6>1c13-?3j7e3d=9;?01?mj:5g5?!3?n38o=6`:8d8a?xu2;80;6?u2e069167<5<9368=>;|q1ad<72;q6i<:52dc897`62;oj7p}m6583>a}:?881?=74=62e>66>34=987=?9:?467<48016;?>531;8927b2::2709>c;13=>;09h08<45270:975?<5>;=6>>6;<520?57127<fgd=m>526g690`052z?7`c<2i:16;=l54d48yv4b>3:1>v3;ce8142=::l=18h84}r6f6?6=1r7>mk4=9c9>713=:9201>:::335?853=389?63<44816==:;=?1>?74=266>74f349?97<=b:?000<5:m1vh>l:182f~;b?;0>8=52e619116<5l=j68:?;a2b===:01h9j:463?8c0n3??<63j818605=:m1;199>4=d57>02734o<97;;0:?f33<2<916i:9555289`1?2<>;70k89;774>;b;>0>8=52e2:9116<5l9268:?;a6e===:01h=k:463?8c4m3??<63n4e8605=:m8>19l?4=d2g>1c13ty>??4?:3y>165=hm54d4897`62;oi7p}=eb83>7}::ln18h84=3d2>7cd3twi5k;50;37>2<6krF>n=4>{I114>{K=k;1>v*:908247=i=081=9>4}%7b2?d3m2Pm47?t2e8~^0>c2:q29779:229ylg303:17dk?f;29?lb213:17bo6c;29 1`e2h3i7c:ia;28?jg>i3:1(9hm:`;a?k2ai3;07bo69;29 1`e2h3i7c:ia;08?jg>03:1(9hm:`;a?k2ai3907bo67;29 1`e2h3i7c:ia;68?jg>>3:1(9hm:`;a?k2ai3?07bon5;29 1`e2h3i7c:ia;48?jgf<3:1(9hm:`;a?k2ai3=07bon3;29 1`e2h3i7c:ia;:8?jgf:3:1(9hm:`;a?k2ai3307bon1;29 1`e2h3i7c:ia;c8?jgf83:1(9hm:`;a?k2ai3h07bo6f;29 1`e2h3i7c:ia;a8?jg>m3:1(9hm:`;a?k2ai3n07bo6d;29 1`e2h3i7c:ia;g8?jg>=3:1(9hm:`;a?k2ai3l07bl:8;29?g3fn3:1=7>50z&6e3<51j1C9lk4o3;a>5<55;294~"2i?09595G5`g8H0d728q/?5<4>009~mc?=831b==750;9j573=831b99>50;9l16`=831vnn:6:186>5<7s-?j:7<64:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;335>{nn00;66g>0883>>o6:<0;66g:4183>>i2;o0;66smc5c94?3=83:p(8o9:3;7?M3fm2F>n=4>{%12`?64663tcm57>5;h33=?6=3`;997>5;h774?6=3f?8j7>5;|`a0a<72<0;6=uC5c392~"2<=0i845a28;90>h51h0=7)<68;;bg>"2180:<<5a58097c=z,5;h7b5?6=3f?8m7>5;n`65}K=k;1;v*:458:5==#=9;1>8l4$4c;>0=#=99199j4$4c4>5=#=0;18h=4n4;1>1b>3t.>m;4<339Yb=<4s1314l47c;j60e=83.?jo4=6`9m0cg=:21b>;750;&7bg<5>h1e8ko51:9j63d=83.?jo4=6`9m0cg=821b>:850;&7bg<50=1e8ko52:9j6=5=83.?jo4=859m0cg=921b>5;50;&7bg<50=1e8ko50:9l11c=83.?jo4:699m0cg=:21d98m50;&7bg<2>11e8ko51:9l13?=83.?jo4:699m0cg=821vn9k?:1810?6=8rF>n<4m{%770?e3j2.>8<4m5g9'153=m;i0b9hl:09'0cb=1;n0(9hi:c2f?!3783h9<6`:918;?k3fj3;;7);61;335>h21;09o6s+5`490`65<#>4n5db>4=5<#c=5<#a=5<#g=5<#<=5<#2=5<#0=5<#6=5<#4=5<5<#`=5<#f=5<#d=5<#==5<#3=5<#1=54oc06>5<#7=5<#5=55z\b0==:k=21j452c5;9b<=:k=k1j452b5f957255z\f4c=:k=2199>4=b6:>02734i?m7;;0:?a0a<2i81vi;6:181[b2127i8i4k589~w05f290?w0m;8;33=>;d<00:<452c5c955?<5k>o68=n;|qa1=<72;qUn864=c6g>g3?3tyh8>4?:2y>g1g==:l01>==:34a?82b8338=6*:8g8`07=i=1o1<6s|c5394?5|5j>268=i;<106?41127?i=462g9'1=`=k=80b86j:09~wf272908w0m;8;70b>;4;;099n524d29=7c<,<2m6n:=;o7;a?452z?`0d<6:<16?><557;8 0>a2j>=7c;7e;28yve3=3:1>v3l488260=:;:8198m4$4:e>f213g?3i7?4}ra70?6=:r7h854>249>764===o0(86i:b65?k3?m380q~o6c;296~Xf1j168h>5b3:8 0>a2h3i7c;7e;28yvg>i3:1>vPn9`9>0`6=j;<0(86i:`;a?k3?m3;0q~o69;296~Xf10168h>5b378 0>a2h3i7c;7e;08yvg>03:1>vPn999>0`6=j;>0(86i:`;a?k3?m390q~o67;296~Xf1>168h>5b318 0>a2h3i7c;7e;68yvg>>3:1>vPn979>0`6=j;80(86i:`;a?k3?m3?0q~on5;296~Xfi<168h>5b238 0>a2h3i7c;7e;48yvgf<3:1>vPna59>0`6=j::0(86i:`;a?k3?m3=0q~on3;296~Xfi:168h>5b3d8 0>a2h3i7c;7e;:8yvgf:3:1>vPna39>0`6=j;o0(86i:`;a?k3?m330q~on1;296~Xfi8168h>5b3f8 0>a2h3i7c;7e;c8yvgf83:1>vPna19>0`6=j;i0(86i:`;a?k3?m3h0q~o6f;296~Xf1o168h>5b3`8 0>a2h3i7c;7e;a8yvg>m3:1>vPn9d9>0`6=j;k0(86i:`;a?k3?m3n0q~o6d;296~Xf1m168h>5b3;8 0>a2h3i7c;7e;g8yvg>=3:1>vPn949>0`6=j;;0(86i:`;a?k3?m3l0q~:j2;2957}:=hl1>4l4=5g3>g6a34>n<7l>7:?7a55b0;891c72k;j70:j0;`2f>;3m90i=n524d29f4b<5=o;6o?j;<6f4?d6n27?i=4m119>0`6=j8;019k?:c31?82b83h:?63;e18a51=:`4d3twxmk=50;0xZd`434h=<7oi3:&6;<`54?ga92.>4k4nf39m1=c=92wxmk>50;0xZd`734h=<7oi0:&64k4nf39m1=c=;2wxmhk50;0xZdcb34h=<7oje:&64k4nf39m1=c==2wxmkm50;0xZd`d34h=<7oic:&64k4nf39m1=c=?2wxmko50;0xZd`f34h=<7oia:&64k4nf39m1=c=12wxmk650;0xZd`?34h=<7oi8:&64k4nf39m1=c=j2wxmk850;0xZd`134h=<7oi6:&64k4nf39m1=c=l2wxmk:50;0xZd`334h=<7oi4:&64k4nf39m1=c=n2wxi=650;1xZ`6?34k?j7k?8:?b15e06=i=2014h::`6;?xu4:<0;6?uQ33789d2a2:8>7p}:7983>7}Y=>201l:i:45;?xu69l0;6?uQ10g89d2a28;n7p}m5883>7}Yj<301l;?:c7:?xufk10;6?u2b729f52<5h?;6h:9;M7a4?7|,:;o6=5r$4:e>de03g?3i7>4}rc`2?6=:r7i:=4m039>e06=m=>0@8l?:0y'74b=82w/95h5ab58j0>b281vlm::1818d183h;=63n518f06=K=k:1=v*<1e83?x"20o0jo:5a59g96>{tij>1<7g6734k><7k;2:N6f5<6s-9:h7>4}%7;b?gd?2d>4h4<;|qbg6<72;q6n;>5agd89d372l>:7A;m0;3x 67c291v(86i:`a4?k3?m3>0q~ol2;296~;e>90jjh52a429a16{#=1l1mn94n4:f>0=z{hn:6=4={<`54?d7l27j9=4j4g9O1g6=9r.8=i4?;|&61e95k56:pea6=838p1o8?:c2`?8g283o?i6B:b182!56l3:0q);7f;c`3>h20l0<7p}ncg83>7}:j?:1n=l4=`73>`2c3E?i<7?t$23g>5=z,<2m6lm8;o7;a?>52z?a255e5a8H0d728q/?;f=90n8o5C5c295~"49m0;7p*:8g8bg2=i=1o1m6s|aba94?4|5k<;6o>7;n=4>{%12`?6f36=j9=01l;?:d6:?I3e83;p(>?k:19~ 0>a2hi<7c;7e;a8yvgdi3:1>v3m618a43=:i<:1i964L4`3>4}#;8n1<6s+59d9ef15<5s4h=<7l?5:?b151G9o>51z&05a<73t.>4k4nc69m1=c=m2wxmn?50;0x9g072hlo70o:0;g0b>J2j90:w)=>d;28y!3?n3kh;6`:8d8e?xue;o0;6?u2a5d9e`d<5h?;6o:7;M7a4?7|,:;o6=5r$4:e>g5b3g?3i7>4}r`0`?6=:r7j8k4ne89>e06=j=<0@8l?:0y'74b=82w/95h5b2g8j0>b281vo=l:1818g3n3kn463n518a00=K=k:1=v*<1e83?x"20o0i?h5a59g96>{tj:h1<7dc034k><7l;4:N6f5<6s-9:h7>4}%7;b?d4m2d>4h4<;|qa7d<72;q6m9h5ad489d372k>87A;m0;3x 67c291v(86i:c1f?k3?m3>0q~l<9;296~;f{#=1l1n>k4n4:f>0=z{k936=4={h20l0<7p}<2583>7}Y;;>01l:i:207?xub9l0;6?u2a5d9a4c<5h?;6n;m;M7a4?7|,:;o6=5r}rg2`?6=:r7j8k4j1e9>e06=m8n0@8l?:0y'74b=82wvh<6:1818g3n3kh<63n518f55a59g94>{tm;=1<7ddb34k><7k78:N6f5<6s-9:h7>4}%7;b?c502d>4h4>;|qf63<72;q6m9h5acf89d372l2<7A;m0;3x 67c291v(86i:d0;?k3?m380q~k=5;296~;f{#=1l1i?64n4:f>6=z{l8?6=4={h20l0>7p}j2383>7}:i=l1mo74=`73>`>43E?i<7?t$23g>5=z,<2m6h<7;o7;a?052z?b0c5e908H0d728q/?2909w0o:0;f6=>;>n<0o945C5c295~"49m0;7ps|d4c94?4|Vm?j70o:0;f6e>{tm9o1<7`6b343m97k?f:N6f5<6s-9:h7>4}|qf56<72;q6n;>5e0089d372l;87A;m0;3x 67c291vq~ok9;296~;e>90jmi529g79e{#=1l1mi64n4:f>5=z{hn<6=4={<`54?gfj272j84n9`9O1g6=9r.8=i4?;|&6h20l097p}nd483>7}:j?:1ml74=8d6>d??3E?i<7?t$23g>5=z,<2m6lj7;o7;a?552z?a25;>n<0j5;5C5c295~"49m0;7p*:8g8b`==i=1o196s|ad094?4|5k<;6ll9;<;e1?gf=2F>n=4>{%12`?6f36=ik?014h::`c7?I3e83;p(>?k:19~ 0>a2hn37c;7e;58yvgb83:1>v3m618bf1=:1o?1ml=4L4`3>4}#;8n1<6s+59d9ea>5<5s4h=<7om3:?:b051z&05a<73t.>4k4nd99m1=c=12wxmik50;0x9g072hh9707i5;cb5>J2j90:w)=>d;28y!3?n3ko46`:8d8b?xuflm0;6?u2b729eg7<50l>6lo?;M7a4?7|,:;o6=5r$4:e>db?3g?3i7l4}rcgg?6=:r7i:=4nb19>=c3=i0l0@8l?:0y'74b=82w/95h5ae:8j0>b2j1vljm:1818d183kjj636f48b=`=K=k:1=v*<1e83?x"20o0jh55a59g9`>{timk1<7dgb343m97o6d:N6f5<6s-9:h7>4}%7;b?gc02d>4h4j;|qb`7<72;q6n;>5a`489<`22h3>7A;m0;3x 67c291v(86i:`f;?k3?m3l0q~l:8;296~;f=90i95529g79f0>{zukh><7>54;3`>76|D:gd8j0?528=>7p*:a78a14=]=1n1?v9k:9f9<`36=44id2;>5<<#<2<6=44+4:7>5<:183!3f>382o6F:ad9l65<7s-?j:7<62:J6e`=K=k:1=v*<1e83?xJ2j80:w);61;337>{nn00;66g>0883>>o6:<0;66a:3g83>>{ej<81<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6<><;|ke=?6=3`;;57>5;h311?6=3f?8j7>5;|`6<3<72<0;6=u+5`496<1<@:0y'1<7=9990qdh6:188m46>2900e<<::188m0102900c8=i:188yg?d:3:197>50z&6e3<51=1C9lk4L4`3>4}#;8n1<6sC5c395~"2180:<>5rig;94?=n9931<75f13794?=n==:1<75`52d94?=zj0i86=4::183!3f>38286F:ad9O1g6=9r.8=i4?;|N6f4<6s-?2=7??3:jb<<722c:<44?::k260<722c>8=4?::m67c<722wi5n:50;794?6|,J2j90:w)=>d;28yI3e93;p(87>:020?xoa13:17d??9;29?l75=3:17d;;0;29?j34n3:17pl:8983>6<729q/9l85f69K1dc5<N2il1b?=650;9j1d2=831d8h850;9~fg52290?6=4?{%7b2?4>;2B>mh5C5c295~"49m0;7pB:b082!3>93;;?6sff883>>o6:<0;66g:4183>>i2;o0;66smb2494?2=83:p(8o9:3;0?M3fm2F>n=4>{%12`?64643tcm57>5;h311?6=3`??<7>5;n70b?6=3th8>i4?:883>5}K=k;1;v*:458:5==#=9;1>8l4$4c;>0=#=99199j4$4c4>5=#=0;18h=4n4;1>1b>3t.>m;4<2e9Yb=<4s1314l47c;j60e=83.?jo4=6`9m0cg=:21b>;750;&7bg<5>h1e8ko51:9j63d=83.?jo4=6`9m0cg=821b>:850;&7bg<50=1e8ko52:9j6=5=83.?jo4=859m0cg=921b>5;50;&7bg<50=1e8ko50:9l11c=83.?jo4:699m0cg=:21d98m50;&7bg<2>11e8ko51:9l13?=83.?jo4:699m0cg=821vn;:l:180>5<7s-?j:7k8;I7ba>o4800;66g<0`83>>i3m?0;66sm65594?5=83:p(8o9:d:8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`50`<72:0;6=u+5`490``<@4?:1y'1d0=m11C9lk4i22:>5<5<53;294~"2i?0?ik5G5`g8m66>2900e>>n:188k1c12900qo;7a;296?6=8r.>m;4<1d9K1dc5<<7>52;294~"2i?08=h5G5`g8m66?2900c9k9:188ygc7l3:1>7>50z&6e3<49l1C9lk4i22;>5<>6:188m66f2900c9k9:188ygd2<3:1?7>50z&6e3<3mo1C9lk4i22:>5<5<7>54;294~"2i?0nm6F:ad9j75?=831b?=o50;9j747=831d8h850;9~f06e290?6=4?{%7b2?cd3A?ji6g<0883>>o48h0;66g<1083>>i3m?0;66sm55a94?5=83:p(8o9:d:8L0gb3`9;57>5;h13e?6=3f>n:7>5;|`60g<72:0;6=u+5`490`c<@4?:1y'1d0=m11C9lk4i22:>5<5<53;294~"2i?0?ih5G5`g8m66>2900e>>n:188k1c12900q~o;8;29=~Xf<11695l5f89>f04=n0169585f89>=f4=n0165n=5f89>=f2=n016n>;5f89>f60=n01vh>7:18a[c7027i9?4>089>1=0=99301;:l:22:?803m39;563j0e804==:1k?1?=74=c77>66>34<9>7=>1:?60f<48016997531;8yvd4:3:1?v3m34867c=:j:<1=?;4=3;f>66f3-?3j7l<3:l6<`<63tyi?94?:3y>f60==:l01?7j:22:?!3?n3h8?6`:8d83?xu20k0;6?u259`916`<5<2368o;;|qa17<72;e==0853z?6<3<6:<16958552d890>226}:1j>19>h4=20g>70e34?;n7=>1:&6io1e95k50:p=dc=839p14m<:41e?855l38=563:0c804d=#=1l15lh4n4:f>4=z{0ko6=4<{<;`6?34n278>i4=5b9>15d=;930(86i:8ce?k3?m380q~8=1;291~;20?0>;:529b69116<5?8969k9;<77f?57i27>854<0`9~w32e2909w08;c;6f2>;15<4sW?3;63:8987a3=:=1k1?=64L4`3>4}#;8n1<6srs765>5<5s4484;e79>f63=9;?0@8l?:0y'74b=82wv4l;:181855l3?=5636b4804d=#=1l15o=4n4:f>5=z{0h96=4={<;`7?75=278>i4:5b9'1=`=1k90b86j:09~w;4:m0>8h5+59d9=g55<5s436=4={<47g?57i27=844;e79~w06f2908w08;7;13=>;1:;08<45251`90`052z?666?3ty=8k4?:3y>1=d=99301;;?:5g5?xub8j0;6>u2b279116<5k9=68:?;2wx5o;50;0x9707m5;6f2>{tj<91<78t=8a1>46>343h?7??9:?:g1<68016n8:54d48902d2::j70;;9;13e>{t==?1<702734??n7:j6:p110=838p14m<:463?83303>n:6s|55c94?4|5<>h69k9;<77f?5712wx99950;0x902>2=o=70;;8;13=>{zjh>j6=4<:78:I3e83;pD>2900c4h<:188k<`32900n8l=:182>5<7s-?j:7;n9:J6e`=h5<6290;w);n6;0:g>N2il1d>4l50;9~f4`f290:m7>50zN6f53-;::778;%3282.:=44>a:&25d<3m=1/=?:200?!479399?6*=0587a1=#:9?19l;4$3:e>2=#4`>3Sl36=831b9=750;9j114=831d94k50;&7bg<21m1e8ko50:9l1=83.?jo4:9e9m0cg==21d94950;&7bg<21m1e8ko56:9l1<0=83.?jo4:9e9m0cg=?21d==o50;9l55d=831d==m50;9l55b=831d==k50;9l55`=831d=<>50;9l545=831d=<:50;9l777=831d9=950;9~f4`e290:m7>50zN6f57?73-;:57?n;%32e?2b<2.:=o4:8:&2bc<2i91/>=>53318 7662:887)"58<0>m85+29d93>"3n00;7);65;0:4>{K=k;1>v*:908eg>h21;0<;6s+5`495c?<;4?::k64=<722c><44?::k607<722e>5h4?:%6ef?3>l2d?jl4?;:m6=f<72->mn7;6d:l7bd<632e>5o4?:%6ef?3>l2d?jl4=;:m6=d<72->mn7;6d:l7bd<432e>544?:%6ef?3>l2d?jl4;;:m6==<72->mn7;6d:l7bd<232e>5:4?:%6ef?3>l2d?jl49;:m6=3<72->mn7;6d:l7bd<032e:<:4?::a0`>=8321<7>tL4`3>6}#9on18h:4$23g>5=#=0>194h4}M7a5?4|,<3:6km4n4;1>635<5<;6=44i454>5<>7:188k1c12900q~o;9;297~Xf<016=ko5105894`e28;<7p}n4783>7}:9ok1=<<4=0db>46f3ty2j=4?:2y>5cg=989019k7:gc89<`52::37p}n4683>7}:9oh1=<<4=0da>46f3ty2j94?:3y]=c2<58li6=c4=n:168h6552d8yv2b93:19v3:b387b3=:9ok19=84=0da>06134>n47??9:?7a=<6:>1v9k=:18`83fn382n63>f`8146=:9ok19=64=0db>06>34;mm7;;2:?2bg<58:16=kl551:894`e2<:270?ib;776>;3m10:>;524d:9116<5=o36898;|ag6b=83>1<7>t$4c5>7?43A?ji6B:b182!56l3:0qA;m1;3x 0?62ol0qdh6:188m4422900e8:?:188k05a2900qomm;4=929K1dc{K=k;1=v*:908eb>{nn00;66g>2483>>o2<90;66a:3g83>>{ej4}M7a5?7|,<3:6kh4}hd:>5<6=44i463>5<8=4?::m67c<722wih:j50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:gd8yl`>2900e<>6:188m4422900c8=i:188ygb0m3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180mj6sff883>>o6800;66g>2483>>i2;o0;66smd9794?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?6c`5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4if:jb<<722c:<44?::k260<722e>?k4?::a`=1=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?62ol0qdh6:188m46>2900e<<::188k05a2900qoj78;290?6=8r.>m;4=939K1dc{K=k;1=v*:908eb>{nn00;66g>0883>>o6:<0;66a:3g83>>{el131<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6kh4}hd:>5<5<84?::m67c<722wih5l50;694?6|,J2j90:w)=>d;28yI3e93;p(87>:gd8yl`>2900e<>6:188m4422900c8=i:188ygb?k3:187>50z&6e3<51;1C9lk4L4`3>4}#;8n1<6sC5c395~"2180mj6sff883>>o6800;66g>2483>>i2;o0;66smd6d94?2=83:p(8o9:3;1?M3fm2F>n=4>{%12`?6c`5<6=44o41e>5<54;294~"2i?095?5G5`g8H0d728q/?5<4if:jb<<722c:<44?::k260<722e>?k4?::a`=7=83>1<7>t$4c5>7?53A?ji6B:b182!56l3:0qA;m1;3x 0?62ol0qdh6:188m46>2900e<<::188k05a2900qoj72;290?6=8r.>m;4=939K1dc{K=k;1=v*:908eb>{nn00;66g>0883>>o6:<0;66a:3g83>>{el191<7:50;2x 0g12;397E;ne:N6f5<6s-9:h7>4}M7a5?7|,<3:6kh4}hd:>5<5<84?::m67c<722wi?8946199'157=:5a4gc9b>=n:?31<7*;fc8126=i5a4gc9`>=n:?n1<7*;fc8126=i5a4gc9f>=n:?l1<7*;fc8126=i5a4gc9=>=n:>;1<7*;fc8126=i5a4gc93>=n:>>1<7*;fc8126=i5a4gc91>=n:5a4gc97>=n:?;1<7*;fc8126=i65f27094?"3nk09:>5a4gc95>=n:?>1<7*;fc8126=i=n:191<7*;fc813a=i=n:1=1<7*;fc813a=i=n:131<7*;fc813a=i=n:1h1<7*;fc813a=i=n:1o1<7*;fc813a=i=n:>31<7*;fc813a=i=n:>h1<7*;fc813a=i65f26a94?"3nk09;i5a4gc95>=n:>o1<7*;fc813a=i985a4gc9b>=h=985a4gc9`>=h=?o1<7*;fc8610=i985a4gc9f>=h=>:1<7*;fc8610=i985a4gc9=>=h=>91<7*;fc8610=i985a4gc93>=h=><1<7*;fc8610=i985a4gc91>=h=<;1<7*;fc8610=i985a4gc97>=h=<91<7*;fc8610=i65`54694?"3nk0>985a4gc95>=h=<<1<7*;fc8610=i5<4290;w);n6;g4?M3fm2c8<44?::k04d<722e?i;4?::a751=8391<7>t$4c5>`><@n:7>5;|`a1a<72;0;6=u+5`4974c<@3:17pl:3d83>7<729q/9l8530g8L0gb3`9;47>5;n6f2?6=3th>?n4?:0394?6|,2;29?l56;3:17d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l57j3:17d=?c;29?l57l3:17d=?e;29?l57n3:17d=>0;29?j2b>3:17p}n1`83>7}Yi8k01o6<:`3b?!3?n3k:56`:8d83?xuf910;6?uQa0:89g>42h;37);7f;c2=>h20l0:7p}n1683>7}Yi8=01o6<:`34?!3?n3k:56`:8d81?xuf9?0;6?uQa0489g>42h;=7);7f;c2=>h20l087p}n1g83>7}Yi8l01o6<:`3e?!3?n3k:i6`:8d83?xuf9m0;6?uQa0f89g>42h;o7);7f;c2a>h20l0:7p}n1b83>7}Yi8i01o6<:`3`?!3?n3k:i6`:8d81?xuf9k0;6?uQa0`89g>42h;i7);7f;c2a>h20l087p}n2583>7}Yi;>01o6<:`07?!3?n3k9?6`:8d83?xuf:;0;6?uQa3089g>42h897);7f;c17>h20l0:7p}n2083>7}Yi;;01o6<:`02?!3?n3k9?6`:8d81?xuf:90;6?uQa3289g>42h8;7);7f;c17>h20l087p}n2883>7}Yi;301o6<:`0:?!3?n3k946`:8d83?xuf:>0;6?uQa3589g>42h8<7);7f;c1<>h20l0:7p}n2783>7}Yi;<01o6<:`05?!3?n3k946`:8d81?xuf:<0;6?uQa3789g>42h8>7);7f;c1<>h20l087p}n2d83>7}Yi;o01o6<:`0f?!3?n3k9h6`:8d83?xuf:j0;6?uQa3a89g>42h8h7);7f;c1`>h20l0:7p}n2c83>7}Yi;h01o6<:`0a?!3?n3k9h6`:8d81?xuf:h0;6?uQa3c89g>42h8j7);7f;c1`>h20l087p}m5c83>6}Yj{ti=21<7{ti:;1<7{ti:91<7{t;;?1<7{t98o1<7"20o02>?5a59g94>{t1;;1<7"20o02>?5a59g96>{t18l1<7"20o02>?5a59g90>{t18n1<7"20o02>?5a59g92>{t18h1<7"20o02>?5a59g9<>{t1;i1<7"20o02>?5a59g9e>{t1;k1<7"20o02>?5a59g9g>{t1;21<7"20o02>?5a59g9a>{t1;<1<770l73;;11>"20o02>?5a59g955=z{08?6=4={_;10>;e0:02>95+59d9=744h4?;|q2ec<72;qU=lh4=c:0>4ga3-?3j7?m1:l6<`<63ty:mi4?:3y]5db<5k2864h4=;|q2eg<72;qU=ll4=c:0>4ge3-?3j7?m1:l6<`<43ty:m44?:3y]5d?<5k2864h4;;|q2e2<72;qU=l94=c:0>4g03-?3j7?m1:l6<`<23ty:o94?:3y]5f2<5k2864h49;|q2g7<72;qU=n<4=c:0>4e53-?3j7?m1:l6<`<03ty:o=4?:3y]5f6<5k2864h47;|q2f`<72;qU=ok4=c:0>4db3-?3j7?m1:l6<`<>3ty:nn4?:3y]5ge<5k2864h4n;|q2fd<72;qU=oo4=c:0>4df3-?3j7?m1:l6<`<5k2864h4l;|q2f3<72;qU=o84=c:0>4d13-?3j7?m1:l6<`4h4j;|q2e0<72;qU=l;4=c:0>4g23-?3j7?m1:l6<`f=5=9mo01o;::`d0?I3e83;p(>?k:19~ 0>a28no7c;7e;28yv7ck3:1>v3m8282`f=:j4}#;8n1<6s+59d95ab5<5s4h3?7?kb:?a1051z&05a<73t.>4k4>de9m1=c=:2wx=io50;0x9g>428nj70l:5;cfb>J2j90:w)=>d;28y!3?n3;oh6`:8d80?xu6l00;6?u2b9195a?<5k?>6lkj;M7a4?7|,:;o6=5r$4:e>4bc3g?3i7:4}r3g4>d99>f03=iln0@8l?:0y'74b=82w/95h51ef8j0>b2<1v{t9l<1<74c134h>97oib:N6f5<6s-9:h7>4}%7;b?7cl2d>4h48;|q2a0<72;q6n5=51d789g322hlj7A;m0;3x 67c291v(86i:0fg?k3?m320q~?j4;296~;e0:0:i952b479ec?{#=1l1=ij4n4:f><=z{8o86=4={<`;7?7b;27i984nf99O1g6=9r.8=i4?;|&6h20l0i7p}>e083>7}:j191=h?4=c76>d`13E?i<7?t$23g>5=z,<2m652z?a<6<6m916n8;5ag78H0d728q/?;e=<0jj95C5c295~"49m0;7p*:8g82`a=i=1o1i6s|1e594?4|5k286n=4>{%12`?6f=5=j<301o;::c7:?I3e83;p(>?k:19~yvc5l3:1>vPj2e9>f=5=m;n0q~k=b;296~Xb:k16n5=5e3`8yvd2?3:1>vPm569>f=5=j<=0q~lma;296~Xejh16n5=5bcc8yvd2m3:18v3m548f4==:j<:1i=64=c7f>05a34o;m7=?9:pg6`=838p1n=i:41e?8c7i39;m6s|c2c94?5|5j9o68=i;;48>08<45+59d9g6d5<5s4k?m7o;9:?05d<3m?1G9o>51z&05a<13twx??:50;0xZ64334h>97==4:pg0g=838p1o6<:b7b?8d2=3n>m6B:b182!56l3:0qp}j0883>7}:j191i=l4=d2b>1c13E?i<7?t$23g>5=zuzo:=7>52z\f54=:j<:19594}rg24?6=:rTn==52b4291=252z\b0g=:i=k15k=4}rc7g?6=91q6n5=5a5:89g322h>370l:0;c7<>;fo1j452d979b<=:l1<1j452d959b<=:l121j452d9;9b<=:l1k1j452d9`9b<=:l1i1j452d6d9b<=:l1:1j452d939b<=:l181j452d919b<=:l1>1j45C5c295~"49m0;7ps|31494?4|5::<69k9;<131?5702wxh;<50;1x9a>32<9m70=<8;050>;2;j08<45+59d9`375<4s4n3?7;;169>m531c8 0>a2m<:7c;7e;38yvb2n3:1?v3k83867c=:;:21>;?4=41`>6763-?3j7j91:l6<`<53tyo9h4?:2y>`=7==:l01>=7:343?834k39:>6*:8g8g24=i=1o1?6s|d4f94?5|5m2;68=i;<10?n4<129'1=`=l?;0b86j:59~wa3d2908w0j8f;70b>;4;1099i5252a9742<,<2m6i8>;o7;a?353z?g652668905d2:;>7);7f;f55>h20l0=7p}k6`83>6}:l1h19>h4=21;>71434?8o7=>6:&681e95k57:p`3?=839p1i6n:41e?854038<=63:3b8052=#=1l1h;?4n4:f>==z{m<36=4<{16e=;820(86i:e42?k3?m330q~j97;297~;c010>?k5232:963`<5<9h6>>m;%7;b?b192d>4h4n;|qg23<72:q6h59552d8965?2;"20o0o:<5a59g9f>{tl??1<7=t=e:5>05a349847<9d:?67f<48m1/95h5d738j0>b2j1vi8;:1808b?=3?8j63<39812g=:=:i1?=k4$4:e>a063g?3i7j4}rf57?6=;r7o;h4:3g9>76>=:?3018=l:22e?!3?n3n==6`:8d8f?xuc=k0;6>u2d6f916`<5:936?;l;<70g?5682.>4k4k609m1=c=n2wx?=:50;0x9f5a288>70=?5;6f2>{tl>91<7442349847;:6:&686*:8g8g37=i=1o1=6s|d6294?4|5m296<<:;<104k4k739m1=c=:2wxh;h50;0x9a>6288>70=<8;766>"20o0o;?5a59g97>{tl?o1<7442349847;:1:&62.>4k4k739m1=c=>2wxh:l50;0x9a>e288>70=<8;741>"20o0o;?5a59g93>{tl>k1<7442349847;83:&64k4k739m1=c=i2wxh:950;0x9a>0288>70=<8;75b>"20o0o;?5a59g9f>{tl><1<7442349847;9e:&64k4k739m1=c=m2wxh;m50;0x9a1c288>70=<8;77a>"20o0o;?5a59g9b>{t=:h1<766?34?8o7:j6:pf0e=83>p1n=k:463?8e4m3??<63l3g8605=:j;c0<0:<452d94955?<5m2<6<>6;089>`=g=99301i6m:02:?8b?k3;;563k7g824<=:l1:1==74=e:2>46>34n3>7??9:?g<6<68016h5:511;8905b2=o=7psr}|BCG~25:k0=95>kf7:~DED|8tJK\vsO@ \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.pad =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.pad b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.pad new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.pad (revision 224) @@ -0,0 +1,174 @@ +Release 14.7 - par P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Mon May 23 12:38:47 2016 + + +# NOTE: This file is designed to be imported into a spreadsheet program +# such as Microsoft Excel for viewing, printing and sorting. The | +# character is used as the data field separator. This file is also designed +# to support parsing. +# +INPUT FILE: chn5_mem_spi_joint_map.ncd +OUTPUT FILE: chn5_mem_spi_joint.pad +PART TYPE: xc6slx9 +SPEED GRADE: -3 +PACKAGE: tqg144 + +Pinout by Pin Number: + +-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| +Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity| +P1|addr<6>|IOB|IO_L83N_VREF_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P2|addr<7>|IOB|IO_L83P_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P3|||GND|||||||||||| +P4|||VCCO_3|||3|||||3.30|||| +P5|oe_ram_bar|IOB|IO_L52N_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P6|ub_bar|IOB|IO_L52P_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P7|lb_bar|IOB|IO_L51N_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P8|DATA_INOUT<15>|IOB|IO_L51P_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P9|DATA_INOUT<14>|IOB|IO_L50N_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P10|DATA_INOUT<13>|IOB|IO_L50P_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P11|DATA_INOUT<12>|IOB|IO_L49N_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P12|DATA_INOUT<11>|IOB|IO_L49P_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P13|||GND|||||||||||| +P14|DATA_INOUT<10>|IOB|IO_L44N_GCLK20_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P15|DATA_INOUT<9>|IOB|IO_L44P_GCLK21_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P16|DATA_INOUT<8>|IOB|IO_L43N_GCLK22_IRDY2_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P17|addr<18>|IOB|IO_L43P_GCLK23_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P18|||VCCO_3|||3|||||3.30|||| +P19|||VCCINT||||||||1.2|||| +P20|||VCCAUX||||||||2.5|||| +P21|addr<8>|IOB|IO_L42N_GCLK24_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P22|addr<9>|IOB|IO_L42P_GCLK25_TRDY2_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P23|addr<10>|IOB|IO_L41N_GCLK26_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P24|addr<11>|IOB|IO_L41P_GCLK27_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P25|||GND|||||||||||| +P26|addr<17>|IOB|IO_L37N_3|OUTPUT|LVCMOS33|3|12|SLOW||||LOCATED|NO|NONE| +P27||IOBM|IO_L37P_3|UNUSED||3||||||||| +P28|||VCCINT||||||||1.2|||| +P29||IOBS|IO_L36N_3|UNUSED||3||||||||| +P30||IOBM|IO_L36P_3|UNUSED||3||||||||| +P31|||VCCO_3|||3|||||3.30|||| +P32|DATA_INOUT<0>|IOB|IO_L2N_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P33|DATA_INOUT<1>|IOB|IO_L2P_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P34|DATA_INOUT<2>|IOB|IO_L1N_VREF_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P35|DATA_INOUT<3>|IOB|IO_L1P_3|BIDIR|LVCMOS33|3|12|SLOW||NONE||LOCATED|NO|NONE| +P36|||VCCAUX||||||||2.5|||| +P37|||PROGRAM_B_2|||||||||||| +P38|DATA_INOUT<4>|IOB|IO_L65N_CSO_B_2|BIDIR|LVCMOS33|2|12|SLOW||NONE||LOCATED|NO|NONE| +P39|DATA_INOUT<5>|IOB|IO_L65P_INIT_B_2|BIDIR|LVCMOS33|2|12|SLOW||NONE||LOCATED|NO|NONE| +P40|DATA_INOUT<6>|IOB|IO_L64N_D9_2|BIDIR|LVCMOS33|2|12|SLOW||NONE||LOCATED|NO|NONE| +P41|DATA_INOUT<7>|IOB|IO_L64P_D8_2|BIDIR|LVCMOS33|2|12|SLOW||NONE||LOCATED|NO|NONE| +P42|||VCCO_2|||2|||||3.30|||| +P43|we_bar|IOB|IO_L62N_D6_2|OUTPUT|LVCMOS33|2|12|SLOW||||LOCATED|NO|NONE| +P44|addr<16>|IOB|IO_L62P_D5_2|OUTPUT|LVCMOS33|2|12|SLOW||||LOCATED|NO|NONE| +P45|addr<15>|IOB|IO_L49N_D4_2|OUTPUT|LVCMOS33|2|12|SLOW||||LOCATED|NO|NONE| +P46|addr<14>|IOB|IO_L49P_D3_2|OUTPUT|LVCMOS33|2|12|SLOW||||LOCATED|NO|NONE| +P47|addr<13>|IOB|IO_L48N_RDWR_B_VREF_2|OUTPUT|LVCMOS33|2|12|SLOW||||LOCATED|NO|NONE| +P48|addr<12>|IOB|IO_L48P_D7_2|OUTPUT|LVCMOS33|2|12|SLOW||||LOCATED|NO|NONE| +P49|||GND|||||||||||| +P50||IOBS|IO_L31N_GCLK30_D15_2|UNUSED||2||||||||| +P51|clk_main|IOB|IO_L31P_GCLK31_D14_2|INPUT|LVCMOS33|2||||NONE||LOCATED|NO|NONE| +P52|||VCCINT||||||||1.2|||| +P53|||VCCAUX||||||||2.5|||| +P54|||GND|||||||||||| +P55||IOBS|IO_L30N_GCLK0_USERCCLK_2|UNUSED||2||||||||| +P56||IOBM|IO_L30P_GCLK1_D13_2|UNUSED||2||||||||| +P57|clk_acq_ch5|IOB|IO_L14N_D12_2|INPUT|LVCMOS33|2||||NONE||LOCATED|NO|NONE| +P58|chn_bits_acq_ch5<0>|IOB|IO_L14P_D11_2|INPUT|LVCMOS33|2||||NONE||LOCATED|NO|NONE| +P59|chn_bits_acq_ch5<1>|IOB|IO_L13N_D10_2|INPUT|LVCMOS33|2||||NONE||LOCATED|NO|NONE| +P60||IOBM|IO_L13P_M1_2|UNUSED||2||||||||| +P61|chn_bits_acq_ch5<2>|IOB|IO_L12N_D2_MISO3_2|INPUT|LVCMOS33|2||||NONE||LOCATED|NO|NONE| +P62|chn_bits_acq_ch5<3>|IOB|IO_L12P_D1_MISO2_2|INPUT|LVCMOS33|2||||NONE||LOCATED|NO|NONE| +P63|||VCCO_2|||2|||||3.30|||| +P64||IOBS|IO_L3N_MOSI_CSI_B_MISO0_2|UNUSED||2||||||||| +P65||IOBM|IO_L3P_D0_DIN_MISO_MISO1_2|UNUSED||2||||||||| +P66||IOBS|IO_L2N_CMPMOSI_2|UNUSED||2||||||||| +P67||IOBM|IO_L2P_CMPCLK_2|UNUSED||2||||||||| +P68|||GND|||||||||||| +P69||IOBS|IO_L1N_M0_CMPMISO_2|UNUSED||2||||||||| +P70||IOBM|IO_L1P_CCLK_2|UNUSED||2||||||||| +P71|||DONE_2|||||||||||| +P72|||CMPCS_B_2|||||||||||| +P73|||SUSPEND|||||||||||| +P74||IOBS|IO_L74N_DOUT_BUSY_1|UNUSED||1||||||||| +P75||IOBM|IO_L74P_AWAKE_1|UNUSED||1||||||||| +P76|||VCCO_1|||1|||||3.30|||| +P77|||GND|||||||||||| +P78|pps|IOB|IO_L47N_1|INPUT|LVCMOS33|1||||NONE||LOCATED|NO|NONE| +P79||IOBM|IO_L47P_1|UNUSED||1||||||||| +P80|clk_to_acq|IOB|IO_L46N_1|OUTPUT|LVCMOS33|1|12|SLOW||||LOCATED|YES|NONE| +P81||IOBM|IO_L46P_1|UNUSED||1||||||||| +P82|lock|IOB|IO_L45N_1|INPUT|LVCMOS33|1||||NONE||LOCATED|NO|NONE| +P83|sda_rpi|IOB|IO_L45P_1|BIDIR|I2C|1||||NONE||LOCATED|NO|NONE| +P84||IOBS|IO_L43N_GCLK4_1|UNUSED||1||||||||| +P85|scl_rpi|IOB|IO_L43P_GCLK5_1|BIDIR|I2C|1||||NONE||LOCATED|NO|NONE| +P86|||VCCO_1|||1|||||3.30|||| +P87||IOBS|IO_L42N_GCLK6_TRDY1_1|UNUSED||1||||||||| +P88||IOBM|IO_L42P_GCLK7_1|UNUSED||1||||||||| +P89|||VCCINT||||||||1.2|||| +P90|||VCCAUX||||||||2.5|||| +P91|||GND|||||||||||| +P92||IOBS|IO_L41N_GCLK8_1|UNUSED||1||||||||| +P93||IOBM|IO_L41P_GCLK9_IRDY1_1|UNUSED||1||||||||| +P94||IOBS|IO_L40N_GCLK10_1|UNUSED||1||||||||| +P95|SCK|IOB|IO_L40P_GCLK11_1|INPUT|LVCMOS33|1||||NONE||LOCATED|NO|NONE| +P96|||GND|||||||||||| +P97|CS|IOB|IO_L34N_1|INPUT|LVCMOS33|1||||NONE||LOCATED|NO|NONE| +P98|MISO|IOB|IO_L34P_1|OUTPUT|LVCMOS33|1|12|SLOW||||LOCATED|NO|NONE| +P99|MOSI|IOB|IO_L33N_1|INPUT|LVCMOS33|1||||NONE||LOCATED|NO|NONE| +P100||IOBM|IO_L33P_1|UNUSED||1||||||||| +P101||IOBS|IO_L32N_1|UNUSED||1||||||||| +P102||IOBM|IO_L32P_1|UNUSED||1||||||||| +P103|||VCCO_1|||1|||||3.30|||| +P104||IOBS|IO_L1N_VREF_1|UNUSED||1||||||||| +P105||IOBM|IO_L1P_1|UNUSED||1||||||||| +P106|||TDO|||||||||||| +P107|||TMS|||||||||||| +P108|||GND|||||||||||| +P109|||TCK|||||||||||| +P110|||TDI|||||||||||| +P111|clk_acq_ch1|IOB|IO_L66N_SCP0_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P112|chn_bits_acq_ch1<0>|IOB|IO_L66P_SCP1_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P113|||GND|||||||||||| +P114|chn_bits_acq_ch1<1>|IOB|IO_L65N_SCP2_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P115|chn_bits_acq_ch1<2>|IOB|IO_L65P_SCP3_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P116|chn_bits_acq_ch1<3>|IOB|IO_L64N_SCP4_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P117|clk_acq_ch2|IOB|IO_L64P_SCP5_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P118|chn_bits_acq_ch2<0>|IOB|IO_L63N_SCP6_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P119|chn_bits_acq_ch2<1>|IOB|IO_L63P_SCP7_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P120|chn_bits_acq_ch2<2>|IOB|IO_L62N_VREF_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P121|chn_bits_acq_ch2<3>|IOB|IO_L62P_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P122|||VCCO_0|||0|||||3.30|||| +P123|clk_acq_ch3|IOB|IO_L37N_GCLK12_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P124|chn_bits_acq_ch3<0>|IOB|IO_L37P_GCLK13_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P125|||VCCO_0|||0|||||3.30|||| +P126|chn_bits_acq_ch3<1>|IOB|IO_L36N_GCLK14_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P127|chn_bits_acq_ch3<2>|IOB|IO_L36P_GCLK15_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P128|||VCCINT||||||||1.2|||| +P129|||VCCAUX||||||||2.5|||| +P130|||GND|||||||||||| +P131|chn_bits_acq_ch3<3>|IOB|IO_L35N_GCLK16_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P132|clk_acq_ch4|IOB|IO_L35P_GCLK17_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P133|chn_bits_acq_ch4<0>|IOB|IO_L34N_GCLK18_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P134|chn_bits_acq_ch4<1>|IOB|IO_L34P_GCLK19_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P135|||VCCO_0|||0|||||3.30|||| +P136|||GND|||||||||||| +P137|chn_bits_acq_ch4<2>|IOB|IO_L4N_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P138|chn_bits_acq_ch4<3>|IOB|IO_L4P_0|INPUT|LVCMOS33|0||||NONE||LOCATED|NO|NONE| +P139|addr<4>|IOB|IO_L3N_0|OUTPUT|LVCMOS33|0|12|SLOW||||LOCATED|NO|NONE| +P140|addr<3>|IOB|IO_L3P_0|OUTPUT|LVCMOS33|0|12|SLOW||||LOCATED|NO|NONE| +P141|addr<2>|IOB|IO_L2N_0|OUTPUT|LVCMOS33|0|12|SLOW||||LOCATED|NO|NONE| +P142|addr<1>|IOB|IO_L2P_0|OUTPUT|LVCMOS33|0|12|SLOW||||LOCATED|NO|NONE| +P143|addr<0>|IOB|IO_L1N_VREF_0|OUTPUT|LVCMOS33|0|12|SLOW||||LOCATED|NO|NONE| +P144|addr<5>|IOB|IO_L1P_HSWAPEN_0|OUTPUT|LVCMOS33|0|12|SLOW||||LOCATED|NO|NONE| + +-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| + +* Default value. +** This default Pullup/Pulldown value can be overridden in Bitgen. +****** Special VCCO requirements may apply. Please consult the device + family datasheet for specific guideline on VCCO requirements. + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.par =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.par b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.par new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.par (revision 224) @@ -0,0 +1,491 @@ +Release 14.7 par P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +LENOVO-PC:: Mon May 23 12:38:20 2016 + +par -w -intstyle ise -ol high -mt off chn5_mem_spi_joint_map.ncd +chn5_mem_spi_joint.ncd chn5_mem_spi_joint.pcf + + +Constraints file: chn5_mem_spi_joint.pcf. +Loading device for application Rf_Device from file '6slx9.nph' in environment C:\Xilinx\14.7\ISE_DS\ISE\. + "chn5_mem_spi_joint" is an NCD, version 3.2, device xc6slx9, package tqg144, speed -3 + +Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) +Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) + + +Device speed data version: "PRODUCTION 1.23 2013-10-13". + + + +Device Utilization Summary: + +Slice Logic Utilization: + Number of Slice Registers: 564 out of 11,440 4% + Number used as Flip Flops: 564 + Number used as Latches: 0 + Number used as Latch-thrus: 0 + Number used as AND/OR logics: 0 + Number of Slice LUTs: 739 out of 5,720 12% + Number used as logic: 731 out of 5,720 12% + Number using O6 output only: 536 + Number using O5 output only: 34 + Number using O5 and O6: 161 + Number used as ROM: 0 + Number used as Memory: 1 out of 1,440 1% + Number used as Dual Port RAM: 0 + Number used as Single Port RAM: 0 + Number used as Shift Register: 1 + Number using O6 output only: 1 + Number using O5 output only: 0 + Number using O5 and O6: 0 + Number used exclusively as route-thrus: 7 + Number with same-slice register load: 4 + Number with same-slice carry load: 3 + Number with other load: 0 + +Slice Logic Distribution: + Number of occupied Slices: 337 out of 1,430 23% + Number of MUXCYs used: 64 out of 2,860 2% + Number of LUT Flip Flop pairs used: 850 + Number with an unused Flip Flop: 375 out of 850 44% + Number with an unused LUT: 111 out of 850 13% + Number of fully used LUT-FF pairs: 364 out of 850 42% + Number of slice register sites lost + to control set restrictions: 0 out of 11,440 0% + + A LUT Flip Flop pair for this architecture represents one LUT paired with + one Flip Flop within a slice. A control set is a unique combination of + clock, reset, set, and enable signals for a registered element. + The Slice Logic Distribution report is not meaningful if the design is + over-mapped for a non-slice resource or if Placement fails. + +IO Utilization: + Number of bonded IOBs: 74 out of 102 72% + Number of LOCed IOBs: 74 out of 74 100% + IOB Flip Flops: 1 + +Specific Feature Utilization: + Number of RAMB16BWERs: 0 out of 32 0% + Number of RAMB8BWERs: 0 out of 64 0% + Number of BUFIO2/BUFIO2_2CLKs: 2 out of 32 6% + Number used as BUFIO2s: 2 + Number used as BUFIO2_2CLKs: 0 + Number of BUFIO2FB/BUFIO2FB_2CLKs: 2 out of 32 6% + Number used as BUFIO2FBs: 2 + Number used as BUFIO2FB_2CLKs: 0 + Number of BUFG/BUFGMUXs: 4 out of 16 25% + Number used as BUFGs: 4 + Number used as BUFGMUX: 0 + Number of DCM/DCM_CLKGENs: 2 out of 4 50% + Number used as DCMs: 2 + Number used as DCM_CLKGENs: 0 + Number of ILOGIC2/ISERDES2s: 0 out of 200 0% + Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0% + Number of OLOGIC2/OSERDES2s: 1 out of 200 1% + Number used as OLOGIC2s: 1 + Number used as OSERDES2s: 0 + Number of BSCANs: 0 out of 4 0% + Number of BUFHs: 0 out of 128 0% + Number of BUFPLLs: 0 out of 8 0% + Number of BUFPLL_MCBs: 0 out of 4 0% + Number of DSP48A1s: 0 out of 16 0% + Number of ICAPs: 0 out of 1 0% + Number of MCBs: 0 out of 2 0% + Number of PCILOGICSEs: 0 out of 2 0% + Number of PLL_ADVs: 0 out of 2 0% + Number of PMVs: 0 out of 1 0% + Number of STARTUPs: 0 out of 1 0% + Number of SUSPEND_SYNCs: 0 out of 1 0% + + +Overall effort level (-ol): High +Router effort level (-rl): High + +Starting initial Timing Analysis. REAL time: 5 secs +Finished initial Timing Analysis. REAL time: 5 secs + +Starting Router + + +Phase 1 : 4331 unrouted; REAL time: 5 secs + +Phase 2 : 3891 unrouted; REAL time: 6 secs + +Phase 3 : 939 unrouted; REAL time: 8 secs + +Phase 4 : 943 unrouted; (Setup:1141, Hold:0, Component Switching Limit:0) REAL time: 9 secs + +Updating file: chn5_mem_spi_joint.ncd with current fully routed design. + +Phase 5 : 0 unrouted; (Setup:2120, Hold:0, Component Switching Limit:0) REAL time: 13 secs + +Phase 6 : 0 unrouted; (Setup:1359, Hold:0, Component Switching Limit:0) REAL time: 14 secs + +Updating file: chn5_mem_spi_joint.ncd with current fully routed design. + +Phase 7 : 0 unrouted; (Setup:190, Hold:0, Component Switching Limit:0) REAL time: 25 secs + +Phase 8 : 0 unrouted; (Setup:190, Hold:0, Component Switching Limit:0) REAL time: 25 secs + +Phase 9 : 0 unrouted; (Setup:190, Hold:0, Component Switching Limit:0) REAL time: 25 secs + +Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 25 secs +Total REAL time to Router completion: 25 secs +Total CPU time to Router completion: 26 secs + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +Generating "PAR" statistics. + +************************** +Generating Clock Report +************************** + ++---------------------+--------------+------+------+------------+-------------+ +| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| ++---------------------+--------------+------+------+------------+-------------+ +| clk_u_proc_BUFG | BUFGMUX_X2Y4| No | 226 | 0.118 | 1.186 | ++---------------------+--------------+------+------+------------+-------------+ +|clk_mang/c_16MHz_BUF | | | | | | +| G | BUFGMUX_X2Y2| No | 2 | 0.004 | 1.547 | ++---------------------+--------------+------+------+------------+-------------+ + +* Net Skew is the difference between the minimum and maximum routing +only delays for the net. Note this is different from Clock Skew which +is reported in TRCE timing report. Clock Skew is the difference between +the minimum and maximum path delays which includes logic delays. + +* The fanout is the number of component pins not the individual BEL loads, +for example SLICE loads not FF loads. + +Timing Score: 0 (Setup: 0, Hold: 0, Component Switching Limit: 0) + +Number of Timing Constraints that were not applied: 2 + +Asterisk (*) preceding a constraint indicates it was not met. + This may be due to a setup or hold violation. + +---------------------------------------------------------------------------------------------------------- + Constraint | Check | Worst Case | Best Case | Timing | Timing + | | Slack | Achievable | Errors | Score +---------------------------------------------------------------------------------------------------------- + TS_clk_u_proc = PERIOD TIMEGRP "clk_u_pro | SETUP | 0.363ns| 3.803ns| 0| 0 + c" TS_clk_main / 4 HIGH 50% | HOLD | 0.385ns| | 0| 0 +---------------------------------------------------------------------------------------------------------- + TS_clk_main = PERIOD TIMEGRP "clk_main" 1 | MINLOWPULSE | 8.666ns| 8.000ns| 0| 0 + 6.667 ns HIGH 50% | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 | MAXDELAY | 2.067ns| 14.600ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "MISO" OFFSET = OUT 10 ns AFTER COMP | MAXDELAY | 2.482ns| 7.518ns| 0| 0 + "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 | MAXDELAY | 2.610ns| 14.057ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 | MAXDELAY | 2.920ns| 13.747ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "scl_rpi" OFFSET = OUT 16.667 ns AFT | MAXDELAY | 2.923ns| 13.744ns| 0| 0 + ER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 | MAXDELAY | 3.137ns| 13.530ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 | MAXDELAY | 3.206ns| 13.461ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 | MAXDELAY | 3.211ns| 13.456ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 | MAXDELAY | 3.283ns| 13.384ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 | MAXDELAY | 3.310ns| 13.357ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 | MAXDELAY | 3.414ns| 13.253ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "sda_rpi" OFFSET = OUT 16.667 ns AFT | MAXDELAY | 3.515ns| 13.152ns| 0| 0 + ER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 | MAXDELAY | 3.847ns| 12.820ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 | MAXDELAY | 3.886ns| 12.781ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 | MAXDELAY | 4.040ns| 12.627ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 | MAXDELAY | 4.053ns| 12.614ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 | MAXDELAY | 4.178ns| 12.489ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "clk_to_acq" OFFSET = OUT 40 ns AFTE | MAXDELAY | 4.349ns| 35.651ns| 0| 0 + R COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 | MAXDELAY | 4.359ns| 12.308ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 | MAXDELAY | 4.573ns| 12.094ns| 0| 0 + ns AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns | SETUP | 4.821ns| 7.179ns| 0| 0 + BEFORE COMP "clk_main" "RISING" | HOLD | 3.457ns| | 0| 0 +---------------------------------------------------------------------------------------------------------- + COMP "CS" OFFSET = IN 12 ns VALID 12 ns B | SETUP | 5.708ns| 6.292ns| 0| 0 + EFORE COMP "clk_main" "RISING" | HOLD | 2.894ns| | 0| 0 +---------------------------------------------------------------------------------------------------------- + COMP "ub_bar" OFFSET = OUT 16.667 ns AFTE | MAXDELAY | 8.046ns| 8.621ns| 0| 0 + R COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "oe_ram_bar" OFFSET = OUT 16.667 ns | MAXDELAY | 8.178ns| 8.489ns| 0| 0 + AFTER COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "we_bar" OFFSET = OUT 16.667 ns AFTE | MAXDELAY | 8.398ns| 8.269ns| 0| 0 + R COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "lb_bar" OFFSET = OUT 16.667 ns AFTE | MAXDELAY | 8.421ns| 8.246ns| 0| 0 + R COMP "clk_main" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<11>" OFFSET = IN 16.667 | SETUP | 8.976ns| 7.691ns| 0| 0 + ns VALID 16.667 ns BEFORE COMP "c | HOLD | 3.780ns| | 0| 0 + lk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<15>" OFFSET = IN 16.667 | SETUP | 9.164ns| 7.503ns| 0| 0 + ns VALID 16.667 ns BEFORE COMP "c | HOLD | 3.702ns| | 0| 0 + lk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<13>" OFFSET = IN 16.667 | SETUP | 9.466ns| 7.201ns| 0| 0 + ns VALID 16.667 ns BEFORE COMP "c | HOLD | 3.481ns| | 0| 0 + lk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<12>" OFFSET = IN 16.667 | SETUP | 9.471ns| 7.196ns| 0| 0 + ns VALID 16.667 ns BEFORE COMP "c | HOLD | 3.503ns| | 0| 0 + lk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<14>" OFFSET = IN 16.667 | SETUP | 9.535ns| 7.132ns| 0| 0 + ns VALID 16.667 ns BEFORE COMP "c | HOLD | 3.461ns| | 0| 0 + lk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "lock" OFFSET = IN 16.667 ns VALID 1 | SETUP | 10.582ns| 6.085ns| 0| 0 + 6.667 ns BEFORE COMP "clk_main" " | HOLD | 1.602ns| | 0| 0 + RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<9>" OFFSET = IN 16.667 n | SETUP | 10.593ns| 6.074ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 2.753ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<10>" OFFSET = IN 16.667 | SETUP | 10.685ns| 5.982ns| 0| 0 + ns VALID 16.667 ns BEFORE COMP "c | HOLD | 2.754ns| | 0| 0 + lk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<8>" OFFSET = IN 16.667 n | SETUP | 10.751ns| 5.916ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 2.696ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "clk_acq_ch4" OFFSET = IN 16.667 ns | SETUP | 10.790ns| 5.877ns| 0| 0 + VALID 16.667 ns BEFORE COMP "clk_ | HOLD | 2.755ns| | 0| 0 + main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "clk_acq_ch5" OFFSET = IN 16.667 ns | SETUP | 10.806ns| 5.861ns| 0| 0 + VALID 16.667 ns BEFORE COMP "clk_ | HOLD | 2.688ns| | 0| 0 + main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16 | SETUP | 11.158ns| 5.509ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 2.506ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16 | SETUP | 11.535ns| 5.132ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 2.294ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16 | SETUP | 11.582ns| 5.085ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 2.309ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "clk_acq_ch2" OFFSET = IN 16.667 ns | SETUP | 11.646ns| 5.021ns| 0| 0 + VALID 16.667 ns BEFORE COMP "clk_ | HOLD | 2.226ns| | 0| 0 + main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<6>" OFFSET = IN 16.667 n | SETUP | 11.656ns| 5.011ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 2.222ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "scl_rpi" OFFSET = IN 16.667 ns VALI | SETUP | 11.713ns| 4.954ns| 0| 0 + D 16.667 ns BEFORE COMP "clk_main" | HOLD | 2.053ns| | 0| 0 + "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16 | SETUP | 11.713ns| 4.954ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 2.133ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<4>" OFFSET = IN 16.667 n | SETUP | 11.833ns| 4.834ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 2.135ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16 | SETUP | 11.860ns| 4.807ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 2.066ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<7>" OFFSET = IN 16.667 n | SETUP | 11.876ns| 4.791ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 2.009ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<1>" OFFSET = IN 16.667 n | SETUP | 11.877ns| 4.790ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 2.068ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<3>" OFFSET = IN 16.667 n | SETUP | 11.894ns| 4.773ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 2.073ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "clk_acq_ch3" OFFSET = IN 16.667 ns | SETUP | 11.956ns| 4.711ns| 0| 0 + VALID 16.667 ns BEFORE COMP "clk_ | HOLD | 2.027ns| | 0| 0 + main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "pps" OFFSET = IN 16.667 ns VALID 16 | SETUP | 11.982ns| 4.685ns| 0| 0 + .667 ns BEFORE COMP "clk_main" "R | HOLD | 1.955ns| | 0| 0 + ISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<5>" OFFSET = IN 16.667 n | SETUP | 11.984ns| 4.683ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 2.004ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<2>" OFFSET = IN 16.667 n | SETUP | 12.022ns| 4.645ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 1.974ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16 | SETUP | 12.089ns| 4.578ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.907ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16 | SETUP | 12.090ns| 4.577ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.923ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16 | SETUP | 12.131ns| 4.536ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.894ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16 | SETUP | 12.162ns| 4.505ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.822ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16 | SETUP | 12.187ns| 4.480ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.888ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16 | SETUP | 12.202ns| 4.465ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.818ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16 | SETUP | 12.202ns| 4.465ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.843ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "DATA_INOUT<0>" OFFSET = IN 16.667 n | SETUP | 12.227ns| 4.440ns| 0| 0 + s VALID 16.667 ns BEFORE COMP "cl | HOLD | 1.842ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16 | SETUP | 12.281ns| 4.386ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.744ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16 | SETUP | 12.326ns| 4.341ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.731ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16 | SETUP | 12.429ns| 4.238ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.687ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16 | SETUP | 12.579ns| 4.088ns| 0| 0 + .667 ns VALID 16.667 ns BEFORE COMP | HOLD | 1.582ns| | 0| 0 + "clk_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "sda_rpi" OFFSET = IN 16.667 ns VALI | SETUP | 12.691ns| 3.976ns| 0| 0 + D 16.667 ns BEFORE COMP "clk_main" | HOLD | 1.530ns| | 0| 0 + "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "SCK" OFFSET = IN 25 ns VALID 25 ns | SETUP | 19.466ns| 5.534ns| 0| 0 + BEFORE COMP "clk_main" "RISING" | HOLD | 2.480ns| | 0| 0 +---------------------------------------------------------------------------------------------------------- + TS_clk_mang_c_16MHz = PERIOD TIMEGRP "clk | MINPERIOD | 60.771ns| 1.730ns| 0| 0 + _mang_c_16MHz" TS_clk_main / 0.26 | | | | | + 6666667 HIGH 50% | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch2<2>" OFFSET = IN 80 | SETUP | 795.089ns| 4.911ns| 0| 0 + 0 ns VALID 800 ns BEFORE COMP "cl | HOLD | 2.089ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "clk_acq_ch1" OFFSET = IN 800 ns VAL | SETUP | 795.172ns| 4.828ns| 0| 0 + ID 800 ns BEFORE COMP "clk_main" | HOLD | 2.085ns| | 0| 0 + "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch2<1>" OFFSET = IN 80 | SETUP | 795.421ns| 4.579ns| 0| 0 + 0 ns VALID 800 ns BEFORE COMP "cl | HOLD | 1.962ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch2<0>" OFFSET = IN 80 | SETUP | 795.431ns| 4.569ns| 0| 0 + 0 ns VALID 800 ns BEFORE COMP "cl | HOLD | 1.984ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + COMP "chn_bits_acq_ch2<3>" OFFSET = IN 80 | SETUP | 795.535ns| 4.465ns| 0| 0 + 0 ns VALID 800 ns BEFORE COMP "cl | HOLD | 1.842ns| | 0| 0 + k_main" "RISING" | | | | | +---------------------------------------------------------------------------------------------------------- + + +Derived Constraint Report +Review Timing Report for more details on the following derived constraints. +To create a Timing Report, run "trce -v 12 -fastpaths -o design_timing_report design.ncd design.pcf" +or "Run Timing Analysis" from Timing Analyzer (timingan). +Derived Constraints for TS_clk_main ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +| | Period | Actual Period | Timing Errors | Paths Analyzed | +| Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| +| | | Direct | Derivative | Direct | Derivative | Direct | Derivative | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +|TS_clk_main | 16.667ns| 8.000ns| 15.212ns| 0| 0| 0| 5931| +| TS_clk_u_proc | 4.167ns| 3.803ns| N/A| 0| 0| 5931| 0| +| TS_clk_mang_c_16MHz | 62.501ns| 1.730ns| N/A| 0| 0| 0| 0| ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ + +All constraints were met. + + +Generating Pad Report. + +All signals are completely routed. + +Total REAL time to PAR completion: 26 secs +Total CPU time to PAR completion: 26 secs + +Peak Memory Usage: 345 MB + +Placer: Placement generated during map. +Routing: Completed - No errors found. +Timing: Completed - No errors found. + +Number of error messages: 0 +Number of warning messages: 0 +Number of info messages: 0 + +Writing design to file chn5_mem_spi_joint.ncd + + + +PAR done! Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.pcf =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.pcf b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.pcf new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.pcf (revision 224) @@ -0,0 +1,771 @@ +//! ************************************************************************** +// Written by: Map P.20131013 on Mon May 23 12:38:16 2016 +//! ************************************************************************** + +SCHEMATIC START; +COMP "CS" LOCATE = SITE "P97" LEVEL 1; +COMP "SCK" LOCATE = SITE "P95" LEVEL 1; +COMP "pps" LOCATE = SITE "P78" LEVEL 1; +COMP "MISO" LOCATE = SITE "P98" LEVEL 1; +COMP "MOSI" LOCATE = SITE "P99" LEVEL 1; +COMP "lock" LOCATE = SITE "P82" LEVEL 1; +COMP "addr<0>" LOCATE = SITE "P143" LEVEL 1; +COMP "addr<1>" LOCATE = SITE "P142" LEVEL 1; +COMP "addr<2>" LOCATE = SITE "P141" LEVEL 1; +COMP "addr<3>" LOCATE = SITE "P140" LEVEL 1; +COMP "addr<4>" LOCATE = SITE "P139" LEVEL 1; +COMP "addr<5>" LOCATE = SITE "P144" LEVEL 1; +COMP "addr<6>" LOCATE = SITE "P1" LEVEL 1; +COMP "addr<7>" LOCATE = SITE "P2" LEVEL 1; +COMP "addr<8>" LOCATE = SITE "P21" LEVEL 1; +COMP "addr<9>" LOCATE = SITE "P22" LEVEL 1; +COMP "chn_bits_acq_ch1<0>" LOCATE = SITE "P112" LEVEL 1; +COMP "chn_bits_acq_ch1<1>" LOCATE = SITE "P114" LEVEL 1; +COMP "chn_bits_acq_ch1<2>" LOCATE = SITE "P115" LEVEL 1; +COMP "chn_bits_acq_ch1<3>" LOCATE = SITE "P116" LEVEL 1; +COMP "chn_bits_acq_ch2<0>" LOCATE = SITE "P118" LEVEL 1; +COMP "chn_bits_acq_ch2<1>" LOCATE = SITE "P119" LEVEL 1; +COMP "chn_bits_acq_ch2<2>" LOCATE = SITE "P120" LEVEL 1; +COMP "chn_bits_acq_ch2<3>" LOCATE = SITE "P121" LEVEL 1; +COMP "chn_bits_acq_ch3<0>" LOCATE = SITE "P124" LEVEL 1; +COMP "chn_bits_acq_ch3<1>" LOCATE = SITE "P126" LEVEL 1; +COMP "chn_bits_acq_ch3<2>" LOCATE = SITE "P127" LEVEL 1; +COMP "chn_bits_acq_ch3<3>" LOCATE = SITE "P131" LEVEL 1; +COMP "chn_bits_acq_ch4<0>" LOCATE = SITE "P133" LEVEL 1; +COMP "chn_bits_acq_ch4<1>" LOCATE = SITE "P134" LEVEL 1; +COMP "chn_bits_acq_ch4<2>" LOCATE = SITE "P137" LEVEL 1; +COMP "chn_bits_acq_ch4<3>" LOCATE = SITE "P138" LEVEL 1; +COMP "chn_bits_acq_ch5<0>" LOCATE = SITE "P58" LEVEL 1; +COMP "chn_bits_acq_ch5<1>" LOCATE = SITE "P59" LEVEL 1; +COMP "chn_bits_acq_ch5<2>" LOCATE = SITE "P61" LEVEL 1; +COMP "chn_bits_acq_ch5<3>" LOCATE = SITE "P62" LEVEL 1; +COMP "sda_rpi" LOCATE = SITE "P83" LEVEL 1; +COMP "scl_rpi" LOCATE = SITE "P85" LEVEL 1; +COMP "DATA_INOUT<0>" LOCATE = SITE "P32" LEVEL 1; +COMP "DATA_INOUT<1>" LOCATE = SITE "P33" LEVEL 1; +COMP "DATA_INOUT<2>" LOCATE = SITE "P34" LEVEL 1; +COMP "DATA_INOUT<3>" LOCATE = SITE "P35" LEVEL 1; +COMP "DATA_INOUT<4>" LOCATE = SITE "P38" LEVEL 1; +COMP "DATA_INOUT<5>" LOCATE = SITE "P39" LEVEL 1; +COMP "DATA_INOUT<6>" LOCATE = SITE "P40" LEVEL 1; +COMP "DATA_INOUT<7>" LOCATE = SITE "P41" LEVEL 1; +COMP "DATA_INOUT<8>" LOCATE = SITE "P16" LEVEL 1; +COMP "DATA_INOUT<9>" LOCATE = SITE "P15" LEVEL 1; +COMP "addr<10>" LOCATE = SITE "P23" LEVEL 1; +COMP "addr<11>" LOCATE = SITE "P24" LEVEL 1; +COMP "addr<12>" LOCATE = SITE "P48" LEVEL 1; +COMP "addr<13>" LOCATE = SITE "P47" LEVEL 1; +COMP "addr<14>" LOCATE = SITE "P46" LEVEL 1; +COMP "addr<15>" LOCATE = SITE "P45" LEVEL 1; +COMP "addr<16>" LOCATE = SITE "P44" LEVEL 1; +COMP "addr<17>" LOCATE = SITE "P26" LEVEL 1; +COMP "addr<18>" LOCATE = SITE "P17" LEVEL 1; +COMP "clk_to_acq" LOCATE = SITE "P80" LEVEL 1; +COMP "clk_main" LOCATE = SITE "P51" LEVEL 1; +COMP "lb_bar" LOCATE = SITE "P7" LEVEL 1; +COMP "ub_bar" LOCATE = SITE "P6" LEVEL 1; +COMP "we_bar" LOCATE = SITE "P43" LEVEL 1; +COMP "DATA_INOUT<10>" LOCATE = SITE "P14" LEVEL 1; +COMP "DATA_INOUT<11>" LOCATE = SITE "P12" LEVEL 1; +COMP "DATA_INOUT<12>" LOCATE = SITE "P11" LEVEL 1; +COMP "DATA_INOUT<13>" LOCATE = SITE "P10" LEVEL 1; +COMP "DATA_INOUT<14>" LOCATE = SITE "P9" LEVEL 1; +COMP "DATA_INOUT<15>" LOCATE = SITE "P8" LEVEL 1; +COMP "oe_ram_bar" LOCATE = SITE "P5" LEVEL 1; +COMP "clk_acq_ch1" LOCATE = SITE "P111" LEVEL 1; +COMP "clk_acq_ch2" LOCATE = SITE "P117" LEVEL 1; +COMP "clk_acq_ch3" LOCATE = SITE "P123" LEVEL 1; +COMP "clk_acq_ch4" LOCATE = SITE "P132" LEVEL 1; +COMP "clk_acq_ch5" LOCATE = SITE "P57" LEVEL 1; +TIMEGRP clk_u_proc = BEL "pps_rst" BEL "pps_r_0" BEL "pps_r_1" BEL + "reset_gen.cont_200MHz_0" BEL "reset_gen.cont_200MHz_1" BEL + "reset_gen.cont_200MHz_2" BEL "reset_gen.cont_200MHz_3" BEL + "reset_gen.cont_200MHz_4" BEL "reset_gen.cont_200MHz_5" BEL + "reset_gen.cont_200MHz_6" BEL "reset_gen.cont_200MHz_7" BEL + "reset_gen.cont_200MHz_8" BEL "reset_gen.cont_200MHz_9" BEL + "reset_gen.cont_200MHz_10" BEL "reset_gen.cont_200MHz_11" BEL + "reset_gen.cont_200MHz_12" BEL "reset_gen.cont_200MHz_13" BEL + "reset_gen.cont_200MHz_14" BEL "reset_gen.cont_200MHz_15" BEL + "interfaz_spi/cabecera_memoria/ptr_mem_0" BEL + "interfaz_spi/cabecera_memoria/ptr_mem_1" BEL + "interfaz_spi/cabecera_memoria/ptr_mem_2" BEL "i2c_comp/bit_counter_1" + BEL "i2c_comp/bit_counter_0" BEL "i2c_comp/flanco_SCL_1" BEL + "i2c_comp/flanco_SCL_0" BEL "i2c_comp/inc_count" BEL + "nb_mem_cont/nibble_pack_ch1/nibble_counter_2" BEL + "nb_mem_cont/nibble_pack_ch1/nibble_counter_1" BEL + "nb_mem_cont/nibble_pack_ch1/nibble_counter_0" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_23" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_22" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_21" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_20" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_19" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_18" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_17" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_16" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_15" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_14" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_13" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_12" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_11" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_10" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_9" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_8" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_7" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_6" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_5" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_4" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_3" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_2" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_1" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_0" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_23" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_22" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_21" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_20" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_19" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_18" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_17" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_16" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_15" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_14" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_13" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_12" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_11" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_10" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_9" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_8" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_7" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_6" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_5" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_4" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_3" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_2" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_1" BEL + "nb_mem_cont/nibble_pack_ch1/resul_mem_internal_0" BEL + "nb_mem_cont/nibble_pack_ch2/nibble_counter_2" BEL + "nb_mem_cont/nibble_pack_ch2/nibble_counter_1" BEL + "nb_mem_cont/nibble_pack_ch2/nibble_counter_0" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_23" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_22" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_21" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_20" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_19" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_18" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_17" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_16" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_15" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_14" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_13" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_12" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_11" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_10" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_9" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_8" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_7" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_6" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_5" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_4" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_3" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_2" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_1" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_0" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_23" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_22" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_21" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_20" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_19" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_18" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_17" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_16" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_15" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_14" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_13" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_12" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_11" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_10" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_9" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_8" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_7" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_6" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_5" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_4" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_3" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_2" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_1" BEL + "nb_mem_cont/nibble_pack_ch2/resul_mem_internal_0" BEL + "nb_mem_cont/nibble_pack_ch3/nibble_counter_2" BEL + "nb_mem_cont/nibble_pack_ch3/nibble_counter_1" BEL + "nb_mem_cont/nibble_pack_ch3/nibble_counter_0" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_23" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_22" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_21" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_20" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_19" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_18" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_17" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_16" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_15" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_14" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_13" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_12" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_11" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_10" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_9" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_8" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_7" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_6" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_5" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_4" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_3" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_2" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_1" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_0" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_23" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_22" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_21" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_20" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_19" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_18" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_17" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_16" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_15" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_14" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_13" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_12" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_11" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_10" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_9" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_8" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_7" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_6" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_5" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_4" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_3" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_2" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_1" BEL + "nb_mem_cont/nibble_pack_ch3/resul_mem_internal_0" BEL + "nb_mem_cont/nibble_pack_ch4/nibble_counter_2" BEL + "nb_mem_cont/nibble_pack_ch4/nibble_counter_1" BEL + "nb_mem_cont/nibble_pack_ch4/nibble_counter_0" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_23" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_22" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_21" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_20" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_19" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_18" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_17" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_16" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_15" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_14" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_13" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_12" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_11" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_10" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_9" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_8" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_7" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_6" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_5" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_4" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_3" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_2" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_1" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_aux_0" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_23" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_22" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_21" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_20" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_19" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_18" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_17" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_16" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_15" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_14" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_13" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_12" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_11" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_10" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_9" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_8" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_7" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_6" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_5" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_4" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_3" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_2" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_1" BEL + "nb_mem_cont/nibble_pack_ch4/resul_mem_internal_0" BEL + "nb_mem_cont/nibble_pack_ch5/nibble_counter_2" BEL + "nb_mem_cont/nibble_pack_ch5/nibble_counter_1" BEL + "nb_mem_cont/nibble_pack_ch5/nibble_counter_0" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_23" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_22" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_21" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_20" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_19" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_18" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_17" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_16" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_15" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_14" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_13" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_12" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_11" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_10" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_9" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_8" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_7" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_6" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_5" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_4" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_3" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_2" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_1" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux_0" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_23" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_22" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_21" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_20" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_19" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_18" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_17" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_16" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_15" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_14" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_13" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_12" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_11" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_10" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_9" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_8" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_7" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_6" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_5" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_4" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_3" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_2" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_1" BEL + "nb_mem_cont/nibble_pack_ch5/resul_mem_internal_0" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd5" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd8" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd15" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd18" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd20" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd23" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd39" BEL + "interfaz_spi/controlador_spi_esclavo/spi_cur_state_FSM_FFd1" BEL + "interfaz_spi/controlador_spi_esclavo/bit_counter_2" BEL + "interfaz_spi/controlador_spi_esclavo/bit_counter_1" BEL + "interfaz_spi/controlador_spi_esclavo/bit_counter_0" BEL + "interfaz_spi/controlador_spi_esclavo/spi_ready_aux" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_in_7" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_in_6" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_in_5" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_in_4" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_in_3" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_in_2" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_in_1" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_in_0" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_out_7" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_out_6" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_out_5" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_out_4" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_out_3" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_out_2" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_out_1" BEL + "interfaz_spi/controlador_spi_esclavo/buffer_out_0" BEL + "interfaz_spi/controlador_spi_esclavo/MOSIr_1" BEL + "interfaz_spi/controlador_spi_esclavo/MOSIr_0" BEL + "interfaz_spi/controlador_spi_principal/spi_contrl_cur_state_FSM_FFd1" + BEL + "interfaz_spi/controlador_spi_principal/spi_contrl_cur_state_FSM_FFd2" + BEL + "interfaz_spi/controlador_spi_principal/spi_contrl_cur_state_FSM_FFd3" + BEL + "interfaz_spi/controlador_spi_principal/spi_contrl_cur_state_FSM_FFd4" + BEL + "interfaz_spi/controlador_spi_principal/spi_contrl_cur_state_FSM_FFd5" + BEL "interfaz_spi/controlador_spi_principal/count_pac_11" BEL + "interfaz_spi/controlador_spi_principal/count_pac_10" BEL + "interfaz_spi/controlador_spi_principal/count_pac_9" BEL + "interfaz_spi/controlador_spi_principal/count_pac_8" BEL + "interfaz_spi/controlador_spi_principal/count_pac_7" BEL + "interfaz_spi/controlador_spi_principal/count_pac_6" BEL + "interfaz_spi/controlador_spi_principal/count_pac_5" BEL + "interfaz_spi/controlador_spi_principal/count_pac_4" BEL + "interfaz_spi/controlador_spi_principal/count_pac_3" BEL + "interfaz_spi/controlador_spi_principal/count_pac_2" BEL + "interfaz_spi/controlador_spi_principal/count_pac_1" BEL + "interfaz_spi/controlador_spi_principal/count_pac_0" BEL + "interfaz_spi/controlador_spi_principal/cmd_cur_state" BEL + "interfaz_spi/controlador_spi_principal/word_fromspi_int_7" BEL + "interfaz_spi/controlador_spi_principal/word_fromspi_int_6" BEL + "interfaz_spi/controlador_spi_principal/word_fromspi_int_5" BEL + "interfaz_spi/controlador_spi_principal/word_fromspi_int_4" BEL + "interfaz_spi/controlador_spi_principal/word_fromspi_int_3" BEL + "interfaz_spi/controlador_spi_principal/word_fromspi_int_2" BEL + "interfaz_spi/controlador_spi_principal/word_fromspi_int_1" BEL + "interfaz_spi/controlador_spi_principal/word_fromspi_int_0" BEL + "interfaz_spi/controlador_spi_principal/word_int_15" BEL + "interfaz_spi/controlador_spi_principal/word_int_14" BEL + "interfaz_spi/controlador_spi_principal/word_int_13" BEL + "interfaz_spi/controlador_spi_principal/word_int_12" BEL + "interfaz_spi/controlador_spi_principal/word_int_11" BEL + "interfaz_spi/controlador_spi_principal/word_int_10" BEL + "interfaz_spi/controlador_spi_principal/word_int_9" BEL + "interfaz_spi/controlador_spi_principal/word_int_8" BEL + "interfaz_spi/controlador_spi_principal/word_int_7" BEL + "interfaz_spi/controlador_spi_principal/word_int_6" BEL + "interfaz_spi/controlador_spi_principal/word_int_5" BEL + "interfaz_spi/controlador_spi_principal/word_int_4" BEL + "interfaz_spi/controlador_spi_principal/word_int_3" BEL + "interfaz_spi/controlador_spi_principal/word_int_2" BEL + "interfaz_spi/controlador_spi_principal/word_int_1" BEL + "interfaz_spi/controlador_spi_principal/word_int_0" BEL + "clk_u_proc_BUFG" BEL "i2c_comp/SCLoe" BEL + "interfaz_spi/controlador_spi_esclavo/spi_cur_state_FSM_FFd2" BEL + "interfaz_spi/controlador_spi_esclavo/SCKr_1" BEL + "interfaz_spi/controlador_spi_esclavo/SCKr_0" BEL "lock_rst_bar" BEL + "interfaz_spi/cabecera_memoria/header_cur_state_FSM_FFd2" BEL + "interfaz_spi/cabecera_memoria/header_cur_state_FSM_FFd1" BEL + "i2c_comp/SDAoe" BEL + "nb_mem_cont/nibble_pack_ch1/data_cur_state_FSM_FFd1" BEL + "nb_mem_cont/nibble_pack_ch1/rdy_mem_aux" BEL + "nb_mem_cont/nibble_pack_ch1/clk_acq_fall" BEL + "nb_mem_cont/nibble_pack_ch1/clk_acq_rise" BEL + "nb_mem_cont/nibble_pack_ch2/data_cur_state_FSM_FFd1" BEL + "nb_mem_cont/nibble_pack_ch2/rdy_mem_aux" BEL + "nb_mem_cont/nibble_pack_ch2/clk_acq_fall" BEL + "nb_mem_cont/nibble_pack_ch2/clk_acq_rise" BEL + "nb_mem_cont/nibble_pack_ch3/data_cur_state_FSM_FFd1" BEL + "nb_mem_cont/nibble_pack_ch3/rdy_mem_aux" BEL + "nb_mem_cont/nibble_pack_ch3/clk_acq_fall" BEL + "nb_mem_cont/nibble_pack_ch3/clk_acq_rise" BEL + "nb_mem_cont/nibble_pack_ch4/data_cur_state_FSM_FFd1" BEL + "nb_mem_cont/nibble_pack_ch4/rdy_mem_aux" BEL + "nb_mem_cont/nibble_pack_ch4/clk_acq_fall" BEL + "nb_mem_cont/nibble_pack_ch4/clk_acq_rise" BEL + "nb_mem_cont/nibble_pack_ch5/data_cur_state_FSM_FFd1" BEL + "nb_mem_cont/nibble_pack_ch5/rdy_mem_aux" BEL + "nb_mem_cont/nibble_pack_ch5/clk_acq_fall" BEL + "nb_mem_cont/nibble_pack_ch5/clk_acq_rise" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32" BEL + "interfaz_spi/controlador_spi_esclavo/SCK_fall" BEL + "interfaz_spi/controlador_spi_esclavo/SCK_rise" BEL + "i2c_comp/bit_counter_2" BEL "nb_mem_cont/nibble_pack_ch1/start_count" + BEL "nb_mem_cont/nibble_pack_ch2/start_count" BEL + "nb_mem_cont/nibble_pack_ch3/start_count" BEL + "nb_mem_cont/nibble_pack_ch4/start_count" BEL + "nb_mem_cont/nibble_pack_ch5/start_count" BEL + "nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_1" BEL + "nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0" BEL + "nb_mem_cont/nibble_pack_ch1/clk_acq_r_2" BEL + "nb_mem_cont/nibble_pack_ch1/clk_acq_r_1" BEL + "nb_mem_cont/nibble_pack_ch1/clk_acq_r_0" BEL + "nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_1" BEL + "nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0" BEL + "nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_1" BEL + "nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0" BEL + "nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_1" BEL + "nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0" BEL + "nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_1" BEL + "nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0" BEL + "nb_mem_cont/nibble_pack_ch2/clk_acq_r_2" BEL + "nb_mem_cont/nibble_pack_ch2/clk_acq_r_1" BEL + "nb_mem_cont/nibble_pack_ch2/clk_acq_r_0" BEL + "nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_1" BEL + "nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0" BEL + "nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_1" BEL + "nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0" BEL + "nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_1" BEL + "nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0" BEL + "nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_1" BEL + "nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0" BEL + "nb_mem_cont/nibble_pack_ch3/clk_acq_r_2" BEL + "nb_mem_cont/nibble_pack_ch3/clk_acq_r_1" BEL + "nb_mem_cont/nibble_pack_ch3/clk_acq_r_0" BEL + "nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_1" BEL + "nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0" BEL + "nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_1" BEL + "nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0" BEL + "nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_1" BEL + "nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0" BEL + "nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_1" BEL + "nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0" BEL + "nb_mem_cont/nibble_pack_ch4/clk_acq_r_2" BEL + "nb_mem_cont/nibble_pack_ch4/clk_acq_r_1" BEL + "nb_mem_cont/nibble_pack_ch4/clk_acq_r_0" BEL + "nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_1" BEL + "nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0" BEL + "nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_1" BEL + "nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0" BEL + "nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_1" BEL + "nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0" BEL + "nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_1" BEL + "nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0" BEL + "nb_mem_cont/nibble_pack_ch5/clk_acq_r_2" BEL + "nb_mem_cont/nibble_pack_ch5/clk_acq_r_1" BEL + "nb_mem_cont/nibble_pack_ch5/clk_acq_r_0" BEL + "nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_1" BEL + "nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0" BEL + "nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_1" BEL + "nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0" BEL + "nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_1" BEL + "nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd6" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd11" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd40" BEL + "nb_mem_cont/ram_control/ch_cont_cur_state_FSM_FFd2" BEL + "nb_mem_cont/nibble_pack_ch1/data_cur_state_FSM_FFd2" BEL + "nb_mem_cont/nibble_pack_ch2/data_cur_state_FSM_FFd2" BEL + "nb_mem_cont/nibble_pack_ch3/data_cur_state_FSM_FFd2" BEL + "nb_mem_cont/nibble_pack_ch4/data_cur_state_FSM_FFd2" BEL + "nb_mem_cont/nibble_pack_ch5/data_cur_state_FSM_FFd2" BEL + "nb_mem_cont/ram_control/ch_cont_cur_state_FSM_FFd3" BEL + "nb_mem_cont/ram_control/ch_cont_cur_state_FSM_FFd1" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_0" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_0" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_0" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_0" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_0" BEL + "nb_mem_cont/ram_control/count_slw_1" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1" BEL + "nb_mem_cont/ram_control/count_slw_2" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd41" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd22" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd28" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd12" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd17" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_1" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_1" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_1" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_1" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_1" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd7" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd33" BEL + "nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd35" BEL + "nb_mem_cont/ram_control/ch_cont_cur_state_FSM_FFd4" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_11" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_10" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_9" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_8" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_7" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_6" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_5" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_4" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_3" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_2" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_1" BEL + "nb_mem_cont/ram_control/addr_rd_aux_int_0" BEL "lock_rst_bar_1" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_5" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_4" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_2" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_5" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_4" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_2" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_5" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_4" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_2" BEL + "nb_mem_cont/ram_control/count_slw_3" BEL + "nb_mem_cont/ram_control/count_slw_0" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_5" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_4" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_2" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_5" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_4" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_2" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_8" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_6" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_8" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_6" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_8" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_6" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_8" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_6" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_8" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_6" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_7" BEL + "nb_mem_cont/ram_control/rel_pos_ch5_int_3" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_7" BEL + "nb_mem_cont/ram_control/rel_pos_ch4_int_3" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_7" BEL + "nb_mem_cont/ram_control/rel_pos_ch3_int_3" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_7" BEL + "nb_mem_cont/ram_control/rel_pos_ch2_int_3" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_7" BEL + "nb_mem_cont/ram_control/rel_pos_ch1_int_3" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_11" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_10" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_9" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_8" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_7" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_6" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_5" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_4" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_3" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_2" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_1" BEL + "nb_mem_cont/ram_control/addr_erase_aux_int_0" BEL + "interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1" BEL + "interfaz_spi/controlador_spi_esclavo/CSr_1"; +PIN clk_mang/ODDR2_inst_pins<1> = BEL "clk_mang/ODDR2_inst" PINNAME CK0; +PIN clk_mang/ODDR2_inst_pins<2> = BEL "clk_mang/ODDR2_inst" PINNAME CK1; +TIMEGRP clk_mang_c_16MHz = BEL "clk_mang/c_16MHz_BUFG" PIN + "clk_mang/ODDR2_inst_pins<1>" PIN "clk_mang/ODDR2_inst_pins<2>" PIN + "clk_mang/ODDR2_inst_pins<1>" PIN "clk_mang/ODDR2_inst_pins<2>"; +PIN SP6_BUFIO_INSERT_ML_BUFIO2_0_pins<0> = BEL "SP6_BUFIO_INSERT_ML_BUFIO2_0" + PINNAME DIVCLK; +PIN clk_mang/DCM_SP_inst_pins<3> = BEL "clk_mang/DCM_SP_inst" PINNAME CLKIN; +PIN SP6_BUFIO_INSERT_ML_BUFIO2_1_pins<0> = BEL "SP6_BUFIO_INSERT_ML_BUFIO2_1" + PINNAME DIVCLK; +PIN clk_mang/DCM_SP_inst_int_pins<3> = BEL "clk_mang/DCM_SP_inst_int" PINNAME + CLKIN; +TIMEGRP clk_main = PIN "SP6_BUFIO_INSERT_ML_BUFIO2_0_pins<0>" PIN + "clk_mang/DCM_SP_inst_pins<3>" PIN + "SP6_BUFIO_INSERT_ML_BUFIO2_1_pins<0>" PIN + "clk_mang/DCM_SP_inst_int_pins<3>"; +TS_clk_main = PERIOD TIMEGRP "clk_main" 16.667 ns HIGH 50%; +TS_clk_u_proc = PERIOD TIMEGRP "clk_u_proc" TS_clk_main / 4 HIGH 50%; +TS_clk_mang_c_16MHz = PERIOD TIMEGRP "clk_mang_c_16MHz" TS_clk_main / + 0.266666667 HIGH 50%; +COMP "DATA_INOUT<15>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<14>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<13>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<12>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<11>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<10>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<9>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<8>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<7>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<6>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<5>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<4>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "DATA_INOUT<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +COMP "sda_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +COMP "scl_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +COMP "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +COMP "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" + "RISING"; +COMP "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"; +COMP "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE COMP "clk_main" "RISING"; +COMP "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"; +COMP "we_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "ub_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "lb_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +COMP "MISO" OFFSET = OUT 10 ns AFTER COMP "clk_main"; +COMP "clk_to_acq" OFFSET = OUT 40 ns AFTER COMP "clk_main"; +SCHEMATIC END; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.prj =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.prj b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.prj new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.prj (revision 224) @@ -0,0 +1,13 @@ +vhdl work "W_R_RAM_CONTROLLER.vhd" +vhdl work "SPI_SLAVE.vhd" +vhdl work "spi_controller.vhd" +vhdl work "SEL_WRbar.vhd" +vhdl work "nibble_pack.vhd" +vhdl work "mux_np.vhd" +vhdl work "header_memory.vhd" +vhdl work "data_header_ram.vhd" +vhdl work "u_np_mux_memcon_seliobar.vhd" +vhdl work "i2c_inst.vhd" +vhdl work "header_spi_interface.vhd" +vhdl work "DCM_fwd_int.vhd" +vhdl work "chn5_mem_spi_joint.vhd" Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ptwx =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ptwx b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ptwx new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ptwx (revision 224) @@ -0,0 +1,332 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +]> +TS_clk_u_proc = PERIOD TIMEGRP "clk_u_proc" TS_clk_main / 4 HIGH 50%TS_clk_main = PERIOD TIMEGRP "clk_main" 16.667 ns HIGH 50%COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "MISO" OFFSET = OUT 10 ns AFTER COMP "clk_main"COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "scl_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "sda_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "clk_to_acq" OFFSET = OUT 40 ns AFTER COMP "clk_main"COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"COMP "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"COMP "ub_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "we_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "lb_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"COMP "DATA_INOUT<11>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<15>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<13>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<12>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<14>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<9>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<10>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<8>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<6>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<4>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<7>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<5>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "DATA_INOUT<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"COMP "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE COMP "clk_main" "RISING"TS_clk_mang_c_16MHz = PERIOD TIMEGRP "clk_mang_c_16MHz" TS_clk_main / 0.266666667 HIGH 50%COMP "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"COMP "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"COMP "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"0 Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.stx =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.stx b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.stx new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.stx (revision 224) Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.syr =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.syr b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.syr new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.syr (revision 224) @@ -0,0 +1,1013 @@ +Release 14.7 - xst P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +--> Parameter TMPDIR set to xst/projnav.tmp + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.12 secs + +--> Parameter xsthdpdir set to xst + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.12 secs + +--> Reading design: chn5_mem_spi_joint.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Parsing + 3) HDL Elaboration + 4) HDL Synthesis + 4.1) HDL Synthesis Report + 5) Advanced HDL Synthesis + 5.1) Advanced HDL Synthesis Report + 6) Low Level Synthesis + 7) Partition Report + 8) Design Summary + 8.1) Primitive and Black Box Usage + 8.2) Device utilization summary + 8.3) Partition Resource Summary + 8.4) Timing Report + 8.4.1) Clock Information + 8.4.2) Asynchronous Control Signals Information + 8.4.3) Timing Summary + 8.4.4) Timing Details + 8.4.5) Cross Clock Domains Report + + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : "chn5_mem_spi_joint.prj" +Ignore Synthesis Constraint File : NO + +---- Target Parameters +Output File Name : "chn5_mem_spi_joint" +Output Format : NGC +Target Device : xc6slx9-3-tqg144 + +---- Source Options +Top Module Name : chn5_mem_spi_joint +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Safe Implementation : No +FSM Style : LUT +RAM Extraction : Yes +RAM Style : Auto +ROM Extraction : Yes +Shift Register Extraction : YES +ROM Style : Auto +Resource Sharing : YES +Asynchronous To Synchronous : NO +Shift Register Minimum Size : 2 +Use DSP Block : Auto +Automatic Register Balancing : No + +---- Target Options +LUT Combining : Auto +Reduce Control Sets : Auto +Add IO Buffers : YES +Global Maximum Fanout : 100000 +Add Generic Clock Buffer(BUFG) : 16 +Register Duplication : YES +Optimize Instantiated Primitives : NO +Use Clock Enable : Auto +Use Synchronous Set : Auto +Use Synchronous Reset : Auto +Pack IO Registers into IOBs : Auto +Equivalent register Removal : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Power Reduction : NO +Keep Hierarchy : No +Netlist Hierarchy : As_Optimized +RTL Output : Yes +Global Optimization : AllClockNets +Read Cores : YES +Write Timing Constraints : NO +Cross Clock Analysis : NO +Hierarchy Separator : / +Bus Delimiter : <> +Case Specifier : Maintain +Slice Utilization Ratio : 100 +BRAM Utilization Ratio : 100 +DSP48 Utilization Ratio : 100 +Auto BRAM Packing : NO +Slice Utilization Ratio Delta : 5 + +========================================================================= + + +========================================================================= +* HDL Parsing * +========================================================================= +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\W_R_RAM_CONTROLLER.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\SPI_SLAVE.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\spi_controller.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\SEL_WRbar.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\nibble_pack.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\mux_np.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\header_memory.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\data_header_ram.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\u_np_mux_memcon_seliobar.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\i2c_inst.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\header_spi_interface.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\DCM_fwd_int.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.vhd" into library work +Parsing entity . +Parsing architecture of entity . + +========================================================================= +* HDL Elaboration * +========================================================================= + +Elaborating entity (architecture ) with generics from library . + +Elaborating entity (architecture ) from library . + +Elaborating entity (architecture ) from library . + +Elaborating entity (architecture ) with generics from library . + +Elaborating entity (architecture ) with generics from library . +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\nibble_pack.vhd" Line 248. Case statement is complete. others clause is never selected + +Elaborating entity (architecture ) with generics from library . +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\W_R_RAM_CONTROLLER.vhd" Line 298. Case statement is complete. others clause is never selected +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\W_R_RAM_CONTROLLER.vhd" Line 405. Case statement is complete. others clause is never selected +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\W_R_RAM_CONTROLLER.vhd" Line 1667. Case statement is complete. others clause is never selected + +Elaborating entity (architecture ) with generics from library . + +Elaborating entity (architecture ) with generics from library . + +Elaborating entity (architecture ) with generics from library . + +Elaborating entity (architecture ) with generics from library . +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\SPI_SLAVE.vhd" Line 284. Case statement is complete. others clause is never selected + +Elaborating entity (architecture ) with generics from library . +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\spi_controller.vhd" Line 182. Case statement is complete. others clause is never selected +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\spi_controller.vhd" Line 440. Case statement is complete. others clause is never selected + +Elaborating entity (architecture ) with generics from library . +INFO:HDLCompiler:679 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\header_memory.vhd" Line 145. Case statement is complete. others clause is never selected + +Elaborating entity (architecture ) with generics from library . + +========================================================================= +* HDL Synthesis * +========================================================================= + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.vhd". + NIBBLE_SZ = 4 + RESUL_NP_SZ = 24 + RESUL_MUX_SZ = 16 + RESUL_RAM_SZ = 16 + CHAN_NUM = 5 + ADDR_LENGTH = 19 + SPI_NIBL_COUNT_WIDTH = 3 + HEADER_CONST_SZ = 4 + HEADER_CH_SZ = 4 + WORD_INT_MUX_SZ = 32 + WORD_OUT_MUX_SZ = 16 + RESUL_IOSEL_SZ = 16 + DATA_MUX_SZ = 16 + COUNT_HEADER_SZ = 3 + HEADER_SZ = 8 + DATA_HEADER_SZ = 16 + WORD_IN_SZ = 16 + WORD_INT_SZ = 16 + WORD_OUT_SZ = 8 + WORD_SPIIN_SZ = 8 + POS_WORD1 = 16 + POS_WORD2 = 8 + SPI_DATA_WIDTH = 8 + SPI_BIT_COUNT_WIDTH = 3 +INFO:Xst:3210 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.vhd" line 383: Output port of the instance is unconnected or connected to loadless signal. +INFO:Xst:3210 - "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.vhd" line 383: Output port of the instance is unconnected or connected to loadless signal. + Found 16-bit register for signal . + Found 1-bit register for signal . + Found 2-bit register for signal . + Found 1-bit register for signal . + Found 16-bit adder for signal created at line 1241. + Summary: + inferred 1 Adder/Subtractor(s). + inferred 20 D-type flip-flop(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\DCM_fwd_int.vhd". + Summary: + no macro. +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\i2c_inst.vhd". + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 2-bit register for signal . + Found 1-bit register for signal . + Found 3-bit register for signal . + Found 3-bit adder for signal created at line 1241. + Found 1-bit tristate buffer for signal created at line 52 + Found 1-bit tristate buffer for signal created at line 53 + Summary: + inferred 1 Adder/Subtractor(s). + inferred 8 D-type flip-flop(s). + inferred 2 Tristate(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\u_np_mux_memcon_seliobar.vhd". + NIBBLE_SZ = 4 + RESUL_NP_SZ = 24 + RESUL_MUX_SZ = 16 + RESUL_RAM_SZ = 16 + CHAN_NUM = 5 + ADDR_LENGTH = 19 + SPI_NIBL_COUNT_WIDTH = 3 + HEADER_CONST_SZ = 4 + HEADER_CH_SZ = 4 + WORD_INT_MUX_SZ = 32 + WORD_OUT_MUX_SZ = 16 + RESUL_IOSEL_SZ = 16 + Summary: + no macro. +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\nibble_pack.vhd". + NIBBLE_SZ = 4 + RESUL_NP_SZ = 24 + SPI_NIBL_COUNT_WIDTH = 3 + Found 2-bit register for signal . + Found 2-bit register for signal . + Found 2-bit register for signal . + Found 3-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 3-bit register for signal . + Found 24-bit register for signal . + Found 24-bit register for signal . + Found 1-bit register for signal . + Found 2-bit register for signal . + Found 2-bit register for signal . + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 3 | + | Transitions | 6 | + | Inputs | 2 | + | Outputs | 4 | + | Clock | clk_main (rising_edge) | + | Reset | rst_bar_INV_22_o (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | idle | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found 3-bit adder for signal created at line 1241. + Summary: + inferred 1 Adder/Subtractor(s). + inferred 66 D-type flip-flop(s). + inferred 6 Multiplexer(s). + inferred 1 Finite State Machine(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\W_R_RAM_CONTROLLER.vhd". + ADDR_LENGTH = 19 + CHAN_NUM = 5 + Found 12-bit register for signal . + Found 12-bit register for signal . + Found 9-bit register for signal . + Found 9-bit register for signal . + Found 9-bit register for signal . + Found 9-bit register for signal . + Found 9-bit register for signal . + Found 6-bit register for signal . + Found 4-bit register for signal . + Found 4-bit register for signal . + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 42 | + | Transitions | 84 | + | Inputs | 9 | + | Outputs | 35 | + | Clock | clk_main (rising_edge) | + | Reset | rst_bar_INV_45_o (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | idle | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 11 | + | Transitions | 21 | + | Inputs | 6 | + | Outputs | 4 | + | Clock | clk_main (rising_edge) | + | Reset | rst_bar_INV_45_o (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | idle | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found 19-bit adder for signal created at line 316. + Found 19-bit adder for signal created at line 318. + Found 19-bit adder for signal created at line 320. + Found 19-bit adder for signal created at line 322. + Found 12-bit adder for signal created at line 1241. + Found 12-bit adder for signal created at line 1241. + Found 9-bit adder for signal created at line 1241. + Found 9-bit adder for signal created at line 1241. + Found 9-bit adder for signal created at line 1241. + Found 9-bit adder for signal created at line 1241. + Found 9-bit adder for signal created at line 1241. + Found 4-bit adder for signal created at line 1241. + Summary: + inferred 9 Adder/Subtractor(s). + inferred 73 D-type flip-flop(s). + inferred 40 Multiplexer(s). + inferred 2 Finite State Machine(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\mux_np.vhd". + CHAN_NUM = 5 + HEADER_CONST_SZ = 4 + HEADER_CH_SZ = 4 + RESUL_NP_SZ = 24 + WORD_INT_MUX_SZ = 32 + WORD_OUT_MUX_SZ = 16 + Summary: + inferred 7 Multiplexer(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\SEL_WRbar.vhd". + RESUL_IOSEL_SZ = 16 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Found 1-bit tristate buffer for signal > created at line 48 + Summary: + inferred 16 Tristate(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\header_spi_interface.vhd". + DATA_MUX_SZ = 16 + COUNT_HEADER_SZ = 3 + HEADER_SZ = 8 + DATA_HEADER_SZ = 16 + WORD_IN_SZ = 16 + WORD_INT_SZ = 16 + WORD_OUT_SZ = 8 + WORD_SPIIN_SZ = 8 + POS_WORD1 = 16 + POS_WORD2 = 8 + SPI_DATA_WIDTH = 8 + SPI_BIT_COUNT_WIDTH = 3 + Summary: + no macro. +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\SPI_SLAVE.vhd". + SPI_DATA_WIDTH = 8 + SPI_BIT_COUNT_WIDTH = 3 + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 2-bit register for signal . + Found 2-bit register for signal . + Found 3-bit register for signal . + Found 8-bit register for signal . + Found 1-bit register for signal . + Found 8-bit register for signal . + Found 2-bit register for signal . + Found 2-bit register for signal . + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 3 | + | Transitions | 5 | + | Inputs | 2 | + | Outputs | 1 | + | Clock | clk_main (rising_edge) | + | Reset | rst_bar_INV_82_o (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | free | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found 3-bit adder for signal created at line 1241. + Summary: + inferred 1 Adder/Subtractor(s). + inferred 28 D-type flip-flop(s). + inferred 5 Multiplexer(s). + inferred 1 Finite State Machine(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\spi_controller.vhd". + WORD_IN_SZ = 16 + WORD_INT_SZ = 16 + WORD_OUT_SZ = 8 + WORD_SPIIN_SZ = 8 + POS_WORD1 = 16 + POS_WORD2 = 8 + Found 8-bit register for signal . + Found 1-bit register for signal . + Found 12-bit register for signal . + Found 5-bit register for signal . + Found 16-bit register for signal . + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 17 | + | Transitions | 34 | + | Inputs | 6 | + | Outputs | 14 | + | Clock | clk_main (rising_edge) | + | Reset | rst_bar_INV_106_o (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | idle | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found 12-bit adder for signal created at line 1241. + Summary: + inferred 1 Adder/Subtractor(s). + inferred 37 D-type flip-flop(s). + inferred 3 Multiplexer(s). + inferred 1 Finite State Machine(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\header_memory.vhd". + COUNT_HEADER_SZ = 3 + HEADER_SZ = 8 + DATA_HEADER_SZ = 16 + Found 2-bit register for signal . + Found 3-bit register for signal . + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 4 | + | Transitions | 6 | + | Inputs | 1 | + | Outputs | 2 | + | Clock | clk_main (rising_edge) | + | Reset | rst_ptr (positive) | + | Reset type | synchronous | + | Reset State | idle | + | Power Up State | idle | + | Encoding | auto | + | Implementation | LUT | + ----------------------------------------------------------------------- + Found 3-bit adder for signal created at line 1241. + Found 8x16-bit Read Only RAM for signal + Summary: + inferred 1 RAM(s). + inferred 1 Adder/Subtractor(s). + inferred 3 D-type flip-flop(s). + inferred 1 Finite State Machine(s). +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\data_header_ram.vhd". + DATA_MUX_SZ = 16 + Summary: + inferred 1 Multiplexer(s). +Unit synthesized. + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# RAMs : 1 + 8x16-bit single-port Read Only RAM : 1 +# Adders/Subtractors : 19 + 12-bit adder : 3 + 16-bit adder : 1 + 19-bit adder : 1 + 3-bit adder : 8 + 4-bit adder : 1 + 9-bit adder : 5 +# Registers : 91 + 1-bit register : 29 + 12-bit register : 3 + 16-bit register : 2 + 2-bit register : 25 + 24-bit register : 10 + 3-bit register : 13 + 4-bit register : 1 + 8-bit register : 3 + 9-bit register : 5 +# Multiplexers : 86 + 1-bit 2-to-1 multiplexer : 3 + 12-bit 2-to-1 multiplexer : 3 + 16-bit 2-to-1 multiplexer : 3 + 19-bit 2-to-1 multiplexer : 23 + 24-bit 2-to-1 multiplexer : 30 + 3-bit 2-to-1 multiplexer : 2 + 32-bit 2-to-1 multiplexer : 5 + 4-bit 2-to-1 multiplexer : 4 + 8-bit 2-to-1 multiplexer : 3 + 9-bit 2-to-1 multiplexer : 10 +# Tristates : 18 + 1-bit tristate buffer : 18 +# FSMs : 10 + +========================================================================= +INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +Unit synthesized (advanced). + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +Unit synthesized (advanced). + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +INFO:Xst:3231 - The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. + ----------------------------------------------------------------------- + | ram_type | Distributed | | + ----------------------------------------------------------------------- + | Port A | + | aspect ratio | 8-word x 16-bit | | + | weA | connected to signal | high | + | addrA | connected to signal | | + | diA | connected to signal | | + | doA | connected to signal | | + ----------------------------------------------------------------------- +Unit synthesized (advanced). + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +Unit synthesized (advanced). + +Synthesizing (advanced) Unit . +The following registers are absorbed into counter : 1 register on signal . +Unit synthesized (advanced). + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# RAMs : 1 + 8x16-bit single-port distributed Read Only RAM : 1 +# Adders/Subtractors : 10 + 12-bit adder : 2 + 19-bit adder : 1 + 3-bit adder : 1 + 4-bit adder : 1 + 9-bit adder : 5 +# Counters : 9 + 12-bit up counter : 1 + 16-bit up counter : 1 + 3-bit up counter : 7 +# Registers : 450 + Flip-Flops : 450 +# Multiplexers : 84 + 1-bit 2-to-1 multiplexer : 2 + 12-bit 2-to-1 multiplexer : 2 + 16-bit 2-to-1 multiplexer : 3 + 19-bit 2-to-1 multiplexer : 23 + 24-bit 2-to-1 multiplexer : 30 + 3-bit 2-to-1 multiplexer : 2 + 32-bit 2-to-1 multiplexer : 5 + 4-bit 2-to-1 multiplexer : 4 + 8-bit 2-to-1 multiplexer : 3 + 9-bit 2-to-1 multiplexer : 10 +# FSMs : 10 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= +Analyzing FSM for best encoding. +Optimizing FSM on signal with gray encoding. +------------------- + State | Encoding +------------------- + idle | 00 + pres | 01 + proc | 11 + aum | 10 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with gray encoding. +Optimizing FSM on signal with gray encoding. +----------------------- + State | Encoding +----------------------- + idle | 00 + data_pres | 01 + data_proc | 11 +----------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with user encoding. +--------------------------- + State | Encoding +--------------------------- + idle | 0000 + checking_ch1 | 0001 + attending_ch1 | 0010 + checking_ch2 | 0011 + attending_ch2 | 0100 + checking_ch3 | 0101 + attending_ch3 | 0110 + checking_ch4 | 0111 + attending_ch4 | 1000 + checking_ch5 | 1001 + attending_ch5 | 1010 +--------------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with one-hot encoding. +--------------------------------------------------------------- + State | Encoding +--------------------------------------------------------------- + idle | 000000000000000000000000000000000000000001 + set_erase_ram | 000000000000000000000000000000000000000010 + act_erase_ram | 000000000000000000000000000000000000000100 + exe_erase_ram | 000000000000000000000000000000000000001000 + espera_rdy | 000000000000000000000000000000000000010000 + set_wrt_ch1_1 | 000000000000000000000000000000000000100000 + act_wrt_ch1_1 | 000000000000000000000000000000100000000000 + exe_wrt_ch1_1 | 000000000000000000000000000001000000000000 + set_wrt_ch1_2 | 000000000000000000000000000100000000000000 + act_wrt_ch1_2 | 000000000000000000000000001000000000000000 + exe_wrt_ch1_2 | 000000000000000000000000010000000000000000 + set_wrt_ch2_1 | 000000000000000000000000000000000001000000 + act_wrt_ch2_1 | 000000000000000000000001000000000000000000 + exe_wrt_ch2_1 | 000000000000000000000010000000000000000000 + set_wrt_ch2_2 | 000000000000000000000100000000000000000000 + act_wrt_ch2_2 | 000000000000000000001000000000000000000000 + exe_wrt_ch2_2 | 000000000000000000010000000000000000000000 + set_wrt_ch3_1 | 000000000000000000000000000000000010000000 + act_wrt_ch3_1 | 000000000000000000100000000000000000000000 + exe_wrt_ch3_1 | 000000000000000001000000000000000000000000 + set_wrt_ch3_2 | 000000000000000010000000000000000000000000 + act_wrt_ch3_2 | 000000000000000100000000000000000000000000 + exe_wrt_ch3_2 | 000000000000001000000000000000000000000000 + set_wrt_ch4_1 | 000000000000000000000000000000000100000000 + act_wrt_ch4_1 | 000000000000010000000000000000000000000000 + exe_wrt_ch4_1 | 000000000000100000000000000000000000000000 + set_wrt_ch4_2 | 000000000001000000000000000000000000000000 + act_wrt_ch4_2 | 000000000010000000000000000000000000000000 + exe_wrt_ch4_2 | 000000000100000000000000000000000000000000 + set_wrt_ch5_1 | 000000000000000000000000000000001000000000 + act_wrt_ch5_1 | 000000001000000000000000000000000000000000 + exe_wrt_ch5_1 | 000000010000000000000000000000000000000000 + set_wrt_ch5_2 | 000000100000000000000000000000000000000000 + act_wrt_ch5_2 | 000001000000000000000000000000000000000000 + exe_wrt_ch5_2 | 000010000000000000000000000000000000000000 + end_wrt_cycle_1 | 000000000000000000000000000010000000000000 + end_wrt_cycle_2 | 000000000000000000000000100000000000000000 + espera_req | 000100000000000000000000000000000000000000 + set_rd | 000000000000000000000000000000010000000000 + act_rd | 001000000000000000000000000000000000000000 + exe_rd | 010000000000000000000000000000000000000000 + end_rd | 100000000000000000000000000000000000000000 +--------------------------------------------------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with gray encoding. +------------------- + State | Encoding +------------------- + idle | 00 + free | 01 + busy | 11 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with user encoding. +------------------------------ + State | Encoding +------------------------------ + idle | 00000 + pide_dato_header | 00001 + pide_dato_ram | 00010 + w1_pres_header | 00011 + w1_proc_header | 00100 + w1_espera_header | 00101 + w2_pres_header | 00110 + w2_proc_header | 00111 + w2_espera_header | 01000 + w1_pres_ram | 01001 + w1_proc_ram | 01010 + w1_espera_ram | 01011 + w2_pres_ram | 01100 + w2_proc_ram | 01101 + w2_espera_ram | 01110 + w2_aum_header | 01111 + w2_aum_ram | 10000 +------------------------------ + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Mapping all equations... +Building and optimizing final netlist ... +Found area constraint ratio of 100 (+ 5) on block chn5_mem_spi_joint, actual ratio is 17. +FlipFlop lock_rst_bar has been replicated 1 time(s) + +Final Macro Processing ... + +Processing Unit : + Found 2-bit shift register for signal . +Unit processed. + +========================================================================= +Final Register Report + +Macro Statistics +# Registers : 563 + Flip-Flops : 563 +# Shift Registers : 1 + 2-bit shift register : 1 + +========================================================================= + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Design Summary * +========================================================================= + +Top Level Output File Name : chn5_mem_spi_joint.ngc + +Primitive and Black Box Usage: +------------------------------ +# BELS : 1012 +# GND : 1 +# INV : 13 +# LUT1 : 37 +# LUT2 : 57 +# LUT3 : 161 +# LUT4 : 108 +# LUT5 : 235 +# LUT6 : 269 +# MUXCY : 59 +# MUXF7 : 8 +# VCC : 1 +# XORCY : 63 +# FlipFlops/Latches : 565 +# FD : 216 +# FDE : 259 +# FDR : 26 +# FDRE : 63 +# ODDR2 : 1 +# Shift Registers : 1 +# SRLC16E : 1 +# Clock Buffers : 4 +# BUFG : 4 +# IO Buffers : 74 +# IBUF : 30 +# IBUFG : 1 +# IOBUF : 18 +# OBUF : 25 +# DCMs : 2 +# DCM_SP : 2 + +Device utilization summary: +--------------------------- + +Selected Device : 6slx9tqg144-3 + + +Slice Logic Utilization: + Number of Slice Registers: 565 out of 11440 4% + Number of Slice LUTs: 881 out of 5720 15% + Number used as Logic: 880 out of 5720 15% + Number used as Memory: 1 out of 1440 0% + Number used as SRL: 1 + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 944 + Number with an unused Flip Flop: 379 out of 944 40% + Number with an unused LUT: 63 out of 944 6% + Number of fully used LUT-FF pairs: 502 out of 944 53% + Number of unique control sets: 28 + +IO Utilization: + Number of IOs: 74 + Number of bonded IOBs: 74 out of 102 72% + +Specific Feature Utilization: + Number of BUFG/BUFGCTRLs: 4 out of 16 25% + +--------------------------- +Partition Resource Summary: +--------------------------- + + No Partitions were found in this design. + +--------------------------- + + +========================================================================= +Timing Report + +NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. + FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT + GENERATED AFTER PLACE-and-ROUTE. + +Clock Information: +------------------ +-----------------------------------+------------------------+-------+ +Clock Signal | Clock buffer(FF name) | Load | +-----------------------------------+------------------------+-------+ +clk_main | DCM_SP:CLKFX | 565 | +clk_main | DCM_SP:CLKFX | 2 | +-----------------------------------+------------------------+-------+ + +Asynchronous Control Signals Information: +---------------------------------------- +No asynchronous control signals found in this design + +Timing Summary: +--------------- +Speed Grade: -3 + + Minimum period: 19.089ns (Maximum Frequency: 52.386MHz) + Minimum input arrival time before clock: 3.125ns + Maximum output required time after clock: 10.593ns + Maximum combinational path delay: No path found + +Timing Details: +--------------- +All values displayed in nanoseconds (ns) + +========================================================================= +Timing constraint: Default period analysis for Clock 'clk_main' + Clock period: 19.089ns (frequency: 52.386MHz) + Total number of paths / destination ports: 5932 / 970 +------------------------------------------------------------------------- +Delay: 4.772ns (Levels of Logic = 4) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 (FF) + Destination: nb_mem_cont/ram_control/addr_erase_aux_int_11 (FF) + Source Clock: clk_main rising 4.0X + Destination Clock: clk_main rising 4.0X + + Data Path: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 to nb_mem_cont/ram_control/addr_erase_aux_int_11 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FD:C->Q 6 0.447 1.109 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 (nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32) + LUT6:I0->O 1 0.203 0.808 nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>13 (nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>13) + LUT5:I2->O 12 0.205 0.909 nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>14 (nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>1) + LUT4:I3->O 1 0.205 0.580 nb_mem_cont/ram_control/ram_contr_cur_state__n0327<1>1 (nb_mem_cont/ram_control/_n0327<1>) + LUT6:I5->O 1 0.205 0.000 nb_mem_cont/ram_control/addr_erase_aux_int_11_rstpot (nb_mem_cont/ram_control/addr_erase_aux_int_11_rstpot) + FD:D 0.102 nb_mem_cont/ram_control/addr_erase_aux_int_11 + ---------------------------------------- + Total 4.772ns (1.367ns logic, 3.405ns route) + (28.6% logic, 71.4% route) + +========================================================================= +Timing constraint: Default OFFSET IN BEFORE for Clock 'clk_main' + Total number of paths / destination ports: 52 / 52 +------------------------------------------------------------------------- +Offset: 3.125ns (Levels of Logic = 2) + Source: lock (PAD) + Destination: pps_rst (FF) + Destination Clock: clk_main rising 4.0X + + Data Path: lock to pps_rst + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + IBUF:I->O 2 1.222 0.616 lock_IBUF (lock_IBUF) + INV:I->O 3 0.206 0.650 lock_inv1_INV_0 (lock_inv) + FDR:R 0.430 pps_rst + ---------------------------------------- + Total 3.125ns (1.858ns logic, 1.266ns route) + (59.5% logic, 40.5% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'clk_main' + Total number of paths / destination ports: 6526 / 35 +------------------------------------------------------------------------- +Offset: 10.593ns (Levels of Logic = 7) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd18 (FF) + Destination: DATA_INOUT<9> (PAD) + Source Clock: clk_main rising 4.0X + + Data Path: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd18 to DATA_INOUT<9> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDR:C->Q 16 0.447 1.349 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd18 (nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd18) + LUT6:I1->O 14 0.203 1.186 nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 (nb_mem_cont/oe_ch_vect<2>) + LUT5:I2->O 18 0.205 1.154 nb_mem_cont/mux_data/_n0022<4>1 (nb_mem_cont/mux_data/Mmux_DATA_OUT110) + LUT4:I2->O 1 0.203 0.580 nb_mem_cont/mux_data/Mmux_DATA_OUT405_SW0 (N199) + LUT6:I5->O 1 0.205 0.580 nb_mem_cont/mux_data/Mmux_DATA_OUT405 (nb_mem_cont/mux_data/Mmux_DATA_OUT404) + LUT6:I5->O 1 0.205 0.924 nb_mem_cont/mux_data/Mmux_DATA_OUT407_SW0 (N201) + LUT6:I1->O 1 0.203 0.579 nb_mem_cont/mux_data/Mmux_DATA_OUT407 (nb_mem_cont/data_mux<9>) + IOBUF:I->IO 2.571 DATA_INOUT_9_IOBUF (DATA_INOUT<9>) + ---------------------------------------- + Total 10.593ns (4.242ns logic, 6.351ns route) + (40.0% logic, 60.0% route) + +========================================================================= + +Cross Clock Domains Report: +-------------------------- + +Clock to Setup on destination clock clk_main +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +clk_main | 4.772| | | | +---------------+---------+---------+---------+---------+ + +========================================================================= + + +Total REAL time to Xst completion: 12.00 secs +Total CPU time to Xst completion: 12.16 secs + +--> + +Total memory usage is 253932 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 0 ( 0 filtered) +Number of infos : 4 ( 0 filtered) + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.twr =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.twr b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.twr new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.twr (revision 224) @@ -0,0 +1,15546 @@ +-------------------------------------------------------------------------------- +Release 14.7 Trace (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 3 +-n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o +chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf + +Design file: chn5_mem_spi_joint.ncd +Physical constraint file: chn5_mem_spi_joint.pcf +Device,package,speed: xc6slx9,tqg144,C,-3 (PRODUCTION 1.23 2013-10-13) +Report level: verbose report + +Environment Variable Effect +-------------------- ------ +NONE No environment variables were set +-------------------------------------------------------------------------------- + +INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612). +INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths + option. All paths that are not constrained will be reported in the + unconstrained paths section(s) of the report. +INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on + a 50 Ohm transmission line loading model. For the details of this model, + and for more information on accounting for different loading conditions, + please see the device datasheet. + +================================================================================ +Timing constraint: TS_clk_main = PERIOD TIMEGRP "clk_main" 16.667 ns HIGH 50%; +For more information, see Period Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. (0 component switching limit errors) + Minimum period is 8.000ns. +-------------------------------------------------------------------------------- + +Component Switching Limit Checks: TS_clk_main = PERIOD TIMEGRP "clk_main" 16.667 ns HIGH 50%; +-------------------------------------------------------------------------------- +Slack: 1.497ns (period - min period limit) + Period: 4.167ns + Min period limit: 2.670ns (374.532MHz) (Tdcmper_CLKFX) + Physical resource: clk_mang/DCM_SP_inst_int/CLKFX + Logical resource: clk_mang/DCM_SP_inst_int/CLKFX + Location pin: DCM_X0Y0.CLKFX + Clock network: clk_u_proc +-------------------------------------------------------------------------------- +Slack: 8.667ns (period - (min low pulse limit / (low pulse / period))) + Period: 16.667ns + Low pulse: 8.333ns + Low pulse limit: 4.000ns (Tdcmpw_CLKIN_50_100) + Physical resource: clk_mang/DCM_SP_inst_int/CLKIN + Logical resource: clk_mang/DCM_SP_inst_int/CLKIN + Location pin: DCM_X0Y0.CLKIN + Clock network: clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK +-------------------------------------------------------------------------------- +Slack: 8.667ns (period - (min high pulse limit / (high pulse / period))) + Period: 16.667ns + High pulse: 8.333ns + High pulse limit: 4.000ns (Tdcmpw_CLKIN_50_100) + Physical resource: clk_mang/DCM_SP_inst_int/CLKIN + Logical resource: clk_mang/DCM_SP_inst_int/CLKIN + Location pin: DCM_X0Y0.CLKIN + Clock network: clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: TS_clk_u_proc = PERIOD TIMEGRP "clk_u_proc" TS_clk_main / 4 +HIGH 50%; +For more information, see Period Analysis in the Timing Closure User Guide (UG612). + + 5931 paths analyzed, 2240 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) + Minimum period is 3.803ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 (SLICE_X6Y41.SR), 6 paths +-------------------------------------------------------------------------------- +Slack (setup path): 0.363ns (requirement - (data path - clock path skew + uncertainty)) + Source: lock_rst_bar (FF) + Destination: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 (FF) + Requirement: 4.166ns + Data Path Delay: 3.639ns (Levels of Logic = 1) + Clock Path Skew: 0.013ns (0.632 - 0.619) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: lock_rst_bar to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y22.AQ Tcko 0.408 lock_rst_bar + lock_rst_bar + SLICE_X7Y20.C1 net (fanout=26) 1.081 lock_rst_bar + SLICE_X7Y20.C Tilo 0.259 nb_mem_cont/ram_control/addr_erase_aux_int<4> + nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01 + SLICE_X6Y41.SR net (fanout=11) 1.484 nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0 + SLICE_X6Y41.CLK Tsrck 0.407 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + ------------------------------------------------- --------------------------- + Total 3.639ns (1.074ns logic, 2.565ns route) + (29.5% logic, 70.5% route) + +-------------------------------------------------------------------------------- +Slack (setup path): 0.365ns (requirement - (data path - clock path skew + uncertainty)) + Source: pps_rst (FF) + Destination: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 (FF) + Requirement: 4.166ns + Data Path Delay: 3.635ns (Levels of Logic = 1) + Clock Path Skew: 0.011ns (0.632 - 0.621) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: pps_rst to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X12Y26.DQ Tcko 0.447 pps_rst + pps_rst + SLICE_X7Y20.C6 net (fanout=119) 1.038 pps_rst + SLICE_X7Y20.C Tilo 0.259 nb_mem_cont/ram_control/addr_erase_aux_int<4> + nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01 + SLICE_X6Y41.SR net (fanout=11) 1.484 nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0 + SLICE_X6Y41.CLK Tsrck 0.407 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + ------------------------------------------------- --------------------------- + Total 3.635ns (1.113ns logic, 2.522ns route) + (30.6% logic, 69.4% route) + +-------------------------------------------------------------------------------- +Slack (setup path): 0.419ns (requirement - (data path - clock path skew + uncertainty)) + Source: nb_mem_cont/ram_control/count_slw_3 (FF) + Destination: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 (FF) + Requirement: 4.166ns + Data Path Delay: 3.474ns (Levels of Logic = 1) + Clock Path Skew: -0.096ns (0.632 - 0.728) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/count_slw_3 to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X7Y15.CMUX Tshcko 0.461 nb_mem_cont/ram_control/count_slw<1> + nb_mem_cont/ram_control/count_slw_3 + SLICE_X7Y20.C2 net (fanout=17) 0.863 nb_mem_cont/ram_control/count_slw<3> + SLICE_X7Y20.C Tilo 0.259 nb_mem_cont/ram_control/addr_erase_aux_int<4> + nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01 + SLICE_X6Y41.SR net (fanout=11) 1.484 nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0 + SLICE_X6Y41.CLK Tsrck 0.407 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 + ------------------------------------------------- --------------------------- + Total 3.474ns (1.127ns logic, 2.347ns route) + (32.4% logic, 67.6% route) + +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/ram_control/rel_pos_ch4_int_1 (SLICE_X7Y46.C2), 31 paths +-------------------------------------------------------------------------------- +Slack (setup path): 0.366ns (requirement - (data path - clock path skew + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 (FF) + Destination: nb_mem_cont/ram_control/rel_pos_ch4_int_1 (FF) + Requirement: 4.166ns + Data Path Delay: 3.610ns (Levels of Logic = 3) + Clock Path Skew: -0.013ns (0.642 - 0.655) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 to nb_mem_cont/ram_control/rel_pos_ch4_int_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.DQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X7Y46.D5 net (fanout=51) 1.605 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X7Y46.D Tilo 0.259 nb_mem_cont/ram_control/rel_pos_ch4_int<1> + nb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW0 + SLICE_X7Y46.A3 net (fanout=1) 0.291 N159 + SLICE_X7Y46.A Tilo 0.259 nb_mem_cont/ram_control/rel_pos_ch4_int<1> + nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot + SLICE_X7Y46.C2 net (fanout=1) 0.427 nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot + SLICE_X7Y46.CLK Tas 0.322 nb_mem_cont/ram_control/rel_pos_ch4_int<1> + nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot1 + nb_mem_cont/ram_control/rel_pos_ch4_int_1 + ------------------------------------------------- --------------------------- + Total 3.610ns (1.287ns logic, 2.323ns route) + (35.7% logic, 64.3% route) + +-------------------------------------------------------------------------------- +Slack (setup path): 0.444ns (requirement - (data path - clock path skew + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 (FF) + Destination: nb_mem_cont/ram_control/rel_pos_ch4_int_1 (FF) + Requirement: 4.166ns + Data Path Delay: 3.532ns (Levels of Logic = 3) + Clock Path Skew: -0.013ns (0.642 - 0.655) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 to nb_mem_cont/ram_control/rel_pos_ch4_int_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.DQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X8Y42.D6 net (fanout=51) 1.108 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X8Y42.CMUX Topdc 0.368 N161 + nb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW2_F + nb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW2 + SLICE_X7Y46.A6 net (fanout=1) 0.601 N161 + SLICE_X7Y46.A Tilo 0.259 nb_mem_cont/ram_control/rel_pos_ch4_int<1> + nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot + SLICE_X7Y46.C2 net (fanout=1) 0.427 nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot + SLICE_X7Y46.CLK Tas 0.322 nb_mem_cont/ram_control/rel_pos_ch4_int<1> + nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot1 + nb_mem_cont/ram_control/rel_pos_ch4_int_1 + ------------------------------------------------- --------------------------- + Total 3.532ns (1.396ns logic, 2.136ns route) + (39.5% logic, 60.5% route) + +-------------------------------------------------------------------------------- +Slack (setup path): 0.451ns (requirement - (data path - clock path skew + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 (FF) + Destination: nb_mem_cont/ram_control/rel_pos_ch4_int_1 (FF) + Requirement: 4.166ns + Data Path Delay: 3.525ns (Levels of Logic = 3) + Clock Path Skew: -0.013ns (0.642 - 0.655) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 to nb_mem_cont/ram_control/rel_pos_ch4_int_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.DQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X8Y42.C6 net (fanout=51) 1.108 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X8Y42.CMUX Tilo 0.361 N161 + nb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW2_G + nb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW2 + SLICE_X7Y46.A6 net (fanout=1) 0.601 N161 + SLICE_X7Y46.A Tilo 0.259 nb_mem_cont/ram_control/rel_pos_ch4_int<1> + nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot + SLICE_X7Y46.C2 net (fanout=1) 0.427 nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot + SLICE_X7Y46.CLK Tas 0.322 nb_mem_cont/ram_control/rel_pos_ch4_int<1> + nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot1 + nb_mem_cont/ram_control/rel_pos_ch4_int_1 + ------------------------------------------------- --------------------------- + Total 3.525ns (1.389ns logic, 2.136ns route) + (39.4% logic, 60.6% route) + +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 (SLICE_X6Y38.SR), 6 paths +-------------------------------------------------------------------------------- +Slack (setup path): 0.386ns (requirement - (data path - clock path skew + uncertainty)) + Source: lock_rst_bar (FF) + Destination: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 (FF) + Requirement: 4.166ns + Data Path Delay: 3.616ns (Levels of Logic = 1) + Clock Path Skew: 0.013ns (0.632 - 0.619) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: lock_rst_bar to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y22.AQ Tcko 0.408 lock_rst_bar + lock_rst_bar + SLICE_X7Y20.C1 net (fanout=26) 1.081 lock_rst_bar + SLICE_X7Y20.C Tilo 0.259 nb_mem_cont/ram_control/addr_erase_aux_int<4> + nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01 + SLICE_X6Y38.SR net (fanout=11) 1.461 nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0 + SLICE_X6Y38.CLK Tsrck 0.407 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + ------------------------------------------------- --------------------------- + Total 3.616ns (1.074ns logic, 2.542ns route) + (29.7% logic, 70.3% route) + +-------------------------------------------------------------------------------- +Slack (setup path): 0.388ns (requirement - (data path - clock path skew + uncertainty)) + Source: pps_rst (FF) + Destination: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 (FF) + Requirement: 4.166ns + Data Path Delay: 3.612ns (Levels of Logic = 1) + Clock Path Skew: 0.011ns (0.632 - 0.621) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: pps_rst to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X12Y26.DQ Tcko 0.447 pps_rst + pps_rst + SLICE_X7Y20.C6 net (fanout=119) 1.038 pps_rst + SLICE_X7Y20.C Tilo 0.259 nb_mem_cont/ram_control/addr_erase_aux_int<4> + nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01 + SLICE_X6Y38.SR net (fanout=11) 1.461 nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0 + SLICE_X6Y38.CLK Tsrck 0.407 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + ------------------------------------------------- --------------------------- + Total 3.612ns (1.113ns logic, 2.499ns route) + (30.8% logic, 69.2% route) + +-------------------------------------------------------------------------------- +Slack (setup path): 0.442ns (requirement - (data path - clock path skew + uncertainty)) + Source: nb_mem_cont/ram_control/count_slw_3 (FF) + Destination: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 (FF) + Requirement: 4.166ns + Data Path Delay: 3.451ns (Levels of Logic = 1) + Clock Path Skew: -0.096ns (0.632 - 0.728) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 4.166ns + Clock Uncertainty: 0.177ns + + Clock Uncertainty: 0.177ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/count_slw_3 to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X7Y15.CMUX Tshcko 0.461 nb_mem_cont/ram_control/count_slw<1> + nb_mem_cont/ram_control/count_slw_3 + SLICE_X7Y20.C2 net (fanout=17) 0.863 nb_mem_cont/ram_control/count_slw<3> + SLICE_X7Y20.C Tilo 0.259 nb_mem_cont/ram_control/addr_erase_aux_int<4> + nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01 + SLICE_X6Y38.SR net (fanout=11) 1.461 nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0 + SLICE_X6Y38.CLK Tsrck 0.407 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 + ------------------------------------------------- --------------------------- + Total 3.451ns (1.127ns logic, 2.324ns route) + (32.7% logic, 67.3% route) + +-------------------------------------------------------------------------------- + +Hold Paths: TS_clk_u_proc = PERIOD TIMEGRP "clk_u_proc" TS_clk_main / 4 HIGH 50%; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_esclavo/buffer_in_3 (SLICE_X14Y5.DX), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 0.385ns (requirement - (clock path skew + uncertainty - data path)) + Source: interfaz_spi/controlador_spi_esclavo/buffer_in_2 (FF) + Destination: interfaz_spi/controlador_spi_esclavo/buffer_in_3 (FF) + Requirement: 0.000ns + Data Path Delay: 0.385ns (Levels of Logic = 0) + Clock Path Skew: 0.000ns + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: interfaz_spi/controlador_spi_esclavo/buffer_in_2 to interfaz_spi/controlador_spi_esclavo/buffer_in_3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y5.CQ Tcko 0.200 interfaz_spi/controlador_spi_esclavo/buffer_in<3> + interfaz_spi/controlador_spi_esclavo/buffer_in_2 + SLICE_X14Y5.DX net (fanout=2) 0.137 interfaz_spi/controlador_spi_esclavo/buffer_in<2> + SLICE_X14Y5.CLK Tckdi (-Th) -0.048 interfaz_spi/controlador_spi_esclavo/buffer_in<3> + interfaz_spi/controlador_spi_esclavo/buffer_in_3 + ------------------------------------------------- --------------------------- + Total 0.385ns (0.248ns logic, 0.137ns route) + (64.4% logic, 35.6% route) + +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_8 (SLICE_X14Y38.AX), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 0.388ns (requirement - (clock path skew + uncertainty - data path)) + Source: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_8 (FF) + Destination: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_8 (FF) + Requirement: 0.000ns + Data Path Delay: 0.390ns (Levels of Logic = 0) + Clock Path Skew: 0.002ns (0.034 - 0.032) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_8 to nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_8 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X15Y38.AQ Tcko 0.198 nb_mem_cont/nibble_pack_ch3/resul_mem_internal<11> + nb_mem_cont/nibble_pack_ch3/resul_mem_internal_8 + SLICE_X14Y38.AX net (fanout=2) 0.144 nb_mem_cont/nibble_pack_ch3/resul_mem_internal<8> + SLICE_X14Y38.CLK Tckdi (-Th) -0.048 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_8 + ------------------------------------------------- --------------------------- + Total 0.390ns (0.246ns logic, 0.144ns route) + (63.1% logic, 36.9% route) + +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/cabecera_memoria/ptr_mem_2 (SLICE_X6Y4.B5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 0.400ns (requirement - (clock path skew + uncertainty - data path)) + Source: interfaz_spi/cabecera_memoria/ptr_mem_1 (FF) + Destination: interfaz_spi/cabecera_memoria/ptr_mem_2 (FF) + Requirement: 0.000ns + Data Path Delay: 0.400ns (Levels of Logic = 1) + Clock Path Skew: 0.000ns + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: interfaz_spi/cabecera_memoria/ptr_mem_1 to interfaz_spi/cabecera_memoria/ptr_mem_2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y4.BQ Tcko 0.200 interfaz_spi/cabecera_memoria/ptr_mem<1> + interfaz_spi/cabecera_memoria/ptr_mem_1 + SLICE_X6Y4.B5 net (fanout=14) 0.079 interfaz_spi/cabecera_memoria/ptr_mem<1> + SLICE_X6Y4.CLK Tah (-Th) -0.121 interfaz_spi/cabecera_memoria/ptr_mem<1> + Result<2>11 + interfaz_spi/cabecera_memoria/ptr_mem_2 + ------------------------------------------------- --------------------------- + Total 0.400ns (0.321ns logic, 0.079ns route) + (80.3% logic, 19.8% route) + +-------------------------------------------------------------------------------- + +Component Switching Limit Checks: TS_clk_u_proc = PERIOD TIMEGRP "clk_u_proc" TS_clk_main / 4 HIGH 50%; +-------------------------------------------------------------------------------- +Slack: 2.436ns (period - min period limit) + Period: 4.166ns + Min period limit: 1.730ns (578.035MHz) (Tbcper_I) + Physical resource: clk_u_proc_BUFG/I0 + Logical resource: clk_u_proc_BUFG/I0 + Location pin: BUFGMUX_X2Y4.I0 + Clock network: clk_u_proc +-------------------------------------------------------------------------------- +Slack: 3.166ns (period - min period limit) + Period: 4.166ns + Min period limit: 1.000ns (1000.000MHz) (Tcp) + Physical resource: interfaz_spi/controlador_spi_esclavo/CSr<1>/CLK + Logical resource: interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1/CLK + Location pin: SLICE_X20Y7.CLK + Clock network: clk_u_proc_BUFG +-------------------------------------------------------------------------------- +Slack: 3.736ns (period - min period limit) + Period: 4.166ns + Min period limit: 0.430ns (2325.581MHz) (Tcp) + Physical resource: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27/CLK + Logical resource: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2/CK + Location pin: SLICE_X2Y14.CLK + Clock network: clk_u_proc_BUFG +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: TS_clk_mang_c_16MHz = PERIOD TIMEGRP "clk_mang_c_16MHz" +TS_clk_main / 0.266666667 HIGH 50%; +For more information, see Period Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. (0 component switching limit errors) + Minimum period is 1.730ns. +-------------------------------------------------------------------------------- + +Component Switching Limit Checks: TS_clk_mang_c_16MHz = PERIOD TIMEGRP "clk_mang_c_16MHz" TS_clk_main / + 0.266666667 HIGH 50%; +-------------------------------------------------------------------------------- +Slack: 60.771ns (period - min period limit) + Period: 62.501ns + Min period limit: 1.730ns (578.035MHz) (Tbcper_I) + Physical resource: clk_mang/c_16MHz_BUFG/I0 + Logical resource: clk_mang/c_16MHz_BUFG/I0 + Location pin: BUFGMUX_X2Y2.I0 + Clock network: clk_mang/c_16MHz +-------------------------------------------------------------------------------- +Slack: 60.862ns (period - min period limit) + Period: 62.501ns + Min period limit: 1.639ns (610.128MHz) (Tockper) + Physical resource: clk_to_acq_OBUF/CLK0 + Logical resource: clk_mang/ODDR2_inst/CK0 + Location pin: OLOGIC_X12Y22.CLK0 + Clock network: clk_mang/c_16MHz_BUFG +-------------------------------------------------------------------------------- +Slack: 61.098ns (period - min period limit) + Period: 62.501ns + Min period limit: 1.403ns (712.758MHz) (Tockper) + Physical resource: clk_to_acq_OBUF/CLK1 + Logical resource: clk_mang/ODDR2_inst/CK1 + Location pin: OLOGIC_X12Y22.CLK1 + Clock network: clk_mang/c_16MHz_BUFG +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<15>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 7.503ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_15 (SLICE_X8Y9.C2), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 9.164ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<15> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_15 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 6.775ns (Levels of Logic = 2) + Clock Path Delay: -0.411ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<15> to interfaz_spi/controlador_spi_principal/word_int_15 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P8.I Tiopi 1.310 DATA_INOUT<15> + DATA_INOUT<15> + DATA_INOUT_15_IOBUF/IBUF + ProtoComp55.IMUX.17 + SLICE_X8Y9.C2 net (fanout=1) 5.176 N42 + SLICE_X8Y9.CLK Tas 0.289 interfaz_spi/controlador_spi_principal/word_int<15> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi71 + interfaz_spi/controlador_spi_principal/word_int_15 + ------------------------------------------------- --------------------------- + Total 6.775ns (1.599ns logic, 5.176ns route) + (23.6% logic, 76.4% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_15 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y9.CLK net (fanout=226) 0.702 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.411ns (-2.456ns logic, 2.045ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<15>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_15 (SLICE_X8Y9.C2), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 3.702ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<15> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_15 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 4.177ns (Levels of Logic = 2) + Clock Path Delay: 0.158ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<15> to interfaz_spi/controlador_spi_principal/word_int_15 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P8.I Tiopi 0.763 DATA_INOUT<15> + DATA_INOUT<15> + DATA_INOUT_15_IOBUF/IBUF + ProtoComp55.IMUX.17 + SLICE_X8Y9.C2 net (fanout=1) 3.217 N42 + SLICE_X8Y9.CLK Tah (-Th) -0.197 interfaz_spi/controlador_spi_principal/word_int<15> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi71 + interfaz_spi/controlador_spi_principal/word_int_15 + ------------------------------------------------- --------------------------- + Total 4.177ns (0.960ns logic, 3.217ns route) + (23.0% logic, 77.0% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_15 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y9.CLK net (fanout=226) 0.842 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.158ns (-1.582ns logic, 1.740ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 184 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 12.489ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<15> (P8.PAD), 184 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.178ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<15> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.491ns (Levels of Logic = 4) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<15> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X16Y39.B2 net (fanout=9) 3.753 nb_mem_cont/oe_ch_vect<0> + SLICE_X16Y39.BMUX Tilo 0.261 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT93 + SLICE_X17Y39.A1 net (fanout=3) 0.641 nb_mem_cont/mux_data/Mmux_DATA_OUT152 + SLICE_X17Y39.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + nb_mem_cont/mux_data/Mmux_DATA_OUT157 + P8.O net (fanout=1) 3.345 nb_mem_cont/data_mux<15> + P8.PAD Tioop 2.381 DATA_INOUT<15> + DATA_INOUT_15_IOBUF/OBUFT + DATA_INOUT<15> + ------------------------------------------------- --------------------------- + Total 12.491ns (3.615ns logic, 8.876ns route) + (28.9% logic, 71.1% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.220ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<15> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.460ns (Levels of Logic = 4) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<15> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X16Y39.B2 net (fanout=9) 3.753 nb_mem_cont/oe_ch_vect<0> + SLICE_X16Y39.BMUX Tilo 0.261 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT93 + SLICE_X17Y39.A1 net (fanout=3) 0.641 nb_mem_cont/mux_data/Mmux_DATA_OUT152 + SLICE_X17Y39.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + nb_mem_cont/mux_data/Mmux_DATA_OUT157 + P8.O net (fanout=1) 3.345 nb_mem_cont/data_mux<15> + P8.PAD Tioop 2.381 DATA_INOUT<15> + DATA_INOUT_15_IOBUF/OBUFT + DATA_INOUT<15> + ------------------------------------------------- --------------------------- + Total 12.460ns (3.551ns logic, 8.909ns route) + (28.5% logic, 71.5% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.345ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<15> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.324ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<15> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X17Y28.D5 net (fanout=9) 2.321 nb_mem_cont/oe_ch_vect<0> + SLICE_X17Y28.DMUX Tilo 0.313 nb_mem_cont/nibble_pack_ch5/_n0127_inv + nb_mem_cont/mux_data/_n0028<4>11 + SLICE_X17Y39.C3 net (fanout=6) 1.158 nb_mem_cont/mux_data/_n0028<4>1 + SLICE_X17Y39.C Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + nb_mem_cont/mux_data/Mmux_DATA_OUT155 + SLICE_X17Y39.A2 net (fanout=1) 0.437 nb_mem_cont/mux_data/Mmux_DATA_OUT154 + SLICE_X17Y39.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + nb_mem_cont/mux_data/Mmux_DATA_OUT157 + P8.O net (fanout=1) 3.345 nb_mem_cont/data_mux<15> + P8.PAD Tioop 2.381 DATA_INOUT<15> + DATA_INOUT_15_IOBUF/OBUFT + DATA_INOUT<15> + ------------------------------------------------- --------------------------- + Total 12.324ns (3.926ns logic, 8.398ns route) + (31.9% logic, 68.1% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<15> (P8.PAD), 184 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.886ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_15 (FF) + Destination: DATA_INOUT<15> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.644ns (Levels of Logic = 3) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_15 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y39.CLK net (fanout=226) 0.449 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-1.698ns logic, 1.257ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_15 to DATA_INOUT<15> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X16Y39.DQ Tcko 0.234 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_15 + SLICE_X17Y39.D1 net (fanout=1) 0.385 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + SLICE_X17Y39.D Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + nb_mem_cont/mux_data/Mmux_DATA_OUT152 + SLICE_X17Y39.A3 net (fanout=1) 0.148 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + SLICE_X17Y39.A Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + nb_mem_cont/mux_data/Mmux_DATA_OUT157 + P8.O net (fanout=1) 2.169 nb_mem_cont/data_mux<15> + P8.PAD Tioop 1.396 DATA_INOUT<15> + DATA_INOUT_15_IOBUF/OBUFT + DATA_INOUT<15> + ------------------------------------------------- --------------------------- + Total 4.644ns (1.942ns logic, 2.702ns route) + (41.8% logic, 58.2% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.850ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<15> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.491ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<15> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P8.T net (fanout=16) 2.197 nb_mem_cont/io_sel/OEbar_inv + P8.PAD Tiotp 1.396 DATA_INOUT<15> + DATA_INOUT_15_IOBUF/OBUFT + DATA_INOUT<15> + ------------------------------------------------- --------------------------- + Total 4.491ns (1.790ns logic, 2.701ns route) + (39.9% logic, 60.1% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.744ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_15 (FF) + Destination: DATA_INOUT<15> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.503ns (Levels of Logic = 3) + Clock Path Delay: -0.442ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_15 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y40.CLK net (fanout=226) 0.448 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.442ns (-1.698ns logic, 1.256ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_15 to DATA_INOUT<15> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y40.DQ Tcko 0.200 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_15 + SLICE_X17Y39.C6 net (fanout=1) 0.171 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15> + SLICE_X17Y39.C Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + nb_mem_cont/mux_data/Mmux_DATA_OUT155 + SLICE_X17Y39.A2 net (fanout=1) 0.255 nb_mem_cont/mux_data/Mmux_DATA_OUT154 + SLICE_X17Y39.A Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT151 + nb_mem_cont/mux_data/Mmux_DATA_OUT157 + P8.O net (fanout=1) 2.169 nb_mem_cont/data_mux<15> + P8.PAD Tioop 1.396 DATA_INOUT<15> + DATA_INOUT_15_IOBUF/OBUFT + DATA_INOUT<15> + ------------------------------------------------- --------------------------- + Total 4.503ns (1.908ns logic, 2.595ns route) + (42.4% logic, 57.6% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<14>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 7.132ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_14 (SLICE_X8Y8.D6), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 9.535ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<14> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_14 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 6.402ns (Levels of Logic = 2) + Clock Path Delay: -0.413ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<14> to interfaz_spi/controlador_spi_principal/word_int_14 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P9.I Tiopi 1.310 DATA_INOUT<14> + DATA_INOUT<14> + DATA_INOUT_14_IOBUF/IBUF + ProtoComp55.IMUX.16 + SLICE_X8Y8.D6 net (fanout=1) 4.803 N43 + SLICE_X8Y8.CLK Tas 0.289 interfaz_spi/controlador_spi_principal/word_int<14> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi61 + interfaz_spi/controlador_spi_principal/word_int_14 + ------------------------------------------------- --------------------------- + Total 6.402ns (1.599ns logic, 4.803ns route) + (25.0% logic, 75.0% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_14 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y8.CLK net (fanout=226) 0.700 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.413ns (-2.456ns logic, 2.043ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<14>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_14 (SLICE_X8Y8.D6), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 3.461ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<14> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_14 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.934ns (Levels of Logic = 2) + Clock Path Delay: 0.156ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<14> to interfaz_spi/controlador_spi_principal/word_int_14 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P9.I Tiopi 0.763 DATA_INOUT<14> + DATA_INOUT<14> + DATA_INOUT_14_IOBUF/IBUF + ProtoComp55.IMUX.16 + SLICE_X8Y8.D6 net (fanout=1) 2.974 N43 + SLICE_X8Y8.CLK Tah (-Th) -0.197 interfaz_spi/controlador_spi_principal/word_int<14> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi61 + interfaz_spi/controlador_spi_principal/word_int_14 + ------------------------------------------------- --------------------------- + Total 3.934ns (0.960ns logic, 2.974ns route) + (24.4% logic, 75.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_14 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y8.CLK net (fanout=226) 0.840 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.156ns (-1.582ns logic, 1.738ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 349 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.253ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<14> (P9.PAD), 349 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.414ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<14> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.255ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<14> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X15Y40.A2 net (fanout=24) 2.317 nb_mem_cont/mux_data/_n0040 + SLICE_X15Y40.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT131 + SLICE_X15Y39.A2 net (fanout=2) 0.665 nb_mem_cont/mux_data/Mmux_DATA_OUT11 + SLICE_X15Y39.A Tilo 0.259 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1> + nb_mem_cont/mux_data/Mmux_DATA_OUT135 + P9.O net (fanout=1) 3.122 nb_mem_cont/data_mux<14> + P9.PAD Tioop 2.381 DATA_INOUT<14> + DATA_INOUT_14_IOBUF/OBUFT + DATA_INOUT<14> + ------------------------------------------------- --------------------------- + Total 13.255ns (3.864ns logic, 9.391ns route) + (29.2% logic, 70.8% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.456ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<14> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.224ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<14> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X15Y40.A2 net (fanout=24) 2.317 nb_mem_cont/mux_data/_n0040 + SLICE_X15Y40.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT131 + SLICE_X15Y39.A2 net (fanout=2) 0.665 nb_mem_cont/mux_data/Mmux_DATA_OUT11 + SLICE_X15Y39.A Tilo 0.259 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1> + nb_mem_cont/mux_data/Mmux_DATA_OUT135 + P9.O net (fanout=1) 3.122 nb_mem_cont/data_mux<14> + P9.PAD Tioop 2.381 DATA_INOUT<14> + DATA_INOUT_14_IOBUF/OBUFT + DATA_INOUT<14> + ------------------------------------------------- --------------------------- + Total 13.224ns (3.800ns logic, 9.424ns route) + (28.7% logic, 71.3% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.462ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<14> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.200ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<14> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X15Y40.A2 net (fanout=24) 2.317 nb_mem_cont/mux_data/_n0040 + SLICE_X15Y40.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT131 + SLICE_X15Y39.A2 net (fanout=2) 0.665 nb_mem_cont/mux_data/Mmux_DATA_OUT11 + SLICE_X15Y39.A Tilo 0.259 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1> + nb_mem_cont/mux_data/Mmux_DATA_OUT135 + P9.O net (fanout=1) 3.122 nb_mem_cont/data_mux<14> + P9.PAD Tioop 2.381 DATA_INOUT<14> + DATA_INOUT_14_IOBUF/OBUFT + DATA_INOUT<14> + ------------------------------------------------- --------------------------- + Total 13.200ns (3.817ns logic, 9.383ns route) + (28.9% logic, 71.1% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<14> (P9.PAD), 349 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.695ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_14 (FF) + Destination: DATA_INOUT<14> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.454ns (Levels of Logic = 3) + Clock Path Delay: -0.442ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_14 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y40.CLK net (fanout=226) 0.448 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.442ns (-1.698ns logic, 1.256ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_14 to DATA_INOUT<14> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y40.CQ Tcko 0.200 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_14 + SLICE_X16Y40.A2 net (fanout=1) 0.347 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<14> + SLICE_X16Y40.A Tilo 0.156 nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT132 + SLICE_X15Y39.A6 net (fanout=1) 0.164 nb_mem_cont/mux_data/Mmux_DATA_OUT131 + SLICE_X15Y39.A Tilo 0.156 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1> + nb_mem_cont/mux_data/Mmux_DATA_OUT135 + P9.O net (fanout=1) 2.035 nb_mem_cont/data_mux<14> + P9.PAD Tioop 1.396 DATA_INOUT<14> + DATA_INOUT_14_IOBUF/OBUFT + DATA_INOUT<14> + ------------------------------------------------- --------------------------- + Total 4.454ns (1.908ns logic, 2.546ns route) + (42.8% logic, 57.2% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.489ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_14 (FF) + Destination: DATA_INOUT<14> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.247ns (Levels of Logic = 3) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_14 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y40.CLK net (fanout=226) 0.449 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-1.698ns logic, 1.257ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_14 to DATA_INOUT<14> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X16Y40.CQ Tcko 0.234 nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_14 + SLICE_X16Y40.A4 net (fanout=1) 0.106 nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<14> + SLICE_X16Y40.A Tilo 0.156 nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT132 + SLICE_X15Y39.A6 net (fanout=1) 0.164 nb_mem_cont/mux_data/Mmux_DATA_OUT131 + SLICE_X15Y39.A Tilo 0.156 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1> + nb_mem_cont/mux_data/Mmux_DATA_OUT135 + P9.O net (fanout=1) 2.035 nb_mem_cont/data_mux<14> + P9.PAD Tioop 1.396 DATA_INOUT<14> + DATA_INOUT_14_IOBUF/OBUFT + DATA_INOUT<14> + ------------------------------------------------- --------------------------- + Total 4.247ns (1.942ns logic, 2.305ns route) + (45.7% logic, 54.3% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.324ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_14 (FF) + Destination: DATA_INOUT<14> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.082ns (Levels of Logic = 2) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_14 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y39.CLK net (fanout=226) 0.449 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-1.698ns logic, 1.257ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_14 to DATA_INOUT<14> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X16Y39.CQ Tcko 0.234 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_14 + SLICE_X15Y39.A3 net (fanout=1) 0.261 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<14> + SLICE_X15Y39.A Tilo 0.156 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1> + nb_mem_cont/mux_data/Mmux_DATA_OUT135 + P9.O net (fanout=1) 2.035 nb_mem_cont/data_mux<14> + P9.PAD Tioop 1.396 DATA_INOUT<14> + DATA_INOUT_14_IOBUF/OBUFT + DATA_INOUT<14> + ------------------------------------------------- --------------------------- + Total 4.082ns (1.786ns logic, 2.296ns route) + (43.8% logic, 56.2% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<13>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 7.201ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_13 (SLICE_X8Y8.C6), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 9.466ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<13> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_13 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 6.471ns (Levels of Logic = 2) + Clock Path Delay: -0.413ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<13> to interfaz_spi/controlador_spi_principal/word_int_13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P10.I Tiopi 1.310 DATA_INOUT<13> + DATA_INOUT<13> + DATA_INOUT_13_IOBUF/IBUF + ProtoComp55.IMUX.15 + SLICE_X8Y8.C6 net (fanout=1) 4.872 N44 + SLICE_X8Y8.CLK Tas 0.289 interfaz_spi/controlador_spi_principal/word_int<14> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi51 + interfaz_spi/controlador_spi_principal/word_int_13 + ------------------------------------------------- --------------------------- + Total 6.471ns (1.599ns logic, 4.872ns route) + (24.7% logic, 75.3% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y8.CLK net (fanout=226) 0.700 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.413ns (-2.456ns logic, 2.043ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<13>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_13 (SLICE_X8Y8.C6), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 3.481ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<13> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_13 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.954ns (Levels of Logic = 2) + Clock Path Delay: 0.156ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<13> to interfaz_spi/controlador_spi_principal/word_int_13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P10.I Tiopi 0.763 DATA_INOUT<13> + DATA_INOUT<13> + DATA_INOUT_13_IOBUF/IBUF + ProtoComp55.IMUX.15 + SLICE_X8Y8.C6 net (fanout=1) 2.994 N44 + SLICE_X8Y8.CLK Tah (-Th) -0.197 interfaz_spi/controlador_spi_principal/word_int<14> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi51 + interfaz_spi/controlador_spi_principal/word_int_13 + ------------------------------------------------- --------------------------- + Total 3.954ns (0.960ns logic, 2.994ns route) + (24.3% logic, 75.7% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y8.CLK net (fanout=226) 0.840 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.156ns (-1.582ns logic, 1.738ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 349 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 12.781ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<13> (P10.PAD), 349 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.886ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<13> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.783ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<13> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X15Y40.D5 net (fanout=24) 2.089 nb_mem_cont/mux_data/_n0040 + SLICE_X15Y40.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT112 + SLICE_X15Y40.B2 net (fanout=1) 0.438 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + SLICE_X15Y40.B Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT115 + P10.O net (fanout=1) 3.105 nb_mem_cont/data_mux<13> + P10.PAD Tioop 2.381 DATA_INOUT<13> + DATA_INOUT_13_IOBUF/OBUFT + DATA_INOUT<13> + ------------------------------------------------- --------------------------- + Total 12.783ns (3.864ns logic, 8.919ns route) + (30.2% logic, 69.8% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.928ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<13> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.752ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<13> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X15Y40.D5 net (fanout=24) 2.089 nb_mem_cont/mux_data/_n0040 + SLICE_X15Y40.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT112 + SLICE_X15Y40.B2 net (fanout=1) 0.438 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + SLICE_X15Y40.B Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT115 + P10.O net (fanout=1) 3.105 nb_mem_cont/data_mux<13> + P10.PAD Tioop 2.381 DATA_INOUT<13> + DATA_INOUT_13_IOBUF/OBUFT + DATA_INOUT<13> + ------------------------------------------------- --------------------------- + Total 12.752ns (3.800ns logic, 8.952ns route) + (29.8% logic, 70.2% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.934ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<13> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.728ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<13> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X15Y40.D5 net (fanout=24) 2.089 nb_mem_cont/mux_data/_n0040 + SLICE_X15Y40.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT112 + SLICE_X15Y40.B2 net (fanout=1) 0.438 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + SLICE_X15Y40.B Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT115 + P10.O net (fanout=1) 3.105 nb_mem_cont/data_mux<13> + P10.PAD Tioop 2.381 DATA_INOUT<13> + DATA_INOUT_13_IOBUF/OBUFT + DATA_INOUT<13> + ------------------------------------------------- --------------------------- + Total 12.728ns (3.817ns logic, 8.911ns route) + (30.0% logic, 70.0% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<13> (P10.PAD), 349 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.738ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_13 (FF) + Destination: DATA_INOUT<13> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.496ns (Levels of Logic = 3) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y40.CLK net (fanout=226) 0.449 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-1.698ns logic, 1.257ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_13 to DATA_INOUT<13> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X16Y40.BQ Tcko 0.234 nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_13 + SLICE_X15Y40.D3 net (fanout=1) 0.278 nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<13> + SLICE_X15Y40.D Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT112 + SLICE_X15Y40.B2 net (fanout=1) 0.253 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + SLICE_X15Y40.B Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT115 + P10.O net (fanout=1) 2.023 nb_mem_cont/data_mux<13> + P10.PAD Tioop 1.396 DATA_INOUT<13> + DATA_INOUT_13_IOBUF/OBUFT + DATA_INOUT<13> + ------------------------------------------------- --------------------------- + Total 4.496ns (1.942ns logic, 2.554ns route) + (43.2% logic, 56.8% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.677ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_13 (FF) + Destination: DATA_INOUT<13> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.436ns (Levels of Logic = 3) + Clock Path Delay: -0.442ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y40.CLK net (fanout=226) 0.448 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.442ns (-1.698ns logic, 1.256ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_13 to DATA_INOUT<13> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y40.BQ Tcko 0.200 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_13 + SLICE_X15Y40.D2 net (fanout=1) 0.252 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<13> + SLICE_X15Y40.D Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT112 + SLICE_X15Y40.B2 net (fanout=1) 0.253 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + SLICE_X15Y40.B Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT115 + P10.O net (fanout=1) 2.023 nb_mem_cont/data_mux<13> + P10.PAD Tioop 1.396 DATA_INOUT<13> + DATA_INOUT_13_IOBUF/OBUFT + DATA_INOUT<13> + ------------------------------------------------- --------------------------- + Total 4.436ns (1.908ns logic, 2.528ns route) + (43.0% logic, 57.0% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.633ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_13 (FF) + Destination: DATA_INOUT<13> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.391ns (Levels of Logic = 2) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_13 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y39.CLK net (fanout=226) 0.449 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-1.698ns logic, 1.257ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_13 to DATA_INOUT<13> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X16Y39.BQ Tcko 0.234 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_13 + SLICE_X15Y40.B1 net (fanout=1) 0.582 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<13> + SLICE_X15Y40.B Tilo 0.156 nb_mem_cont/mux_data/Mmux_DATA_OUT111 + nb_mem_cont/mux_data/Mmux_DATA_OUT115 + P10.O net (fanout=1) 2.023 nb_mem_cont/data_mux<13> + P10.PAD Tioop 1.396 DATA_INOUT<13> + DATA_INOUT_13_IOBUF/OBUFT + DATA_INOUT<13> + ------------------------------------------------- --------------------------- + Total 4.391ns (1.786ns logic, 2.605ns route) + (40.7% logic, 59.3% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<12>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 7.196ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_12 (SLICE_X8Y8.B5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 9.471ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<12> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_12 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 6.466ns (Levels of Logic = 2) + Clock Path Delay: -0.413ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<12> to interfaz_spi/controlador_spi_principal/word_int_12 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P11.I Tiopi 1.310 DATA_INOUT<12> + DATA_INOUT<12> + DATA_INOUT_12_IOBUF/IBUF + ProtoComp55.IMUX.14 + SLICE_X8Y8.B5 net (fanout=1) 4.867 N45 + SLICE_X8Y8.CLK Tas 0.289 interfaz_spi/controlador_spi_principal/word_int<14> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi41 + interfaz_spi/controlador_spi_principal/word_int_12 + ------------------------------------------------- --------------------------- + Total 6.466ns (1.599ns logic, 4.867ns route) + (24.7% logic, 75.3% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_12 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y8.CLK net (fanout=226) 0.700 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.413ns (-2.456ns logic, 2.043ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<12>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_12 (SLICE_X8Y8.B5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 3.503ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<12> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_12 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.976ns (Levels of Logic = 2) + Clock Path Delay: 0.156ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<12> to interfaz_spi/controlador_spi_principal/word_int_12 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P11.I Tiopi 0.763 DATA_INOUT<12> + DATA_INOUT<12> + DATA_INOUT_12_IOBUF/IBUF + ProtoComp55.IMUX.14 + SLICE_X8Y8.B5 net (fanout=1) 3.016 N45 + SLICE_X8Y8.CLK Tah (-Th) -0.197 interfaz_spi/controlador_spi_principal/word_int<14> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi41 + interfaz_spi/controlador_spi_principal/word_int_12 + ------------------------------------------------- --------------------------- + Total 3.976ns (0.960ns logic, 3.016ns route) + (24.1% logic, 75.9% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_12 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y8.CLK net (fanout=226) 0.840 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.156ns (-1.582ns logic, 1.738ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 184 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 12.094ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<12> (P11.PAD), 184 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.573ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<12> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.096ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<12> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X17Y28.D5 net (fanout=9) 2.321 nb_mem_cont/oe_ch_vect<0> + SLICE_X17Y28.DMUX Tilo 0.313 nb_mem_cont/nibble_pack_ch5/_n0127_inv + nb_mem_cont/mux_data/_n0028<4>11 + SLICE_X17Y28.C4 net (fanout=6) 0.308 nb_mem_cont/mux_data/_n0028<4>1 + SLICE_X17Y28.C Tilo 0.259 nb_mem_cont/nibble_pack_ch5/_n0127_inv + nb_mem_cont/mux_data/Mmux_DATA_OUT95 + SLICE_X16Y39.C3 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT94 + SLICE_X16Y39.C Tilo 0.204 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT97 + P11.O net (fanout=1) 3.019 nb_mem_cont/data_mux<12> + P11.PAD Tioop 2.381 DATA_INOUT<12> + DATA_INOUT_12_IOBUF/OBUFT + DATA_INOUT<12> + ------------------------------------------------- --------------------------- + Total 12.096ns (3.871ns logic, 8.225ns route) + (32.0% logic, 68.0% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.615ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<12> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.065ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<12> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X17Y28.D5 net (fanout=9) 2.321 nb_mem_cont/oe_ch_vect<0> + SLICE_X17Y28.DMUX Tilo 0.313 nb_mem_cont/nibble_pack_ch5/_n0127_inv + nb_mem_cont/mux_data/_n0028<4>11 + SLICE_X17Y28.C4 net (fanout=6) 0.308 nb_mem_cont/mux_data/_n0028<4>1 + SLICE_X17Y28.C Tilo 0.259 nb_mem_cont/nibble_pack_ch5/_n0127_inv + nb_mem_cont/mux_data/Mmux_DATA_OUT95 + SLICE_X16Y39.C3 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT94 + SLICE_X16Y39.C Tilo 0.204 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT97 + P11.O net (fanout=1) 3.019 nb_mem_cont/data_mux<12> + P11.PAD Tioop 2.381 DATA_INOUT<12> + DATA_INOUT_12_IOBUF/OBUFT + DATA_INOUT<12> + ------------------------------------------------- --------------------------- + Total 12.065ns (3.807ns logic, 8.258ns route) + (31.6% logic, 68.4% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.754ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 (FF) + Destination: DATA_INOUT<12> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 11.925ns (Levels of Logic = 5) + Clock Path Delay: -0.329ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X10Y17.CLK net (fanout=226) 1.108 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.329ns (-3.088ns logic, 2.759ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 to DATA_INOUT<12> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X10Y17.BQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 + SLICE_X5Y14.D3 net (fanout=16) 1.013 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X17Y28.D5 net (fanout=9) 2.321 nb_mem_cont/oe_ch_vect<0> + SLICE_X17Y28.DMUX Tilo 0.313 nb_mem_cont/nibble_pack_ch5/_n0127_inv + nb_mem_cont/mux_data/_n0028<4>11 + SLICE_X17Y28.C4 net (fanout=6) 0.308 nb_mem_cont/mux_data/_n0028<4>1 + SLICE_X17Y28.C Tilo 0.259 nb_mem_cont/nibble_pack_ch5/_n0127_inv + nb_mem_cont/mux_data/Mmux_DATA_OUT95 + SLICE_X16Y39.C3 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT94 + SLICE_X16Y39.C Tilo 0.204 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT97 + P11.O net (fanout=1) 3.019 nb_mem_cont/data_mux<12> + P11.PAD Tioop 2.381 DATA_INOUT<12> + DATA_INOUT_12_IOBUF/OBUFT + DATA_INOUT<12> + ------------------------------------------------- --------------------------- + Total 11.925ns (3.824ns logic, 8.101ns route) + (32.1% logic, 67.9% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<12> (P11.PAD), 184 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.838ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<12> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.550ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<12> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P11.T net (fanout=16) 2.087 nb_mem_cont/io_sel/OEbar_inv + P11.PAD Tiotp 1.396 DATA_INOUT<12> + DATA_INOUT_12_IOBUF/OBUFT + DATA_INOUT<12> + ------------------------------------------------- --------------------------- + Total 4.550ns (1.786ns logic, 2.764ns route) + (39.3% logic, 60.7% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.740ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<12> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.381ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<12> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P11.T net (fanout=16) 2.087 nb_mem_cont/io_sel/OEbar_inv + P11.PAD Tiotp 1.396 DATA_INOUT<12> + DATA_INOUT_12_IOBUF/OBUFT + DATA_INOUT<12> + ------------------------------------------------- --------------------------- + Total 4.381ns (1.790ns logic, 2.591ns route) + (40.9% logic, 59.1% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.410ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_12 (FF) + Destination: DATA_INOUT<12> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.168ns (Levels of Logic = 3) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_12 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y39.CLK net (fanout=226) 0.449 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-1.698ns logic, 1.257ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_12 to DATA_INOUT<12> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X16Y39.AQ Tcko 0.234 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_12 + SLICE_X16Y39.A6 net (fanout=1) 0.017 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<12> + SLICE_X16Y39.A Tilo 0.156 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT92 + SLICE_X16Y39.C1 net (fanout=1) 0.241 nb_mem_cont/mux_data/Mmux_DATA_OUT91 + SLICE_X16Y39.C Tilo 0.156 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT97 + P11.O net (fanout=1) 1.968 nb_mem_cont/data_mux<12> + P11.PAD Tioop 1.396 DATA_INOUT<12> + DATA_INOUT_12_IOBUF/OBUFT + DATA_INOUT<12> + ------------------------------------------------- --------------------------- + Total 4.168ns (1.942ns logic, 2.226ns route) + (46.6% logic, 53.4% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<11>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 7.691ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_11 (SLICE_X8Y8.A5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 8.976ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<11> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_11 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 6.961ns (Levels of Logic = 2) + Clock Path Delay: -0.413ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<11> to interfaz_spi/controlador_spi_principal/word_int_11 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P12.I Tiopi 1.310 DATA_INOUT<11> + DATA_INOUT<11> + DATA_INOUT_11_IOBUF/IBUF + ProtoComp55.IMUX.13 + SLICE_X8Y8.A5 net (fanout=1) 5.362 N46 + SLICE_X8Y8.CLK Tas 0.289 interfaz_spi/controlador_spi_principal/word_int<14> + interfaz_spi/data_mux<11>1 + interfaz_spi/controlador_spi_principal/word_int_11 + ------------------------------------------------- --------------------------- + Total 6.961ns (1.599ns logic, 5.362ns route) + (23.0% logic, 77.0% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_11 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y8.CLK net (fanout=226) 0.700 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.413ns (-2.456ns logic, 2.043ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<11>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_11 (SLICE_X8Y8.A5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 3.780ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<11> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_11 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 4.253ns (Levels of Logic = 2) + Clock Path Delay: 0.156ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<11> to interfaz_spi/controlador_spi_principal/word_int_11 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P12.I Tiopi 0.763 DATA_INOUT<11> + DATA_INOUT<11> + DATA_INOUT_11_IOBUF/IBUF + ProtoComp55.IMUX.13 + SLICE_X8Y8.A5 net (fanout=1) 3.293 N46 + SLICE_X8Y8.CLK Tah (-Th) -0.197 interfaz_spi/controlador_spi_principal/word_int<14> + interfaz_spi/data_mux<11>1 + interfaz_spi/controlador_spi_principal/word_int_11 + ------------------------------------------------- --------------------------- + Total 4.253ns (0.960ns logic, 3.293ns route) + (22.6% logic, 77.4% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_11 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y8.CLK net (fanout=226) 0.840 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.156ns (-1.582ns logic, 1.738ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 184 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 12.308ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<11> (P12.PAD), 184 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.359ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<11> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.310ns (Levels of Logic = 4) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<11> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X16Y39.B2 net (fanout=9) 3.753 nb_mem_cont/oe_ch_vect<0> + SLICE_X16Y39.BMUX Tilo 0.261 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT93 + SLICE_X16Y38.A2 net (fanout=3) 0.629 nb_mem_cont/mux_data/Mmux_DATA_OUT152 + SLICE_X16Y38.A Tilo 0.203 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT77 + P12.O net (fanout=1) 3.232 nb_mem_cont/data_mux<11> + P12.PAD Tioop 2.381 DATA_INOUT<11> + DATA_INOUT_11_IOBUF/OBUFT + DATA_INOUT<11> + ------------------------------------------------- --------------------------- + Total 12.310ns (3.559ns logic, 8.751ns route) + (28.9% logic, 71.1% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.401ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<11> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.279ns (Levels of Logic = 4) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<11> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X16Y39.B2 net (fanout=9) 3.753 nb_mem_cont/oe_ch_vect<0> + SLICE_X16Y39.BMUX Tilo 0.261 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT93 + SLICE_X16Y38.A2 net (fanout=3) 0.629 nb_mem_cont/mux_data/Mmux_DATA_OUT152 + SLICE_X16Y38.A Tilo 0.203 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT77 + P12.O net (fanout=1) 3.232 nb_mem_cont/data_mux<11> + P12.PAD Tioop 2.381 DATA_INOUT<11> + DATA_INOUT_11_IOBUF/OBUFT + DATA_INOUT<11> + ------------------------------------------------- --------------------------- + Total 12.279ns (3.495ns logic, 8.784ns route) + (28.5% logic, 71.5% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.540ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 (FF) + Destination: DATA_INOUT<11> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.139ns (Levels of Logic = 4) + Clock Path Delay: -0.329ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X10Y17.CLK net (fanout=226) 1.108 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.329ns (-3.088ns logic, 2.759ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 to DATA_INOUT<11> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X10Y17.BQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 + SLICE_X5Y14.D3 net (fanout=16) 1.013 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X16Y39.B2 net (fanout=9) 3.753 nb_mem_cont/oe_ch_vect<0> + SLICE_X16Y39.BMUX Tilo 0.261 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15> + nb_mem_cont/mux_data/Mmux_DATA_OUT93 + SLICE_X16Y38.A2 net (fanout=3) 0.629 nb_mem_cont/mux_data/Mmux_DATA_OUT152 + SLICE_X16Y38.A Tilo 0.203 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT77 + P12.O net (fanout=1) 3.232 nb_mem_cont/data_mux<11> + P12.PAD Tioop 2.381 DATA_INOUT<11> + DATA_INOUT_11_IOBUF/OBUFT + DATA_INOUT<11> + ------------------------------------------------- --------------------------- + Total 12.139ns (3.512ns logic, 8.627ns route) + (28.9% logic, 71.1% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<11> (P12.PAD), 184 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.773ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_11 (FF) + Destination: DATA_INOUT<11> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.529ns (Levels of Logic = 3) + Clock Path Delay: -0.439ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_11 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y38.CLK net (fanout=226) 0.451 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.439ns (-1.698ns logic, 1.259ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_11 to DATA_INOUT<11> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y38.DQ Tcko 0.200 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_11 + SLICE_X16Y38.C3 net (fanout=1) 0.274 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + SLICE_X16Y38.C Tilo 0.156 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT75 + SLICE_X16Y38.A1 net (fanout=1) 0.258 nb_mem_cont/mux_data/Mmux_DATA_OUT74 + SLICE_X16Y38.A Tilo 0.156 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT77 + P12.O net (fanout=1) 2.089 nb_mem_cont/data_mux<11> + P12.PAD Tioop 1.396 DATA_INOUT<11> + DATA_INOUT_11_IOBUF/OBUFT + DATA_INOUT<11> + ------------------------------------------------- --------------------------- + Total 4.529ns (1.908ns logic, 2.621ns route) + (42.1% logic, 57.9% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.740ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<11> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.381ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<11> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P12.T net (fanout=16) 2.087 nb_mem_cont/io_sel/OEbar_inv + P12.PAD Tiotp 1.396 DATA_INOUT<11> + DATA_INOUT_11_IOBUF/OBUFT + DATA_INOUT<11> + ------------------------------------------------- --------------------------- + Total 4.381ns (1.790ns logic, 2.591ns route) + (40.9% logic, 59.1% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.434ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_11 (FF) + Destination: DATA_INOUT<11> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.190ns (Levels of Logic = 3) + Clock Path Delay: -0.439ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_11 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y38.CLK net (fanout=226) 0.451 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.439ns (-1.698ns logic, 1.259ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_11 to DATA_INOUT<11> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X16Y38.DQ Tcko 0.234 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_11 + SLICE_X16Y38.D6 net (fanout=1) 0.017 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + SLICE_X16Y38.D Tilo 0.156 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT72 + SLICE_X16Y38.A3 net (fanout=1) 0.142 nb_mem_cont/mux_data/Mmux_DATA_OUT71 + SLICE_X16Y38.A Tilo 0.156 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT77 + P12.O net (fanout=1) 2.089 nb_mem_cont/data_mux<11> + P12.PAD Tioop 1.396 DATA_INOUT<11> + DATA_INOUT_11_IOBUF/OBUFT + DATA_INOUT<11> + ------------------------------------------------- --------------------------- + Total 4.190ns (1.942ns logic, 2.248ns route) + (46.3% logic, 53.7% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<10>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.982ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_10 (SLICE_X6Y8.D5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 10.685ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<10> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_10 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 5.260ns (Levels of Logic = 2) + Clock Path Delay: -0.405ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<10> to interfaz_spi/controlador_spi_principal/word_int_10 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P14.I Tiopi 1.310 DATA_INOUT<10> + DATA_INOUT<10> + DATA_INOUT_10_IOBUF/IBUF + ProtoComp55.IMUX.12 + SLICE_X6Y8.D5 net (fanout=1) 3.609 N47 + SLICE_X6Y8.CLK Tas 0.341 interfaz_spi/controlador_spi_principal/word_int<10> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi21 + interfaz_spi/controlador_spi_principal/word_int_10 + ------------------------------------------------- --------------------------- + Total 5.260ns (1.651ns logic, 3.609ns route) + (31.4% logic, 68.6% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_10 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y8.CLK net (fanout=226) 0.708 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.405ns (-2.456ns logic, 2.051ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<10>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_10 (SLICE_X6Y8.D5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.754ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<10> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_10 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.235ns (Levels of Logic = 2) + Clock Path Delay: 0.164ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<10> to interfaz_spi/controlador_spi_principal/word_int_10 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P14.I Tiopi 0.763 DATA_INOUT<10> + DATA_INOUT<10> + DATA_INOUT_10_IOBUF/IBUF + ProtoComp55.IMUX.12 + SLICE_X6Y8.D5 net (fanout=1) 2.282 N47 + SLICE_X6Y8.CLK Tah (-Th) -0.190 interfaz_spi/controlador_spi_principal/word_int<10> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi21 + interfaz_spi/controlador_spi_principal/word_int_10 + ------------------------------------------------- --------------------------- + Total 3.235ns (0.953ns logic, 2.282ns route) + (29.5% logic, 70.5% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_10 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y8.CLK net (fanout=226) 0.848 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.164ns (-1.582ns logic, 1.746ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 304 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 12.614ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<10> (P14.PAD), 304 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.053ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<10> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.616ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<10> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.D Tilo 0.205 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0034<4>1 + SLICE_X14Y38.B1 net (fanout=18) 1.909 nb_mem_cont/mux_data/_n0034 + SLICE_X14Y38.BMUX Tilo 0.251 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT51 + SLICE_X14Y38.D4 net (fanout=1) 0.857 nb_mem_cont/mux_data/Mmux_DATA_OUT5 + SLICE_X14Y38.CMUX Topdc 0.338 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT57_F + nb_mem_cont/mux_data/Mmux_DATA_OUT57 + P14.O net (fanout=1) 2.674 nb_mem_cont/data_mux<10> + P14.PAD Tioop 2.381 DATA_INOUT<10> + DATA_INOUT_10_IOBUF/OBUFT + DATA_INOUT<10> + ------------------------------------------------- --------------------------- + Total 12.616ns (3.889ns logic, 8.727ns route) + (30.8% logic, 69.2% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.095ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<10> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.585ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<10> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.D Tilo 0.205 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0034<4>1 + SLICE_X14Y38.B1 net (fanout=18) 1.909 nb_mem_cont/mux_data/_n0034 + SLICE_X14Y38.BMUX Tilo 0.251 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT51 + SLICE_X14Y38.D4 net (fanout=1) 0.857 nb_mem_cont/mux_data/Mmux_DATA_OUT5 + SLICE_X14Y38.CMUX Topdc 0.338 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT57_F + nb_mem_cont/mux_data/Mmux_DATA_OUT57 + P14.O net (fanout=1) 2.674 nb_mem_cont/data_mux<10> + P14.PAD Tioop 2.381 DATA_INOUT<10> + DATA_INOUT_10_IOBUF/OBUFT + DATA_INOUT<10> + ------------------------------------------------- --------------------------- + Total 12.585ns (3.825ns logic, 8.760ns route) + (30.4% logic, 69.6% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.101ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<10> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.561ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<10> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.D Tilo 0.205 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0034<4>1 + SLICE_X14Y38.B1 net (fanout=18) 1.909 nb_mem_cont/mux_data/_n0034 + SLICE_X14Y38.BMUX Tilo 0.251 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT51 + SLICE_X14Y38.D4 net (fanout=1) 0.857 nb_mem_cont/mux_data/Mmux_DATA_OUT5 + SLICE_X14Y38.CMUX Topdc 0.338 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT57_F + nb_mem_cont/mux_data/Mmux_DATA_OUT57 + P14.O net (fanout=1) 2.674 nb_mem_cont/data_mux<10> + P14.PAD Tioop 2.381 DATA_INOUT<10> + DATA_INOUT_10_IOBUF/OBUFT + DATA_INOUT<10> + ------------------------------------------------- --------------------------- + Total 12.561ns (3.842ns logic, 8.719ns route) + (30.6% logic, 69.4% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<10> (P14.PAD), 304 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.192ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<10> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.904ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<10> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P14.T net (fanout=16) 1.441 nb_mem_cont/io_sel/OEbar_inv + P14.PAD Tiotp 1.396 DATA_INOUT<10> + DATA_INOUT_10_IOBUF/OBUFT + DATA_INOUT<10> + ------------------------------------------------- --------------------------- + Total 3.904ns (1.786ns logic, 2.118ns route) + (45.7% logic, 54.3% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.109ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_10 (FF) + Destination: DATA_INOUT<10> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.865ns (Levels of Logic = 2) + Clock Path Delay: -0.439ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_10 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y38.CLK net (fanout=226) 0.451 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.439ns (-1.698ns logic, 1.259ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_10 to DATA_INOUT<10> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X16Y38.CQ Tcko 0.234 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11> + nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_10 + SLICE_X14Y38.C4 net (fanout=1) 0.218 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<10> + SLICE_X14Y38.CMUX Tilo 0.234 nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT57_G + nb_mem_cont/mux_data/Mmux_DATA_OUT57 + P14.O net (fanout=1) 1.783 nb_mem_cont/data_mux<10> + P14.PAD Tioop 1.396 DATA_INOUT<10> + DATA_INOUT_10_IOBUF/OBUFT + DATA_INOUT<10> + ------------------------------------------------- --------------------------- + Total 3.865ns (1.864ns logic, 2.001ns route) + (48.2% logic, 51.8% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.094ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<10> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.735ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<10> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P14.T net (fanout=16) 1.441 nb_mem_cont/io_sel/OEbar_inv + P14.PAD Tiotp 1.396 DATA_INOUT<10> + DATA_INOUT_10_IOBUF/OBUFT + DATA_INOUT<10> + ------------------------------------------------- --------------------------- + Total 3.735ns (1.790ns logic, 1.945ns route) + (47.9% logic, 52.1% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<9>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 6.074ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_9 (SLICE_X6Y8.C6), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 10.593ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<9> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_9 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 5.352ns (Levels of Logic = 2) + Clock Path Delay: -0.405ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<9> to interfaz_spi/controlador_spi_principal/word_int_9 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P15.I Tiopi 1.310 DATA_INOUT<9> + DATA_INOUT<9> + DATA_INOUT_9_IOBUF/IBUF + ProtoComp55.IMUX.11 + SLICE_X6Y8.C6 net (fanout=1) 3.701 N48 + SLICE_X6Y8.CLK Tas 0.341 interfaz_spi/controlador_spi_principal/word_int<10> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi161 + interfaz_spi/controlador_spi_principal/word_int_9 + ------------------------------------------------- --------------------------- + Total 5.352ns (1.651ns logic, 3.701ns route) + (30.8% logic, 69.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_9 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y8.CLK net (fanout=226) 0.708 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.405ns (-2.456ns logic, 2.051ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<9>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_9 (SLICE_X6Y8.C6), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.753ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<9> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_9 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.234ns (Levels of Logic = 2) + Clock Path Delay: 0.164ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<9> to interfaz_spi/controlador_spi_principal/word_int_9 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P15.I Tiopi 0.763 DATA_INOUT<9> + DATA_INOUT<9> + DATA_INOUT_9_IOBUF/IBUF + ProtoComp55.IMUX.11 + SLICE_X6Y8.C6 net (fanout=1) 2.281 N48 + SLICE_X6Y8.CLK Tah (-Th) -0.190 interfaz_spi/controlador_spi_principal/word_int<10> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi161 + interfaz_spi/controlador_spi_principal/word_int_9 + ------------------------------------------------- --------------------------- + Total 3.234ns (0.953ns logic, 2.281ns route) + (29.5% logic, 70.5% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_9 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y8.CLK net (fanout=226) 0.848 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.164ns (-1.582ns logic, 1.746ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 304 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 12.627ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<9> (P15.PAD), 304 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.040ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<9> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.629ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<9> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X17Y33.D2 net (fanout=24) 1.393 nb_mem_cont/mux_data/_n0040 + SLICE_X17Y33.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT40 + nb_mem_cont/mux_data/Mmux_DATA_OUT401 + SLICE_X11Y25.C3 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT40 + SLICE_X11Y25.C Tilo 0.259 nb_mem_cont/hb_lbbar + nb_mem_cont/mux_data/Mmux_DATA_OUT407 + P15.O net (fanout=1) 2.645 nb_mem_cont/data_mux<9> + P15.PAD Tioop 2.381 DATA_INOUT<9> + DATA_INOUT_9_IOBUF/OBUFT + DATA_INOUT<9> + ------------------------------------------------- --------------------------- + Total 12.629ns (3.864ns logic, 8.765ns route) + (30.6% logic, 69.4% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.082ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<9> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.598ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<9> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X17Y33.D2 net (fanout=24) 1.393 nb_mem_cont/mux_data/_n0040 + SLICE_X17Y33.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT40 + nb_mem_cont/mux_data/Mmux_DATA_OUT401 + SLICE_X11Y25.C3 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT40 + SLICE_X11Y25.C Tilo 0.259 nb_mem_cont/hb_lbbar + nb_mem_cont/mux_data/Mmux_DATA_OUT407 + P15.O net (fanout=1) 2.645 nb_mem_cont/data_mux<9> + P15.PAD Tioop 2.381 DATA_INOUT<9> + DATA_INOUT_9_IOBUF/OBUFT + DATA_INOUT<9> + ------------------------------------------------- --------------------------- + Total 12.598ns (3.800ns logic, 8.798ns route) + (30.2% logic, 69.8% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.088ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<9> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.574ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<9> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X17Y33.D2 net (fanout=24) 1.393 nb_mem_cont/mux_data/_n0040 + SLICE_X17Y33.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT40 + nb_mem_cont/mux_data/Mmux_DATA_OUT401 + SLICE_X11Y25.C3 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT40 + SLICE_X11Y25.C Tilo 0.259 nb_mem_cont/hb_lbbar + nb_mem_cont/mux_data/Mmux_DATA_OUT407 + P15.O net (fanout=1) 2.645 nb_mem_cont/data_mux<9> + P15.PAD Tioop 2.381 DATA_INOUT<9> + DATA_INOUT_9_IOBUF/OBUFT + DATA_INOUT<9> + ------------------------------------------------- --------------------------- + Total 12.574ns (3.817ns logic, 8.757ns route) + (30.4% logic, 69.6% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<9> (P15.PAD), 304 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.199ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<9> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.908ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<9> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P15.T net (fanout=16) 1.441 nb_mem_cont/io_sel/OEbar_inv + P15.PAD Tiotp 1.396 DATA_INOUT<9> + DATA_INOUT_9_IOBUF/OBUFT + DATA_INOUT<9> + ------------------------------------------------- --------------------------- + Total 3.908ns (1.752ns logic, 2.156ns route) + (44.8% logic, 55.2% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.192ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<9> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.904ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<9> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P15.T net (fanout=16) 1.441 nb_mem_cont/io_sel/OEbar_inv + P15.PAD Tiotp 1.396 DATA_INOUT<9> + DATA_INOUT_9_IOBUF/OBUFT + DATA_INOUT<9> + ------------------------------------------------- --------------------------- + Total 3.904ns (1.786ns logic, 2.118ns route) + (45.7% logic, 54.3% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.094ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<9> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.735ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<9> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P15.T net (fanout=16) 1.441 nb_mem_cont/io_sel/OEbar_inv + P15.PAD Tiotp 1.396 DATA_INOUT<9> + DATA_INOUT_9_IOBUF/OBUFT + DATA_INOUT<9> + ------------------------------------------------- --------------------------- + Total 3.735ns (1.790ns logic, 1.945ns route) + (47.9% logic, 52.1% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<8>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.916ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_8 (SLICE_X6Y8.B6), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 10.751ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<8> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_8 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 5.194ns (Levels of Logic = 2) + Clock Path Delay: -0.405ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<8> to interfaz_spi/controlador_spi_principal/word_int_8 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P16.I Tiopi 1.310 DATA_INOUT<8> + DATA_INOUT<8> + DATA_INOUT_8_IOBUF/IBUF + ProtoComp55.IMUX.10 + SLICE_X6Y8.B6 net (fanout=1) 3.543 N49 + SLICE_X6Y8.CLK Tas 0.341 interfaz_spi/controlador_spi_principal/word_int<10> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi151 + interfaz_spi/controlador_spi_principal/word_int_8 + ------------------------------------------------- --------------------------- + Total 5.194ns (1.651ns logic, 3.543ns route) + (31.8% logic, 68.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_8 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y8.CLK net (fanout=226) 0.708 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.405ns (-2.456ns logic, 2.051ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<8>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_8 (SLICE_X6Y8.B6), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.696ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<8> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_8 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.177ns (Levels of Logic = 2) + Clock Path Delay: 0.164ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<8> to interfaz_spi/controlador_spi_principal/word_int_8 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P16.I Tiopi 0.763 DATA_INOUT<8> + DATA_INOUT<8> + DATA_INOUT_8_IOBUF/IBUF + ProtoComp55.IMUX.10 + SLICE_X6Y8.B6 net (fanout=1) 2.224 N49 + SLICE_X6Y8.CLK Tah (-Th) -0.190 interfaz_spi/controlador_spi_principal/word_int<10> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi151 + interfaz_spi/controlador_spi_principal/word_int_8 + ------------------------------------------------- --------------------------- + Total 3.177ns (0.953ns logic, 2.224ns route) + (30.0% logic, 70.0% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_8 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y8.CLK net (fanout=226) 0.848 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.164ns (-1.582ns logic, 1.746ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 349 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 12.820ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<8> (P16.PAD), 349 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.847ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<8> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.822ns (Levels of Logic = 6) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<8> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X14Y36.D5 net (fanout=24) 1.411 nb_mem_cont/mux_data/_n0040 + SLICE_X14Y36.D Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + nb_mem_cont/mux_data/Mmux_DATA_OUT385 + SLICE_X14Y36.C6 net (fanout=1) 0.118 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + SLICE_X14Y36.C Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + nb_mem_cont/mux_data/Mmux_DATA_OUT387_SW0 + SLICE_X14Y25.B4 net (fanout=1) 1.099 N197 + SLICE_X14Y25.B Tilo 0.205 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/Mmux_DATA_OUT387 + P16.O net (fanout=1) 2.946 nb_mem_cont/data_mux<8> + P16.PAD Tioop 2.381 DATA_INOUT<8> + DATA_INOUT_8_IOBUF/OBUFT + DATA_INOUT<8> + ------------------------------------------------- --------------------------- + Total 12.822ns (3.961ns logic, 8.861ns route) + (30.9% logic, 69.1% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.889ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<8> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.791ns (Levels of Logic = 6) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<8> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X14Y36.D5 net (fanout=24) 1.411 nb_mem_cont/mux_data/_n0040 + SLICE_X14Y36.D Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + nb_mem_cont/mux_data/Mmux_DATA_OUT385 + SLICE_X14Y36.C6 net (fanout=1) 0.118 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + SLICE_X14Y36.C Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + nb_mem_cont/mux_data/Mmux_DATA_OUT387_SW0 + SLICE_X14Y25.B4 net (fanout=1) 1.099 N197 + SLICE_X14Y25.B Tilo 0.205 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/Mmux_DATA_OUT387 + P16.O net (fanout=1) 2.946 nb_mem_cont/data_mux<8> + P16.PAD Tioop 2.381 DATA_INOUT<8> + DATA_INOUT_8_IOBUF/OBUFT + DATA_INOUT<8> + ------------------------------------------------- --------------------------- + Total 12.791ns (3.897ns logic, 8.894ns route) + (30.5% logic, 69.5% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.895ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<8> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 12.767ns (Levels of Logic = 6) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<8> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X14Y36.D5 net (fanout=24) 1.411 nb_mem_cont/mux_data/_n0040 + SLICE_X14Y36.D Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + nb_mem_cont/mux_data/Mmux_DATA_OUT385 + SLICE_X14Y36.C6 net (fanout=1) 0.118 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + SLICE_X14Y36.C Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT385 + nb_mem_cont/mux_data/Mmux_DATA_OUT387_SW0 + SLICE_X14Y25.B4 net (fanout=1) 1.099 N197 + SLICE_X14Y25.B Tilo 0.205 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/Mmux_DATA_OUT387 + P16.O net (fanout=1) 2.946 nb_mem_cont/data_mux<8> + P16.PAD Tioop 2.381 DATA_INOUT<8> + DATA_INOUT_8_IOBUF/OBUFT + DATA_INOUT<8> + ------------------------------------------------- --------------------------- + Total 12.767ns (3.914ns logic, 8.853ns route) + (30.7% logic, 69.3% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<8> (P16.PAD), 349 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.096ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<8> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.805ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<8> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P16.T net (fanout=16) 1.338 nb_mem_cont/io_sel/OEbar_inv + P16.PAD Tiotp 1.396 DATA_INOUT<8> + DATA_INOUT_8_IOBUF/OBUFT + DATA_INOUT<8> + ------------------------------------------------- --------------------------- + Total 3.805ns (1.752ns logic, 2.053ns route) + (46.0% logic, 54.0% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.089ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<8> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.801ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<8> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P16.T net (fanout=16) 1.338 nb_mem_cont/io_sel/OEbar_inv + P16.PAD Tiotp 1.396 DATA_INOUT<8> + DATA_INOUT_8_IOBUF/OBUFT + DATA_INOUT<8> + ------------------------------------------------- --------------------------- + Total 3.801ns (1.786ns logic, 2.015ns route) + (47.0% logic, 53.0% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 2.991ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<8> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.632ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<8> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P16.T net (fanout=16) 1.338 nb_mem_cont/io_sel/OEbar_inv + P16.PAD Tiotp 1.396 DATA_INOUT<8> + DATA_INOUT_8_IOBUF/OBUFT + DATA_INOUT<8> + ------------------------------------------------- --------------------------- + Total 3.632ns (1.790ns logic, 1.842ns route) + (49.3% logic, 50.7% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<7>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.791ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_7 (SLICE_X6Y8.A5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.876ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<7> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_7 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.069ns (Levels of Logic = 2) + Clock Path Delay: -0.405ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<7> to interfaz_spi/controlador_spi_principal/word_int_7 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P41.I Tiopi 1.310 DATA_INOUT<7> + DATA_INOUT<7> + DATA_INOUT_7_IOBUF/IBUF + ProtoComp55.IMUX.9 + SLICE_X6Y8.A5 net (fanout=1) 2.418 N50 + SLICE_X6Y8.CLK Tas 0.341 interfaz_spi/controlador_spi_principal/word_int<10> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi141 + interfaz_spi/controlador_spi_principal/word_int_7 + ------------------------------------------------- --------------------------- + Total 4.069ns (1.651ns logic, 2.418ns route) + (40.6% logic, 59.4% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_7 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y8.CLK net (fanout=226) 0.708 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.405ns (-2.456ns logic, 2.051ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<7>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_7 (SLICE_X6Y8.A5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.009ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<7> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_7 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.490ns (Levels of Logic = 2) + Clock Path Delay: 0.164ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<7> to interfaz_spi/controlador_spi_principal/word_int_7 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P41.I Tiopi 0.763 DATA_INOUT<7> + DATA_INOUT<7> + DATA_INOUT_7_IOBUF/IBUF + ProtoComp55.IMUX.9 + SLICE_X6Y8.A5 net (fanout=1) 1.537 N50 + SLICE_X6Y8.CLK Tah (-Th) -0.190 interfaz_spi/controlador_spi_principal/word_int<10> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi141 + interfaz_spi/controlador_spi_principal/word_int_7 + ------------------------------------------------- --------------------------- + Total 2.490ns (0.953ns logic, 1.537ns route) + (38.3% logic, 61.7% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_7 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y8.CLK net (fanout=226) 0.848 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.164ns (-1.582ns logic, 1.746ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 339 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.357ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<7> (P41.PAD), 339 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.310ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<7> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.359ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<7> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y24.C2 net (fanout=9) 1.945 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X20Y33.D5 net (fanout=24) 1.425 nb_mem_cont/mux_data/_n0046 + SLICE_X20Y33.D Tilo 0.203 nb_mem_cont/mux_data/Mmux_DATA_OUT36 + nb_mem_cont/mux_data/Mmux_DATA_OUT361 + SLICE_X16Y23.A2 net (fanout=1) 1.613 nb_mem_cont/mux_data/Mmux_DATA_OUT36 + SLICE_X16Y23.A Tilo 0.203 nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT368 + P41.O net (fanout=1) 3.533 nb_mem_cont/data_mux<7> + P41.PAD Tioop 2.381 DATA_INOUT<7> + DATA_INOUT_7_IOBUF/OBUFT + DATA_INOUT<7> + ------------------------------------------------- --------------------------- + Total 13.359ns (3.706ns logic, 9.653ns route) + (27.7% logic, 72.3% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.352ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<7> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.328ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<7> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y24.C2 net (fanout=9) 1.945 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X20Y33.D5 net (fanout=24) 1.425 nb_mem_cont/mux_data/_n0046 + SLICE_X20Y33.D Tilo 0.203 nb_mem_cont/mux_data/Mmux_DATA_OUT36 + nb_mem_cont/mux_data/Mmux_DATA_OUT361 + SLICE_X16Y23.A2 net (fanout=1) 1.613 nb_mem_cont/mux_data/Mmux_DATA_OUT36 + SLICE_X16Y23.A Tilo 0.203 nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT368 + P41.O net (fanout=1) 3.533 nb_mem_cont/data_mux<7> + P41.PAD Tioop 2.381 DATA_INOUT<7> + DATA_INOUT_7_IOBUF/OBUFT + DATA_INOUT<7> + ------------------------------------------------- --------------------------- + Total 13.328ns (3.642ns logic, 9.686ns route) + (27.3% logic, 72.7% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.445ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<7> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.217ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<7> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y24.C3 net (fanout=11) 0.712 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X20Y33.D5 net (fanout=24) 1.425 nb_mem_cont/mux_data/_n0046 + SLICE_X20Y33.D Tilo 0.203 nb_mem_cont/mux_data/Mmux_DATA_OUT36 + nb_mem_cont/mux_data/Mmux_DATA_OUT361 + SLICE_X16Y23.A2 net (fanout=1) 1.613 nb_mem_cont/mux_data/Mmux_DATA_OUT36 + SLICE_X16Y23.A Tilo 0.203 nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<11> + nb_mem_cont/mux_data/Mmux_DATA_OUT368 + P41.O net (fanout=1) 3.533 nb_mem_cont/data_mux<7> + P41.PAD Tioop 2.381 DATA_INOUT<7> + DATA_INOUT_7_IOBUF/OBUFT + DATA_INOUT<7> + ------------------------------------------------- --------------------------- + Total 13.217ns (3.659ns logic, 9.558ns route) + (27.7% logic, 72.3% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<7> (P41.PAD), 339 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.650ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<7> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.359ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<7> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P41.T net (fanout=16) 1.892 nb_mem_cont/io_sel/OEbar_inv + P41.PAD Tiotp 1.396 DATA_INOUT<7> + DATA_INOUT_7_IOBUF/OBUFT + DATA_INOUT<7> + ------------------------------------------------- --------------------------- + Total 4.359ns (1.752ns logic, 2.607ns route) + (40.2% logic, 59.8% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.643ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<7> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.355ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<7> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P41.T net (fanout=16) 1.892 nb_mem_cont/io_sel/OEbar_inv + P41.PAD Tiotp 1.396 DATA_INOUT<7> + DATA_INOUT_7_IOBUF/OBUFT + DATA_INOUT<7> + ------------------------------------------------- --------------------------- + Total 4.355ns (1.786ns logic, 2.569ns route) + (41.0% logic, 59.0% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.545ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<7> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.186ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<7> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P41.T net (fanout=16) 1.892 nb_mem_cont/io_sel/OEbar_inv + P41.PAD Tiotp 1.396 DATA_INOUT<7> + DATA_INOUT_7_IOBUF/OBUFT + DATA_INOUT<7> + ------------------------------------------------- --------------------------- + Total 4.186ns (1.790ns logic, 2.396ns route) + (42.8% logic, 57.2% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<6>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.011ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_6 (SLICE_X9Y5.D1), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.656ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<6> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_6 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.286ns (Levels of Logic = 2) + Clock Path Delay: -0.408ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<6> to interfaz_spi/controlador_spi_principal/word_int_6 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P40.I Tiopi 1.310 DATA_INOUT<6> + DATA_INOUT<6> + DATA_INOUT_6_IOBUF/IBUF + ProtoComp55.IMUX.8 + SLICE_X9Y5.D1 net (fanout=1) 2.654 N51 + SLICE_X9Y5.CLK Tas 0.322 interfaz_spi/controlador_spi_principal/word_int<6> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi131 + interfaz_spi/controlador_spi_principal/word_int_6 + ------------------------------------------------- --------------------------- + Total 4.286ns (1.632ns logic, 2.654ns route) + (38.1% logic, 61.9% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_6 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y5.CLK net (fanout=226) 0.705 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.408ns (-2.456ns logic, 2.048ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<6>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_6 (SLICE_X9Y5.D1), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.222ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<6> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_6 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.700ns (Levels of Logic = 2) + Clock Path Delay: 0.161ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<6> to interfaz_spi/controlador_spi_principal/word_int_6 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P40.I Tiopi 0.763 DATA_INOUT<6> + DATA_INOUT<6> + DATA_INOUT_6_IOBUF/IBUF + ProtoComp55.IMUX.8 + SLICE_X9Y5.D1 net (fanout=1) 1.722 N51 + SLICE_X9Y5.CLK Tah (-Th) -0.215 interfaz_spi/controlador_spi_principal/word_int<6> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi131 + interfaz_spi/controlador_spi_principal/word_int_6 + ------------------------------------------------- --------------------------- + Total 2.700ns (0.978ns logic, 1.722ns route) + (36.2% logic, 63.8% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_6 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y5.CLK net (fanout=226) 0.845 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.161ns (-1.582ns logic, 1.743ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 339 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 14.600ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<6> (P40.PAD), 339 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.067ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<6> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 14.602ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<6> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X19Y37.D1 net (fanout=24) 2.002 nb_mem_cont/mux_data/_n0040 + SLICE_X19Y37.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT335 + nb_mem_cont/mux_data/Mmux_DATA_OUT336 + SLICE_X17Y21.A2 net (fanout=1) 1.933 nb_mem_cont/mux_data/Mmux_DATA_OUT335 + SLICE_X17Y21.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT334 + nb_mem_cont/mux_data/Mmux_DATA_OUT338 + P40.O net (fanout=1) 3.516 nb_mem_cont/data_mux<6> + P40.PAD Tioop 2.381 DATA_INOUT<6> + DATA_INOUT_6_IOBUF/OBUFT + DATA_INOUT<6> + ------------------------------------------------- --------------------------- + Total 14.602ns (3.864ns logic, 10.738ns route) + (26.5% logic, 73.5% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.109ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<6> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 14.571ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<6> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X19Y37.D1 net (fanout=24) 2.002 nb_mem_cont/mux_data/_n0040 + SLICE_X19Y37.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT335 + nb_mem_cont/mux_data/Mmux_DATA_OUT336 + SLICE_X17Y21.A2 net (fanout=1) 1.933 nb_mem_cont/mux_data/Mmux_DATA_OUT335 + SLICE_X17Y21.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT334 + nb_mem_cont/mux_data/Mmux_DATA_OUT338 + P40.O net (fanout=1) 3.516 nb_mem_cont/data_mux<6> + P40.PAD Tioop 2.381 DATA_INOUT<6> + DATA_INOUT_6_IOBUF/OBUFT + DATA_INOUT<6> + ------------------------------------------------- --------------------------- + Total 14.571ns (3.800ns logic, 10.771ns route) + (26.1% logic, 73.9% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.115ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<6> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 14.547ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<6> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X19Y37.D1 net (fanout=24) 2.002 nb_mem_cont/mux_data/_n0040 + SLICE_X19Y37.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT335 + nb_mem_cont/mux_data/Mmux_DATA_OUT336 + SLICE_X17Y21.A2 net (fanout=1) 1.933 nb_mem_cont/mux_data/Mmux_DATA_OUT335 + SLICE_X17Y21.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT334 + nb_mem_cont/mux_data/Mmux_DATA_OUT338 + P40.O net (fanout=1) 3.516 nb_mem_cont/data_mux<6> + P40.PAD Tioop 2.381 DATA_INOUT<6> + DATA_INOUT_6_IOBUF/OBUFT + DATA_INOUT<6> + ------------------------------------------------- --------------------------- + Total 14.547ns (3.817ns logic, 10.730ns route) + (26.2% logic, 73.8% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<6> (P40.PAD), 339 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.650ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<6> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.359ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<6> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P40.T net (fanout=16) 1.892 nb_mem_cont/io_sel/OEbar_inv + P40.PAD Tiotp 1.396 DATA_INOUT<6> + DATA_INOUT_6_IOBUF/OBUFT + DATA_INOUT<6> + ------------------------------------------------- --------------------------- + Total 4.359ns (1.752ns logic, 2.607ns route) + (40.2% logic, 59.8% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.643ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<6> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.355ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<6> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P40.T net (fanout=16) 1.892 nb_mem_cont/io_sel/OEbar_inv + P40.PAD Tiotp 1.396 DATA_INOUT<6> + DATA_INOUT_6_IOBUF/OBUFT + DATA_INOUT<6> + ------------------------------------------------- --------------------------- + Total 4.355ns (1.786ns logic, 2.569ns route) + (41.0% logic, 59.0% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.545ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<6> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.186ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<6> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P40.T net (fanout=16) 1.892 nb_mem_cont/io_sel/OEbar_inv + P40.PAD Tiotp 1.396 DATA_INOUT<6> + DATA_INOUT_6_IOBUF/OBUFT + DATA_INOUT<6> + ------------------------------------------------- --------------------------- + Total 4.186ns (1.790ns logic, 2.396ns route) + (42.8% logic, 57.2% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<5>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.683ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_5 (SLICE_X9Y5.C2), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.984ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<5> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_5 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.958ns (Levels of Logic = 2) + Clock Path Delay: -0.408ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<5> to interfaz_spi/controlador_spi_principal/word_int_5 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P39.I Tiopi 1.310 DATA_INOUT<5> + DATA_INOUT<5> + DATA_INOUT_5_IOBUF/IBUF + ProtoComp55.IMUX.7 + SLICE_X9Y5.C2 net (fanout=1) 2.326 N52 + SLICE_X9Y5.CLK Tas 0.322 interfaz_spi/controlador_spi_principal/word_int<6> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi121 + interfaz_spi/controlador_spi_principal/word_int_5 + ------------------------------------------------- --------------------------- + Total 3.958ns (1.632ns logic, 2.326ns route) + (41.2% logic, 58.8% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_5 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y5.CLK net (fanout=226) 0.705 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.408ns (-2.456ns logic, 2.048ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<5>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_5 (SLICE_X9Y5.C2), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.004ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<5> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_5 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.482ns (Levels of Logic = 2) + Clock Path Delay: 0.161ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<5> to interfaz_spi/controlador_spi_principal/word_int_5 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P39.I Tiopi 0.763 DATA_INOUT<5> + DATA_INOUT<5> + DATA_INOUT_5_IOBUF/IBUF + ProtoComp55.IMUX.7 + SLICE_X9Y5.C2 net (fanout=1) 1.504 N52 + SLICE_X9Y5.CLK Tah (-Th) -0.215 interfaz_spi/controlador_spi_principal/word_int<6> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi121 + interfaz_spi/controlador_spi_principal/word_int_5 + ------------------------------------------------- --------------------------- + Total 2.482ns (0.978ns logic, 1.504ns route) + (39.4% logic, 60.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_5 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y5.CLK net (fanout=226) 0.845 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.161ns (-1.582ns logic, 1.743ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 339 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 14.057ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<5> (P39.PAD), 339 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.610ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<5> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 14.059ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<5> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X21Y34.D4 net (fanout=24) 1.717 nb_mem_cont/mux_data/_n0040 + SLICE_X21Y34.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT305 + nb_mem_cont/mux_data/Mmux_DATA_OUT306 + SLICE_X20Y20.C1 net (fanout=1) 1.481 nb_mem_cont/mux_data/Mmux_DATA_OUT305 + SLICE_X20Y20.C Tilo 0.204 nb_mem_cont/nibble_pack_ch5/rdy_mem_aux + nb_mem_cont/mux_data/Mmux_DATA_OUT308 + P39.O net (fanout=1) 3.765 nb_mem_cont/data_mux<5> + P39.PAD Tioop 2.381 DATA_INOUT<5> + DATA_INOUT_5_IOBUF/OBUFT + DATA_INOUT<5> + ------------------------------------------------- --------------------------- + Total 14.059ns (3.809ns logic, 10.250ns route) + (27.1% logic, 72.9% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.652ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<5> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 14.028ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<5> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X21Y34.D4 net (fanout=24) 1.717 nb_mem_cont/mux_data/_n0040 + SLICE_X21Y34.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT305 + nb_mem_cont/mux_data/Mmux_DATA_OUT306 + SLICE_X20Y20.C1 net (fanout=1) 1.481 nb_mem_cont/mux_data/Mmux_DATA_OUT305 + SLICE_X20Y20.C Tilo 0.204 nb_mem_cont/nibble_pack_ch5/rdy_mem_aux + nb_mem_cont/mux_data/Mmux_DATA_OUT308 + P39.O net (fanout=1) 3.765 nb_mem_cont/data_mux<5> + P39.PAD Tioop 2.381 DATA_INOUT<5> + DATA_INOUT_5_IOBUF/OBUFT + DATA_INOUT<5> + ------------------------------------------------- --------------------------- + Total 14.028ns (3.745ns logic, 10.283ns route) + (26.7% logic, 73.3% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.658ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<5> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 14.004ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<5> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X21Y34.D4 net (fanout=24) 1.717 nb_mem_cont/mux_data/_n0040 + SLICE_X21Y34.D Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT305 + nb_mem_cont/mux_data/Mmux_DATA_OUT306 + SLICE_X20Y20.C1 net (fanout=1) 1.481 nb_mem_cont/mux_data/Mmux_DATA_OUT305 + SLICE_X20Y20.C Tilo 0.204 nb_mem_cont/nibble_pack_ch5/rdy_mem_aux + nb_mem_cont/mux_data/Mmux_DATA_OUT308 + P39.O net (fanout=1) 3.765 nb_mem_cont/data_mux<5> + P39.PAD Tioop 2.381 DATA_INOUT<5> + DATA_INOUT_5_IOBUF/OBUFT + DATA_INOUT<5> + ------------------------------------------------- --------------------------- + Total 14.004ns (3.762ns logic, 10.242ns route) + (26.9% logic, 73.1% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<5> (P39.PAD), 339 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.586ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<5> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.295ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<5> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P39.T net (fanout=16) 1.828 nb_mem_cont/io_sel/OEbar_inv + P39.PAD Tiotp 1.396 DATA_INOUT<5> + DATA_INOUT_5_IOBUF/OBUFT + DATA_INOUT<5> + ------------------------------------------------- --------------------------- + Total 4.295ns (1.752ns logic, 2.543ns route) + (40.8% logic, 59.2% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.579ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<5> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.291ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<5> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P39.T net (fanout=16) 1.828 nb_mem_cont/io_sel/OEbar_inv + P39.PAD Tiotp 1.396 DATA_INOUT<5> + DATA_INOUT_5_IOBUF/OBUFT + DATA_INOUT<5> + ------------------------------------------------- --------------------------- + Total 4.291ns (1.786ns logic, 2.505ns route) + (41.6% logic, 58.4% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.481ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<5> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.122ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<5> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P39.T net (fanout=16) 1.828 nb_mem_cont/io_sel/OEbar_inv + P39.PAD Tiotp 1.396 DATA_INOUT<5> + DATA_INOUT_5_IOBUF/OBUFT + DATA_INOUT<5> + ------------------------------------------------- --------------------------- + Total 4.122ns (1.790ns logic, 2.332ns route) + (43.4% logic, 56.6% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<4>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.834ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_4 (SLICE_X9Y5.B1), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.833ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<4> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_4 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.109ns (Levels of Logic = 2) + Clock Path Delay: -0.408ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<4> to interfaz_spi/controlador_spi_principal/word_int_4 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P38.I Tiopi 1.310 DATA_INOUT<4> + DATA_INOUT<4> + DATA_INOUT_4_IOBUF/IBUF + ProtoComp55.IMUX.6 + SLICE_X9Y5.B1 net (fanout=1) 2.477 N53 + SLICE_X9Y5.CLK Tas 0.322 interfaz_spi/controlador_spi_principal/word_int<6> + interfaz_spi/data_mux<4>1 + interfaz_spi/controlador_spi_principal/word_int_4 + ------------------------------------------------- --------------------------- + Total 4.109ns (1.632ns logic, 2.477ns route) + (39.7% logic, 60.3% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_4 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y5.CLK net (fanout=226) 0.705 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.408ns (-2.456ns logic, 2.048ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<4>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_4 (SLICE_X9Y5.B1), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.135ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<4> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_4 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.613ns (Levels of Logic = 2) + Clock Path Delay: 0.161ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<4> to interfaz_spi/controlador_spi_principal/word_int_4 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P38.I Tiopi 0.763 DATA_INOUT<4> + DATA_INOUT<4> + DATA_INOUT_4_IOBUF/IBUF + ProtoComp55.IMUX.6 + SLICE_X9Y5.B1 net (fanout=1) 1.635 N53 + SLICE_X9Y5.CLK Tah (-Th) -0.215 interfaz_spi/controlador_spi_principal/word_int<6> + interfaz_spi/data_mux<4>1 + interfaz_spi/controlador_spi_principal/word_int_4 + ------------------------------------------------- --------------------------- + Total 2.613ns (0.978ns logic, 1.635ns route) + (37.4% logic, 62.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_4 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y5.CLK net (fanout=226) 0.845 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.161ns (-1.582ns logic, 1.743ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 339 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.747ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<4> (P38.PAD), 339 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.920ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<4> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.749ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<4> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y24.C2 net (fanout=9) 1.945 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X23Y33.A2 net (fanout=24) 1.723 nb_mem_cont/mux_data/_n0046 + SLICE_X23Y33.A Tilo 0.259 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<7> + nb_mem_cont/mux_data/Mmux_DATA_OUT271 + SLICE_X10Y16.C2 net (fanout=1) 2.279 nb_mem_cont/mux_data/Mmux_DATA_OUT27 + SLICE_X10Y16.C Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT274 + nb_mem_cont/mux_data/Mmux_DATA_OUT278 + P38.O net (fanout=1) 2.901 nb_mem_cont/data_mux<4> + P38.PAD Tioop 2.381 DATA_INOUT<4> + DATA_INOUT_4_IOBUF/OBUFT + DATA_INOUT<4> + ------------------------------------------------- --------------------------- + Total 13.749ns (3.764ns logic, 9.985ns route) + (27.4% logic, 72.6% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.962ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<4> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.718ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<4> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y24.C2 net (fanout=9) 1.945 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X23Y33.A2 net (fanout=24) 1.723 nb_mem_cont/mux_data/_n0046 + SLICE_X23Y33.A Tilo 0.259 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<7> + nb_mem_cont/mux_data/Mmux_DATA_OUT271 + SLICE_X10Y16.C2 net (fanout=1) 2.279 nb_mem_cont/mux_data/Mmux_DATA_OUT27 + SLICE_X10Y16.C Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT274 + nb_mem_cont/mux_data/Mmux_DATA_OUT278 + P38.O net (fanout=1) 2.901 nb_mem_cont/data_mux<4> + P38.PAD Tioop 2.381 DATA_INOUT<4> + DATA_INOUT_4_IOBUF/OBUFT + DATA_INOUT<4> + ------------------------------------------------- --------------------------- + Total 13.718ns (3.700ns logic, 10.018ns route) + (27.0% logic, 73.0% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.055ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<4> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.607ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<4> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y24.C3 net (fanout=11) 0.712 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X23Y33.A2 net (fanout=24) 1.723 nb_mem_cont/mux_data/_n0046 + SLICE_X23Y33.A Tilo 0.259 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<7> + nb_mem_cont/mux_data/Mmux_DATA_OUT271 + SLICE_X10Y16.C2 net (fanout=1) 2.279 nb_mem_cont/mux_data/Mmux_DATA_OUT27 + SLICE_X10Y16.C Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT274 + nb_mem_cont/mux_data/Mmux_DATA_OUT278 + P38.O net (fanout=1) 2.901 nb_mem_cont/data_mux<4> + P38.PAD Tioop 2.381 DATA_INOUT<4> + DATA_INOUT_4_IOBUF/OBUFT + DATA_INOUT<4> + ------------------------------------------------- --------------------------- + Total 13.607ns (3.717ns logic, 9.890ns route) + (27.3% logic, 72.7% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<4> (P38.PAD), 339 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.586ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<4> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.295ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<4> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P38.T net (fanout=16) 1.828 nb_mem_cont/io_sel/OEbar_inv + P38.PAD Tiotp 1.396 DATA_INOUT<4> + DATA_INOUT_4_IOBUF/OBUFT + DATA_INOUT<4> + ------------------------------------------------- --------------------------- + Total 4.295ns (1.752ns logic, 2.543ns route) + (40.8% logic, 59.2% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.579ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<4> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.291ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<4> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P38.T net (fanout=16) 1.828 nb_mem_cont/io_sel/OEbar_inv + P38.PAD Tiotp 1.396 DATA_INOUT<4> + DATA_INOUT_4_IOBUF/OBUFT + DATA_INOUT<4> + ------------------------------------------------- --------------------------- + Total 4.291ns (1.786ns logic, 2.505ns route) + (41.6% logic, 58.4% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.481ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<4> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.122ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<4> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P38.T net (fanout=16) 1.828 nb_mem_cont/io_sel/OEbar_inv + P38.PAD Tiotp 1.396 DATA_INOUT<4> + DATA_INOUT_4_IOBUF/OBUFT + DATA_INOUT<4> + ------------------------------------------------- --------------------------- + Total 4.122ns (1.790ns logic, 2.332ns route) + (43.4% logic, 56.6% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<3>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.773ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_3 (SLICE_X9Y5.A3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.894ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<3> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_3 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.048ns (Levels of Logic = 2) + Clock Path Delay: -0.408ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<3> to interfaz_spi/controlador_spi_principal/word_int_3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P35.I Tiopi 1.310 DATA_INOUT<3> + DATA_INOUT<3> + DATA_INOUT_3_IOBUF/IBUF + ProtoComp55.IMUX.5 + SLICE_X9Y5.A3 net (fanout=1) 2.416 N54 + SLICE_X9Y5.CLK Tas 0.322 interfaz_spi/controlador_spi_principal/word_int<6> + interfaz_spi/data_mux<3>1 + interfaz_spi/controlador_spi_principal/word_int_3 + ------------------------------------------------- --------------------------- + Total 4.048ns (1.632ns logic, 2.416ns route) + (40.3% logic, 59.7% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y5.CLK net (fanout=226) 0.705 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.408ns (-2.456ns logic, 2.048ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_3 (SLICE_X9Y5.A3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.073ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<3> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_3 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.551ns (Levels of Logic = 2) + Clock Path Delay: 0.161ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<3> to interfaz_spi/controlador_spi_principal/word_int_3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P35.I Tiopi 0.763 DATA_INOUT<3> + DATA_INOUT<3> + DATA_INOUT_3_IOBUF/IBUF + ProtoComp55.IMUX.5 + SLICE_X9Y5.A3 net (fanout=1) 1.573 N54 + SLICE_X9Y5.CLK Tah (-Th) -0.215 interfaz_spi/controlador_spi_principal/word_int<6> + interfaz_spi/data_mux<3>1 + interfaz_spi/controlador_spi_principal/word_int_3 + ------------------------------------------------- --------------------------- + Total 2.551ns (0.978ns logic, 1.573ns route) + (38.3% logic, 61.7% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y5.CLK net (fanout=226) 0.845 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.161ns (-1.582ns logic, 1.743ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 339 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.461ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<3> (P35.PAD), 339 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.206ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<3> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.463ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<3> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y24.C2 net (fanout=9) 1.945 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X22Y34.D5 net (fanout=24) 1.701 nb_mem_cont/mux_data/_n0046 + SLICE_X22Y34.D Tilo 0.205 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<3> + nb_mem_cont/mux_data/Mmux_DATA_OUT241 + SLICE_X19Y23.A4 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT24 + SLICE_X19Y23.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT244 + nb_mem_cont/mux_data/Mmux_DATA_OUT248 + P35.O net (fanout=1) 3.476 nb_mem_cont/data_mux<3> + P35.PAD Tioop 2.381 DATA_INOUT<3> + DATA_INOUT_3_IOBUF/OBUFT + DATA_INOUT<3> + ------------------------------------------------- --------------------------- + Total 13.463ns (3.764ns logic, 9.699ns route) + (28.0% logic, 72.0% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.248ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<3> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.432ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<3> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y24.C2 net (fanout=9) 1.945 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X22Y34.D5 net (fanout=24) 1.701 nb_mem_cont/mux_data/_n0046 + SLICE_X22Y34.D Tilo 0.205 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<3> + nb_mem_cont/mux_data/Mmux_DATA_OUT241 + SLICE_X19Y23.A4 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT24 + SLICE_X19Y23.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT244 + nb_mem_cont/mux_data/Mmux_DATA_OUT248 + P35.O net (fanout=1) 3.476 nb_mem_cont/data_mux<3> + P35.PAD Tioop 2.381 DATA_INOUT<3> + DATA_INOUT_3_IOBUF/OBUFT + DATA_INOUT<3> + ------------------------------------------------- --------------------------- + Total 13.432ns (3.700ns logic, 9.732ns route) + (27.5% logic, 72.5% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.341ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<3> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.321ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<3> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y24.C3 net (fanout=11) 0.712 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y24.C Tilo 0.205 nb_mem_cont/oe_ch_vect<1> + nb_mem_cont/mux_data/_n0046<4>1 + SLICE_X22Y34.D5 net (fanout=24) 1.701 nb_mem_cont/mux_data/_n0046 + SLICE_X22Y34.D Tilo 0.205 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<3> + nb_mem_cont/mux_data/Mmux_DATA_OUT241 + SLICE_X19Y23.A4 net (fanout=1) 1.440 nb_mem_cont/mux_data/Mmux_DATA_OUT24 + SLICE_X19Y23.A Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT244 + nb_mem_cont/mux_data/Mmux_DATA_OUT248 + P35.O net (fanout=1) 3.476 nb_mem_cont/data_mux<3> + P35.PAD Tioop 2.381 DATA_INOUT<3> + DATA_INOUT_3_IOBUF/OBUFT + DATA_INOUT<3> + ------------------------------------------------- --------------------------- + Total 13.321ns (3.717ns logic, 9.604ns route) + (27.9% logic, 72.1% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<3> (P35.PAD), 339 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.315ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<3> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.024ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<3> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P35.T net (fanout=16) 1.557 nb_mem_cont/io_sel/OEbar_inv + P35.PAD Tiotp 1.396 DATA_INOUT<3> + DATA_INOUT_3_IOBUF/OBUFT + DATA_INOUT<3> + ------------------------------------------------- --------------------------- + Total 4.024ns (1.752ns logic, 2.272ns route) + (43.5% logic, 56.5% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.308ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<3> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.020ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<3> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P35.T net (fanout=16) 1.557 nb_mem_cont/io_sel/OEbar_inv + P35.PAD Tiotp 1.396 DATA_INOUT<3> + DATA_INOUT_3_IOBUF/OBUFT + DATA_INOUT<3> + ------------------------------------------------- --------------------------- + Total 4.020ns (1.786ns logic, 2.234ns route) + (44.4% logic, 55.6% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.210ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<3> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.851ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<3> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P35.T net (fanout=16) 1.557 nb_mem_cont/io_sel/OEbar_inv + P35.PAD Tiotp 1.396 DATA_INOUT<3> + DATA_INOUT_3_IOBUF/OBUFT + DATA_INOUT<3> + ------------------------------------------------- --------------------------- + Total 3.851ns (1.790ns logic, 2.061ns route) + (46.5% logic, 53.5% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<2>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.645ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_2 (SLICE_X8Y5.D4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.022ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<2> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_2 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.920ns (Levels of Logic = 2) + Clock Path Delay: -0.408ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<2> to interfaz_spi/controlador_spi_principal/word_int_2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P34.I Tiopi 1.310 DATA_INOUT<2> + DATA_INOUT<2> + DATA_INOUT_2_IOBUF/IBUF + ProtoComp55.IMUX.4 + SLICE_X8Y5.D4 net (fanout=1) 2.321 N55 + SLICE_X8Y5.CLK Tas 0.289 interfaz_spi/controlador_spi_principal/word_int<2> + interfaz_spi/data_mux<2>1 + interfaz_spi/controlador_spi_principal/word_int_2 + ------------------------------------------------- --------------------------- + Total 3.920ns (1.599ns logic, 2.321ns route) + (40.8% logic, 59.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y5.CLK net (fanout=226) 0.705 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.408ns (-2.456ns logic, 2.048ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_2 (SLICE_X8Y5.D4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.974ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<2> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_2 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.452ns (Levels of Logic = 2) + Clock Path Delay: 0.161ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<2> to interfaz_spi/controlador_spi_principal/word_int_2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P34.I Tiopi 0.763 DATA_INOUT<2> + DATA_INOUT<2> + DATA_INOUT_2_IOBUF/IBUF + ProtoComp55.IMUX.4 + SLICE_X8Y5.D4 net (fanout=1) 1.492 N55 + SLICE_X8Y5.CLK Tah (-Th) -0.197 interfaz_spi/controlador_spi_principal/word_int<2> + interfaz_spi/data_mux<2>1 + interfaz_spi/controlador_spi_principal/word_int_2 + ------------------------------------------------- --------------------------- + Total 2.452ns (0.960ns logic, 1.492ns route) + (39.2% logic, 60.8% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y5.CLK net (fanout=226) 0.845 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.161ns (-1.582ns logic, 1.743ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 339 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.384ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<2> (P34.PAD), 339 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.283ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<2> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.386ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<2> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X20Y31.D4 net (fanout=24) 1.382 nb_mem_cont/mux_data/_n0040 + SLICE_X20Y31.D Tilo 0.203 nb_mem_cont/mux_data/Mmux_DATA_OUT215 + nb_mem_cont/mux_data/Mmux_DATA_OUT216 + SLICE_X18Y25.A1 net (fanout=1) 1.340 nb_mem_cont/mux_data/Mmux_DATA_OUT215 + SLICE_X18Y25.A Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT211 + nb_mem_cont/mux_data/Mmux_DATA_OUT218 + P34.O net (fanout=1) 3.623 nb_mem_cont/data_mux<2> + P34.PAD Tioop 2.381 DATA_INOUT<2> + DATA_INOUT_2_IOBUF/OBUFT + DATA_INOUT<2> + ------------------------------------------------- --------------------------- + Total 13.386ns (3.754ns logic, 9.632ns route) + (28.0% logic, 72.0% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.325ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<2> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.355ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<2> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X20Y31.D4 net (fanout=24) 1.382 nb_mem_cont/mux_data/_n0040 + SLICE_X20Y31.D Tilo 0.203 nb_mem_cont/mux_data/Mmux_DATA_OUT215 + nb_mem_cont/mux_data/Mmux_DATA_OUT216 + SLICE_X18Y25.A1 net (fanout=1) 1.340 nb_mem_cont/mux_data/Mmux_DATA_OUT215 + SLICE_X18Y25.A Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT211 + nb_mem_cont/mux_data/Mmux_DATA_OUT218 + P34.O net (fanout=1) 3.623 nb_mem_cont/data_mux<2> + P34.PAD Tioop 2.381 DATA_INOUT<2> + DATA_INOUT_2_IOBUF/OBUFT + DATA_INOUT<2> + ------------------------------------------------- --------------------------- + Total 13.355ns (3.690ns logic, 9.665ns route) + (27.6% logic, 72.4% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.331ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<2> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.331ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<2> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X20Y31.D4 net (fanout=24) 1.382 nb_mem_cont/mux_data/_n0040 + SLICE_X20Y31.D Tilo 0.203 nb_mem_cont/mux_data/Mmux_DATA_OUT215 + nb_mem_cont/mux_data/Mmux_DATA_OUT216 + SLICE_X18Y25.A1 net (fanout=1) 1.340 nb_mem_cont/mux_data/Mmux_DATA_OUT215 + SLICE_X18Y25.A Tilo 0.205 nb_mem_cont/mux_data/Mmux_DATA_OUT211 + nb_mem_cont/mux_data/Mmux_DATA_OUT218 + P34.O net (fanout=1) 3.623 nb_mem_cont/data_mux<2> + P34.PAD Tioop 2.381 DATA_INOUT<2> + DATA_INOUT_2_IOBUF/OBUFT + DATA_INOUT<2> + ------------------------------------------------- --------------------------- + Total 13.331ns (3.707ns logic, 9.624ns route) + (27.8% logic, 72.2% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<2> (P34.PAD), 339 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.315ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<2> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.024ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<2> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P34.T net (fanout=16) 1.557 nb_mem_cont/io_sel/OEbar_inv + P34.PAD Tiotp 1.396 DATA_INOUT<2> + DATA_INOUT_2_IOBUF/OBUFT + DATA_INOUT<2> + ------------------------------------------------- --------------------------- + Total 4.024ns (1.752ns logic, 2.272ns route) + (43.5% logic, 56.5% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.308ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<2> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.020ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<2> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P34.T net (fanout=16) 1.557 nb_mem_cont/io_sel/OEbar_inv + P34.PAD Tiotp 1.396 DATA_INOUT<2> + DATA_INOUT_2_IOBUF/OBUFT + DATA_INOUT<2> + ------------------------------------------------- --------------------------- + Total 4.020ns (1.786ns logic, 2.234ns route) + (44.4% logic, 55.6% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.210ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<2> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.851ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<2> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P34.T net (fanout=16) 1.557 nb_mem_cont/io_sel/OEbar_inv + P34.PAD Tiotp 1.396 DATA_INOUT<2> + DATA_INOUT_2_IOBUF/OBUFT + DATA_INOUT<2> + ------------------------------------------------- --------------------------- + Total 3.851ns (1.790ns logic, 2.061ns route) + (46.5% logic, 53.5% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<1>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.790ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_1 (SLICE_X8Y5.C4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.877ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<1> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_1 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.065ns (Levels of Logic = 2) + Clock Path Delay: -0.408ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<1> to interfaz_spi/controlador_spi_principal/word_int_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P33.I Tiopi 1.310 DATA_INOUT<1> + DATA_INOUT<1> + DATA_INOUT_1_IOBUF/IBUF + ProtoComp55.IMUX.3 + SLICE_X8Y5.C4 net (fanout=1) 2.466 N56 + SLICE_X8Y5.CLK Tas 0.289 interfaz_spi/controlador_spi_principal/word_int<2> + interfaz_spi/data_mux<1>1 + interfaz_spi/controlador_spi_principal/word_int_1 + ------------------------------------------------- --------------------------- + Total 4.065ns (1.599ns logic, 2.466ns route) + (39.3% logic, 60.7% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y5.CLK net (fanout=226) 0.705 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.408ns (-2.456ns logic, 2.048ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_1 (SLICE_X8Y5.C4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.068ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<1> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_1 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.546ns (Levels of Logic = 2) + Clock Path Delay: 0.161ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<1> to interfaz_spi/controlador_spi_principal/word_int_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P33.I Tiopi 0.763 DATA_INOUT<1> + DATA_INOUT<1> + DATA_INOUT_1_IOBUF/IBUF + ProtoComp55.IMUX.3 + SLICE_X8Y5.C4 net (fanout=1) 1.586 N56 + SLICE_X8Y5.CLK Tah (-Th) -0.197 interfaz_spi/controlador_spi_principal/word_int<2> + interfaz_spi/data_mux<1>1 + interfaz_spi/controlador_spi_principal/word_int_1 + ------------------------------------------------- --------------------------- + Total 2.546ns (0.960ns logic, 1.586ns route) + (37.7% logic, 62.3% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y5.CLK net (fanout=226) 0.845 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.161ns (-1.582ns logic, 1.743ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 339 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.456ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<1> (P33.PAD), 339 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.211ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9 (FF) + Destination: DATA_INOUT<1> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.450ns (Levels of Logic = 5) + Clock Path Delay: -0.311ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X3Y16.CLK net (fanout=226) 1.126 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.311ns (-3.088ns logic, 2.777ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9 to DATA_INOUT<1> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X3Y16.CMUX Tshcko 0.461 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd6 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9 + SLICE_X5Y18.B6 net (fanout=5) 0.902 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9 + SLICE_X5Y18.B Tilo 0.259 nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>11 + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>2 + SLICE_X11Y25.D3 net (fanout=2) 1.207 nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>11 + SLICE_X11Y25.D Tilo 0.259 nb_mem_cont/hb_lbbar + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>3 + SLICE_X15Y42.D1 net (fanout=56) 2.343 nb_mem_cont/hb_lbbar + SLICE_X15Y42.DMUX Tilo 0.313 nb_mem_cont/nibble_pack_ch4/Mmux_resul_mem110 + nb_mem_cont/mux_data/Mmux_DATA_OUT187 + SLICE_X19Y21.A3 net (fanout=1) 1.822 nb_mem_cont/mux_data/Mmux_DATA_OUT186 + SLICE_X19Y21.A Tilo 0.259 nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<19> + nb_mem_cont/mux_data/Mmux_DATA_OUT188 + P33.O net (fanout=1) 3.244 nb_mem_cont/data_mux<1> + P33.PAD Tioop 2.381 DATA_INOUT<1> + DATA_INOUT_1_IOBUF/OBUFT + DATA_INOUT<1> + ------------------------------------------------- --------------------------- + Total 13.450ns (3.932ns logic, 9.518ns route) + (29.2% logic, 70.8% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.244ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19 (FF) + Destination: DATA_INOUT<1> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.418ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19 to DATA_INOUT<1> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19 + SLICE_X5Y18.B3 net (fanout=5) 0.876 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19 + SLICE_X5Y18.B Tilo 0.259 nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>11 + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>2 + SLICE_X11Y25.D3 net (fanout=2) 1.207 nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>11 + SLICE_X11Y25.D Tilo 0.259 nb_mem_cont/hb_lbbar + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>3 + SLICE_X15Y42.D1 net (fanout=56) 2.343 nb_mem_cont/hb_lbbar + SLICE_X15Y42.DMUX Tilo 0.313 nb_mem_cont/nibble_pack_ch4/Mmux_resul_mem110 + nb_mem_cont/mux_data/Mmux_DATA_OUT187 + SLICE_X19Y21.A3 net (fanout=1) 1.822 nb_mem_cont/mux_data/Mmux_DATA_OUT186 + SLICE_X19Y21.A Tilo 0.259 nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<19> + nb_mem_cont/mux_data/Mmux_DATA_OUT188 + P33.O net (fanout=1) 3.244 nb_mem_cont/data_mux<1> + P33.PAD Tioop 2.381 DATA_INOUT<1> + DATA_INOUT_1_IOBUF/OBUFT + DATA_INOUT<1> + ------------------------------------------------- --------------------------- + Total 13.418ns (3.926ns logic, 9.492ns route) + (29.3% logic, 70.7% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.250ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37 (FF) + Destination: DATA_INOUT<1> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.419ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y16.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37 to DATA_INOUT<1> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y16.CMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37 + SLICE_X8Y24.B2 net (fanout=5) 1.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37 + SLICE_X8Y24.B Tilo 0.203 nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>1 + SLICE_X11Y25.D2 net (fanout=1) 0.636 nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8> + SLICE_X11Y25.D Tilo 0.259 nb_mem_cont/hb_lbbar + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>3 + SLICE_X15Y42.D1 net (fanout=56) 2.343 nb_mem_cont/hb_lbbar + SLICE_X15Y42.DMUX Tilo 0.313 nb_mem_cont/nibble_pack_ch4/Mmux_resul_mem110 + nb_mem_cont/mux_data/Mmux_DATA_OUT187 + SLICE_X19Y21.A3 net (fanout=1) 1.822 nb_mem_cont/mux_data/Mmux_DATA_OUT186 + SLICE_X19Y21.A Tilo 0.259 nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<19> + nb_mem_cont/mux_data/Mmux_DATA_OUT188 + P33.O net (fanout=1) 3.244 nb_mem_cont/data_mux<1> + P33.PAD Tioop 2.381 DATA_INOUT<1> + DATA_INOUT_1_IOBUF/OBUFT + DATA_INOUT<1> + ------------------------------------------------- --------------------------- + Total 13.419ns (3.870ns logic, 9.549ns route) + (28.8% logic, 71.2% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<1> (P33.PAD), 339 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.293ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<1> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.002ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<1> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P33.T net (fanout=16) 1.535 nb_mem_cont/io_sel/OEbar_inv + P33.PAD Tiotp 1.396 DATA_INOUT<1> + DATA_INOUT_1_IOBUF/OBUFT + DATA_INOUT<1> + ------------------------------------------------- --------------------------- + Total 4.002ns (1.752ns logic, 2.250ns route) + (43.8% logic, 56.2% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.286ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<1> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.998ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<1> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P33.T net (fanout=16) 1.535 nb_mem_cont/io_sel/OEbar_inv + P33.PAD Tiotp 1.396 DATA_INOUT<1> + DATA_INOUT_1_IOBUF/OBUFT + DATA_INOUT<1> + ------------------------------------------------- --------------------------- + Total 3.998ns (1.786ns logic, 2.212ns route) + (44.7% logic, 55.3% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.188ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<1> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.829ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<1> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P33.T net (fanout=16) 1.535 nb_mem_cont/io_sel/OEbar_inv + P33.PAD Tiotp 1.396 DATA_INOUT<1> + DATA_INOUT_1_IOBUF/OBUFT + DATA_INOUT<1> + ------------------------------------------------- --------------------------- + Total 3.829ns (1.790ns logic, 2.039ns route) + (46.7% logic, 53.3% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<0>" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.440ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_0 (SLICE_X8Y5.A5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.227ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: DATA_INOUT<0> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.715ns (Levels of Logic = 2) + Clock Path Delay: -0.408ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: DATA_INOUT<0> to interfaz_spi/controlador_spi_principal/word_int_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P32.I Tiopi 1.310 DATA_INOUT<0> + DATA_INOUT<0> + DATA_INOUT_0_IOBUF/IBUF + ProtoComp55.IMUX.2 + SLICE_X8Y5.A5 net (fanout=1) 2.116 N57 + SLICE_X8Y5.CLK Tas 0.289 interfaz_spi/controlador_spi_principal/word_int<2> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi11 + interfaz_spi/controlador_spi_principal/word_int_0 + ------------------------------------------------- --------------------------- + Total 3.715ns (1.599ns logic, 2.116ns route) + (43.0% logic, 57.0% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y5.CLK net (fanout=226) 0.705 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.408ns (-2.456ns logic, 2.048ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "DATA_INOUT<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_principal/word_int_0 (SLICE_X8Y5.A5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.842ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: DATA_INOUT<0> (PAD) + Destination: interfaz_spi/controlador_spi_principal/word_int_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.320ns (Levels of Logic = 2) + Clock Path Delay: 0.161ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: DATA_INOUT<0> to interfaz_spi/controlador_spi_principal/word_int_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P32.I Tiopi 0.763 DATA_INOUT<0> + DATA_INOUT<0> + DATA_INOUT_0_IOBUF/IBUF + ProtoComp55.IMUX.2 + SLICE_X8Y5.A5 net (fanout=1) 1.360 N57 + SLICE_X8Y5.CLK Tah (-Th) -0.197 interfaz_spi/controlador_spi_principal/word_int<2> + interfaz_spi/multiplexor_ram_header/Mmux_data_tospi11 + interfaz_spi/controlador_spi_principal/word_int_0 + ------------------------------------------------- --------------------------- + Total 2.320ns (0.960ns logic, 1.360ns route) + (41.4% logic, 58.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_principal/word_int_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y5.CLK net (fanout=226) 0.845 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.161ns (-1.582ns logic, 1.743ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 339 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.530ns. +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<0> (P32.PAD), 339 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.137ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 (FF) + Destination: DATA_INOUT<0> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.532ns (Levels of Logic = 5) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 to DATA_INOUT<0> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D2 net (fanout=5) 1.137 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X23Y35.A5 net (fanout=24) 1.663 nb_mem_cont/mux_data/_n0040 + SLICE_X23Y35.A Tilo 0.259 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<23> + nb_mem_cont/mux_data/Mmux_DATA_OUT36 + SLICE_X15Y24.B6 net (fanout=1) 1.300 nb_mem_cont/mux_data/Mmux_DATA_OUT37 + SLICE_X15Y24.B Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT35 + nb_mem_cont/mux_data/Mmux_DATA_OUT39 + P32.O net (fanout=1) 3.418 nb_mem_cont/data_mux<0> + P32.PAD Tioop 2.381 DATA_INOUT<0> + DATA_INOUT_0_IOBUF/OBUFT + DATA_INOUT<0> + ------------------------------------------------- --------------------------- + Total 13.532ns (3.864ns logic, 9.668ns route) + (28.6% logic, 71.4% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.179ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 (FF) + Destination: DATA_INOUT<0> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.501ns (Levels of Logic = 5) + Clock Path Delay: -0.330ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X11Y18.CLK net (fanout=226) 1.107 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.330ns (-3.088ns logic, 2.758ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 to DATA_INOUT<0> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X11Y18.CQ Tcko 0.391 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D4 net (fanout=14) 1.170 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30 + SLICE_X5Y14.D Tilo 0.259 nb_mem_cont/oe_ch_vect<0> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1 + SLICE_X14Y25.D1 net (fanout=9) 2.150 nb_mem_cont/oe_ch_vect<0> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X23Y35.A5 net (fanout=24) 1.663 nb_mem_cont/mux_data/_n0040 + SLICE_X23Y35.A Tilo 0.259 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<23> + nb_mem_cont/mux_data/Mmux_DATA_OUT36 + SLICE_X15Y24.B6 net (fanout=1) 1.300 nb_mem_cont/mux_data/Mmux_DATA_OUT37 + SLICE_X15Y24.B Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT35 + nb_mem_cont/mux_data/Mmux_DATA_OUT39 + P32.O net (fanout=1) 3.418 nb_mem_cont/data_mux<0> + P32.PAD Tioop 2.381 DATA_INOUT<0> + DATA_INOUT_0_IOBUF/OBUFT + DATA_INOUT<0> + ------------------------------------------------- --------------------------- + Total 13.501ns (3.800ns logic, 9.701ns route) + (28.1% logic, 71.9% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.185ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 (FF) + Destination: DATA_INOUT<0> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.477ns (Levels of Logic = 5) + Clock Path Delay: -0.312ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y17.CLK net (fanout=226) 1.125 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.312ns (-3.088ns logic, 2.776ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 to DATA_INOUT<0> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y17.CQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B1 net (fanout=4) 2.275 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16 + SLICE_X13Y23.B Tilo 0.259 nb_mem_cont/oe_ch_vect<2> + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1 + SLICE_X14Y25.D2 net (fanout=11) 1.004 nb_mem_cont/oe_ch_vect<2> + SLICE_X14Y25.DMUX Tilo 0.251 nb_mem_cont/mux_data/_n0034 + nb_mem_cont/mux_data/_n0040<4>2 + SLICE_X23Y35.A5 net (fanout=24) 1.663 nb_mem_cont/mux_data/_n0040 + SLICE_X23Y35.A Tilo 0.259 nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<23> + nb_mem_cont/mux_data/Mmux_DATA_OUT36 + SLICE_X15Y24.B6 net (fanout=1) 1.300 nb_mem_cont/mux_data/Mmux_DATA_OUT37 + SLICE_X15Y24.B Tilo 0.259 nb_mem_cont/mux_data/Mmux_DATA_OUT35 + nb_mem_cont/mux_data/Mmux_DATA_OUT39 + P32.O net (fanout=1) 3.418 nb_mem_cont/data_mux<0> + P32.PAD Tioop 2.381 DATA_INOUT<0> + DATA_INOUT_0_IOBUF/OBUFT + DATA_INOUT<0> + ------------------------------------------------- --------------------------- + Total 13.477ns (3.817ns logic, 9.660ns route) + (28.3% logic, 71.7% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point DATA_INOUT<0> (P32.PAD), 339 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.293ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: DATA_INOUT<0> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.002ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to DATA_INOUT<0> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A5 net (fanout=7) 0.715 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P32.T net (fanout=16) 1.535 nb_mem_cont/io_sel/OEbar_inv + P32.PAD Tiotp 1.396 DATA_INOUT<0> + DATA_INOUT_0_IOBUF/OBUFT + DATA_INOUT<0> + ------------------------------------------------- --------------------------- + Total 4.002ns (1.752ns logic, 2.250ns route) + (43.8% logic, 56.2% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.286ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: DATA_INOUT<0> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.998ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to DATA_INOUT<0> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A2 net (fanout=19) 0.677 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P32.T net (fanout=16) 1.535 nb_mem_cont/io_sel/OEbar_inv + P32.PAD Tiotp 1.396 DATA_INOUT<0> + DATA_INOUT_0_IOBUF/OBUFT + DATA_INOUT<0> + ------------------------------------------------- --------------------------- + Total 3.998ns (1.786ns logic, 2.212ns route) + (44.7% logic, 55.3% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.188ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: DATA_INOUT<0> (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.829ns (Levels of Logic = 2) + Clock Path Delay: -0.324ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 0.566 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.324ns (-1.698ns logic, 1.374ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to DATA_INOUT<0> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A3 net (fanout=8) 0.504 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X3Y20.A Tilo 0.156 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21 + nb_mem_cont/io_sel/OEbar_inv1 + P32.T net (fanout=16) 1.535 nb_mem_cont/io_sel/OEbar_inv + P32.PAD Tiotp 1.396 DATA_INOUT<0> + DATA_INOUT_0_IOBUF/OBUFT + DATA_INOUT<0> + ------------------------------------------------- --------------------------- + Total 3.829ns (1.790ns logic, 2.039ns route) + (46.7% logic, 53.3% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE +COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 3.976ns. +-------------------------------------------------------------------------------- + +Paths for end point i2c_comp/SDAoe (SLICE_X23Y26.D4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.691ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: sda_rpi (PAD) + Destination: i2c_comp/SDAoe (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.165ns (Levels of Logic = 2) + Clock Path Delay: -0.494ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: sda_rpi to i2c_comp/SDAoe + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P83.I Tiopi 1.300 sda_rpi + sda_rpi + sda_rpi_IOBUF/IBUF + ProtoComp55.IMUX + SLICE_X23Y26.D4 net (fanout=1) 1.543 N59 + SLICE_X23Y26.CLK Tas 0.322 i2c_comp/SDAoe + i2c_comp/SDAoe_rstpot + i2c_comp/SDAoe + ------------------------------------------------- --------------------------- + Total 3.165ns (1.622ns logic, 1.543ns route) + (51.2% logic, 48.8% route) + + Minimum Clock Path at Slow Process Corner: clk_main to i2c_comp/SDAoe + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X23Y26.CLK net (fanout=226) 0.619 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.494ns (-2.456ns logic, 1.962ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point i2c_comp/SDAoe (SLICE_X23Y26.D4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.530ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: sda_rpi (PAD) + Destination: i2c_comp/SDAoe (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 1.922ns (Levels of Logic = 2) + Clock Path Delay: 0.075ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: sda_rpi to i2c_comp/SDAoe + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P83.I Tiopi 0.754 sda_rpi + sda_rpi + sda_rpi_IOBUF/IBUF + ProtoComp55.IMUX + SLICE_X23Y26.D4 net (fanout=1) 0.953 N59 + SLICE_X23Y26.CLK Tah (-Th) -0.215 i2c_comp/SDAoe + i2c_comp/SDAoe_rstpot + i2c_comp/SDAoe + ------------------------------------------------- --------------------------- + Total 1.922ns (0.969ns logic, 0.953ns route) + (50.4% logic, 49.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to i2c_comp/SDAoe + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X23Y26.CLK net (fanout=226) 0.759 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.075ns (-1.582ns logic, 1.657ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "sda_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.152ns. +-------------------------------------------------------------------------------- + +Paths for end point sda_rpi (P83.PAD), 1 path +-------------------------------------------------------------------------------- +Slack (slowest paths): 3.515ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: i2c_comp/SDAoe (FF) + Destination: sda_rpi (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.194ns (Levels of Logic = 1) + Clock Path Delay: -0.359ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to i2c_comp/SDAoe + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X23Y26.CLK net (fanout=226) 1.078 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.359ns (-3.088ns logic, 2.729ns route) + + Maximum Data Path at Slow Process Corner: i2c_comp/SDAoe to sda_rpi + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X23Y26.DQ Tcko 0.391 i2c_comp/SDAoe + i2c_comp/SDAoe + P83.T net (fanout=2) 1.252 i2c_comp/SDAoe + P83.PAD Tiotp 11.551 sda_rpi + sda_rpi_IOBUF/OBUFT + sda_rpi + ------------------------------------------------- --------------------------- + Total 13.194ns (11.942ns logic, 1.252ns route) + (90.5% logic, 9.5% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "sda_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point sda_rpi (P83.PAD), 1 path +-------------------------------------------------------------------------------- +Delay (fastest paths): 10.248ns (clock arrival + clock path + data path - uncertainty) + Source: i2c_comp/SDAoe (FF) + Destination: sda_rpi (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 10.997ns (Levels of Logic = 1) + Clock Path Delay: -0.432ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to i2c_comp/SDAoe + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X23Y26.CLK net (fanout=226) 0.458 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.432ns (-1.698ns logic, 1.266ns route) + + Minimum Data Path at Fast Process Corner: i2c_comp/SDAoe to sda_rpi + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X23Y26.DQ Tcko 0.198 i2c_comp/SDAoe + i2c_comp/SDAoe + P83.T net (fanout=2) 0.768 i2c_comp/SDAoe + P83.PAD Tiotp 10.031 sda_rpi + sda_rpi_IOBUF/OBUFT + sda_rpi + ------------------------------------------------- --------------------------- + Total 10.997ns (10.229ns logic, 0.768ns route) + (93.0% logic, 7.0% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE +COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.954ns. +-------------------------------------------------------------------------------- + +Paths for end point i2c_comp/flanco_SCL_0 (SLICE_X22Y8.AX), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.713ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: scl_rpi (PAD) + Destination: i2c_comp/flanco_SCL_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.201ns (Levels of Logic = 1) + Clock Path Delay: -0.436ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: scl_rpi to i2c_comp/flanco_SCL_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P85.I Tiopi 1.300 scl_rpi + scl_rpi + scl_rpi_IOBUF/IBUF + ProtoComp55.IMUX.1 + SLICE_X22Y8.AX net (fanout=1) 2.765 N58 + SLICE_X22Y8.CLK Tdick 0.136 i2c_comp/flanco_SCL<1> + i2c_comp/flanco_SCL_0 + ------------------------------------------------- --------------------------- + Total 4.201ns (1.436ns logic, 2.765ns route) + (34.2% logic, 65.8% route) + + Minimum Clock Path at Slow Process Corner: clk_main to i2c_comp/flanco_SCL_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y8.CLK net (fanout=226) 0.677 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.436ns (-2.456ns logic, 2.020ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point i2c_comp/flanco_SCL_0 (SLICE_X22Y8.AX), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.053ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: scl_rpi (PAD) + Destination: i2c_comp/flanco_SCL_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.503ns (Levels of Logic = 1) + Clock Path Delay: 0.133ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: scl_rpi to i2c_comp/flanco_SCL_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P85.I Tiopi 0.754 scl_rpi + scl_rpi + scl_rpi_IOBUF/IBUF + ProtoComp55.IMUX.1 + SLICE_X22Y8.AX net (fanout=1) 1.701 N58 + SLICE_X22Y8.CLK Tckdi (-Th) -0.048 i2c_comp/flanco_SCL<1> + i2c_comp/flanco_SCL_0 + ------------------------------------------------- --------------------------- + Total 2.503ns (0.802ns logic, 1.701ns route) + (32.0% logic, 68.0% route) + + Maximum Clock Path at Fast Process Corner: clk_main to i2c_comp/flanco_SCL_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y8.CLK net (fanout=226) 0.817 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.133ns (-1.582ns logic, 1.715ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "scl_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 13.744ns. +-------------------------------------------------------------------------------- + +Paths for end point scl_rpi (P85.PAD), 1 path +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.923ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: i2c_comp/SCLoe (FF) + Destination: scl_rpi (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 13.788ns (Levels of Logic = 1) + Clock Path Delay: -0.361ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to i2c_comp/SCLoe + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X23Y22.CLK net (fanout=226) 1.076 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.361ns (-3.088ns logic, 2.727ns route) + + Maximum Data Path at Slow Process Corner: i2c_comp/SCLoe to scl_rpi + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X23Y22.AQ Tcko 0.391 i2c_comp/SCLoe + i2c_comp/SCLoe + P85.T net (fanout=2) 1.846 i2c_comp/SCLoe + P85.PAD Tiotp 11.551 scl_rpi + scl_rpi_IOBUF/OBUFT + scl_rpi + ------------------------------------------------- --------------------------- + Total 13.788ns (11.942ns logic, 1.846ns route) + (86.6% logic, 13.4% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "scl_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point scl_rpi (P85.PAD), 1 path +-------------------------------------------------------------------------------- +Delay (fastest paths): 10.608ns (clock arrival + clock path + data path - uncertainty) + Source: i2c_comp/SCLoe (FF) + Destination: scl_rpi (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 11.359ns (Levels of Logic = 1) + Clock Path Delay: -0.434ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to i2c_comp/SCLoe + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X23Y22.CLK net (fanout=226) 0.456 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.434ns (-1.698ns logic, 1.264ns route) + + Minimum Data Path at Fast Process Corner: i2c_comp/SCLoe to scl_rpi + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X23Y22.AQ Tcko 0.198 i2c_comp/SCLoe + i2c_comp/SCLoe + P85.T net (fanout=2) 1.130 i2c_comp/SCLoe + P85.PAD Tiotp 10.031 scl_rpi + scl_rpi_IOBUF/OBUFT + scl_rpi + ------------------------------------------------- --------------------------- + Total 11.359ns (10.229ns logic, 1.130ns route) + (90.1% logic, 9.9% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.505ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 (SLICE_X22Y52.B3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.162ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch1<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.759ns (Levels of Logic = 2) + Clock Path Delay: -0.429ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch1<3> to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P116.I Tiopi 1.310 chn_bits_acq_ch1<3> + chn_bits_acq_ch1<3> + chn_bits_acq_ch1_3_IBUF + ProtoComp52.IMUX.7 + SLICE_X22Y52.B3 net (fanout=1) 2.236 chn_bits_acq_ch1_3_IBUF + SLICE_X22Y52.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 3.759ns (1.523ns logic, 2.236ns route) + (40.5% logic, 59.5% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y52.CLK net (fanout=226) 0.684 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.429ns (-2.456ns logic, 2.027ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 (SLICE_X22Y52.B3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.822ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch1<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.279ns (Levels of Logic = 2) + Clock Path Delay: 0.140ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch1<3> to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P116.I Tiopi 0.763 chn_bits_acq_ch1<3> + chn_bits_acq_ch1<3> + chn_bits_acq_ch1_3_IBUF + ProtoComp52.IMUX.7 + SLICE_X22Y52.B3 net (fanout=1) 1.395 chn_bits_acq_ch1_3_IBUF + SLICE_X22Y52.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 2.279ns (0.884ns logic, 1.395ns route) + (38.8% logic, 61.2% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y52.CLK net (fanout=226) 0.824 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.140ns (-1.582ns logic, 1.722ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.386ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 (SLICE_X22Y52.A5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.281ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch1<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.640ns (Levels of Logic = 2) + Clock Path Delay: -0.429ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch1<2> to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P115.I Tiopi 1.310 chn_bits_acq_ch1<2> + chn_bits_acq_ch1<2> + chn_bits_acq_ch1_2_IBUF + ProtoComp52.IMUX.6 + SLICE_X22Y52.A5 net (fanout=1) 2.117 chn_bits_acq_ch1_2_IBUF + SLICE_X22Y52.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 3.640ns (1.523ns logic, 2.117ns route) + (41.8% logic, 58.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y52.CLK net (fanout=226) 0.684 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.429ns (-2.456ns logic, 2.027ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 (SLICE_X22Y52.A5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.744ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch1<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.201ns (Levels of Logic = 2) + Clock Path Delay: 0.140ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch1<2> to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P115.I Tiopi 0.763 chn_bits_acq_ch1<2> + chn_bits_acq_ch1<2> + chn_bits_acq_ch1_2_IBUF + ProtoComp52.IMUX.6 + SLICE_X22Y52.A5 net (fanout=1) 1.317 chn_bits_acq_ch1_2_IBUF + SLICE_X22Y52.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 2.201ns (0.884ns logic, 1.317ns route) + (40.2% logic, 59.8% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y52.CLK net (fanout=226) 0.824 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.140ns (-1.582ns logic, 1.722ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.578ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 (SLICE_X22Y52.D4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.089ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch1<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.832ns (Levels of Logic = 2) + Clock Path Delay: -0.429ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch1<1> to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P114.I Tiopi 1.310 chn_bits_acq_ch1<1> + chn_bits_acq_ch1<1> + chn_bits_acq_ch1_1_IBUF + ProtoComp52.IMUX.5 + SLICE_X22Y52.D4 net (fanout=1) 2.309 chn_bits_acq_ch1_1_IBUF + SLICE_X22Y52.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 3.832ns (1.523ns logic, 2.309ns route) + (39.7% logic, 60.3% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y52.CLK net (fanout=226) 0.684 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.429ns (-2.456ns logic, 2.027ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 (SLICE_X22Y52.D4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.907ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch1<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.364ns (Levels of Logic = 2) + Clock Path Delay: 0.140ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch1<1> to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P114.I Tiopi 0.763 chn_bits_acq_ch1<1> + chn_bits_acq_ch1<1> + chn_bits_acq_ch1_1_IBUF + ProtoComp52.IMUX.5 + SLICE_X22Y52.D4 net (fanout=1) 1.480 chn_bits_acq_ch1_1_IBUF + SLICE_X22Y52.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 2.364ns (0.884ns logic, 1.480ns route) + (37.4% logic, 62.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y52.CLK net (fanout=226) 0.824 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.140ns (-1.582ns logic, 1.722ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.341ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 (SLICE_X23Y52.A5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.326ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch1<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.595ns (Levels of Logic = 2) + Clock Path Delay: -0.429ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch1<0> to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P112.I Tiopi 1.310 chn_bits_acq_ch1<0> + chn_bits_acq_ch1<0> + chn_bits_acq_ch1_0_IBUF + ProtoComp52.IMUX.4 + SLICE_X23Y52.A5 net (fanout=1) 2.058 chn_bits_acq_ch1_0_IBUF + SLICE_X23Y52.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 3.595ns (1.537ns logic, 2.058ns route) + (42.8% logic, 57.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X23Y52.CLK net (fanout=226) 0.684 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.429ns (-2.456ns logic, 2.027ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 (SLICE_X23Y52.A5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.731ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch1<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.188ns (Levels of Logic = 2) + Clock Path Delay: 0.140ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch1<0> to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P112.I Tiopi 0.763 chn_bits_acq_ch1<0> + chn_bits_acq_ch1<0> + chn_bits_acq_ch1_0_IBUF + ProtoComp52.IMUX.4 + SLICE_X23Y52.A5 net (fanout=1) 1.270 chn_bits_acq_ch1_0_IBUF + SLICE_X23Y52.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 2.188ns (0.918ns logic, 1.270ns route) + (42.0% logic, 58.0% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X23Y52.CLK net (fanout=226) 0.824 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.140ns (-1.582ns logic, 1.722ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.465ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 (SLICE_X17Y55.D3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 795.535ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch2<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 800.000ns + Data Path Delay: 3.707ns (Levels of Logic = 2) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch2<3> to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P121.I Tiopi 1.310 chn_bits_acq_ch2<3> + chn_bits_acq_ch2<3> + chn_bits_acq_ch2_3_IBUF + ProtoComp52.IMUX.11 + SLICE_X17Y55.D3 net (fanout=1) 2.170 chn_bits_acq_ch2_3_IBUF + SLICE_X17Y55.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3<1> + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 3.707ns (1.537ns logic, 2.170ns route) + (41.5% logic, 58.5% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X17Y55.CLK net (fanout=226) 0.672 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-2.456ns logic, 2.015ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 (SLICE_X17Y55.D3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.842ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch2<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.287ns (Levels of Logic = 2) + Clock Path Delay: 0.128ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch2<3> to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P121.I Tiopi 0.763 chn_bits_acq_ch2<3> + chn_bits_acq_ch2<3> + chn_bits_acq_ch2_3_IBUF + ProtoComp52.IMUX.11 + SLICE_X17Y55.D3 net (fanout=1) 1.369 chn_bits_acq_ch2_3_IBUF + SLICE_X17Y55.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3<1> + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 2.287ns (0.918ns logic, 1.369ns route) + (40.1% logic, 59.9% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X17Y55.CLK net (fanout=226) 0.812 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.128ns (-1.582ns logic, 1.710ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.911ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 (SLICE_X18Y44.D4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 795.089ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch2<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 800.000ns + Data Path Delay: 4.101ns (Levels of Logic = 2) + Clock Path Delay: -0.493ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch2<2> to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P120.I Tiopi 1.310 chn_bits_acq_ch2<2> + chn_bits_acq_ch2<2> + chn_bits_acq_ch2_2_IBUF + ProtoComp52.IMUX.10 + SLICE_X18Y44.D4 net (fanout=1) 2.578 chn_bits_acq_ch2_2_IBUF + SLICE_X18Y44.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2<1> + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 4.101ns (1.523ns logic, 2.578ns route) + (37.1% logic, 62.9% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X18Y44.CLK net (fanout=226) 0.620 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.493ns (-2.456ns logic, 1.963ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 (SLICE_X18Y44.D4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.089ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch2<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.482ns (Levels of Logic = 2) + Clock Path Delay: 0.076ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch2<2> to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P120.I Tiopi 0.763 chn_bits_acq_ch2<2> + chn_bits_acq_ch2<2> + chn_bits_acq_ch2_2_IBUF + ProtoComp52.IMUX.10 + SLICE_X18Y44.D4 net (fanout=1) 1.598 chn_bits_acq_ch2_2_IBUF + SLICE_X18Y44.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2<1> + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 2.482ns (0.884ns logic, 1.598ns route) + (35.6% logic, 64.4% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X18Y44.CLK net (fanout=226) 0.760 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.076ns (-1.582ns logic, 1.658ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.579ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 (SLICE_X18Y55.A2), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 795.421ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch2<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 800.000ns + Data Path Delay: 3.821ns (Levels of Logic = 2) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch2<1> to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P119.I Tiopi 1.310 chn_bits_acq_ch2<1> + chn_bits_acq_ch2<1> + chn_bits_acq_ch2_1_IBUF + ProtoComp52.IMUX.9 + SLICE_X18Y55.A2 net (fanout=1) 2.298 chn_bits_acq_ch2_1_IBUF + SLICE_X18Y55.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 3.821ns (1.523ns logic, 2.298ns route) + (39.9% logic, 60.1% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X18Y55.CLK net (fanout=226) 0.672 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-2.456ns logic, 2.015ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 (SLICE_X18Y55.A2), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.962ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch2<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.407ns (Levels of Logic = 2) + Clock Path Delay: 0.128ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch2<1> to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P119.I Tiopi 0.763 chn_bits_acq_ch2<1> + chn_bits_acq_ch2<1> + chn_bits_acq_ch2_1_IBUF + ProtoComp52.IMUX.9 + SLICE_X18Y55.A2 net (fanout=1) 1.523 chn_bits_acq_ch2_1_IBUF + SLICE_X18Y55.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 2.407ns (0.884ns logic, 1.523ns route) + (36.7% logic, 63.3% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X18Y55.CLK net (fanout=226) 0.812 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.128ns (-1.582ns logic, 1.710ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.569ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 (SLICE_X18Y57.A2), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 795.431ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch2<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 800.000ns + Data Path Delay: 3.813ns (Levels of Logic = 2) + Clock Path Delay: -0.439ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch2<0> to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P118.I Tiopi 1.310 chn_bits_acq_ch2<0> + chn_bits_acq_ch2<0> + chn_bits_acq_ch2_0_IBUF + ProtoComp52.IMUX.8 + SLICE_X18Y57.A2 net (fanout=1) 2.290 chn_bits_acq_ch2_0_IBUF + SLICE_X18Y57.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 3.813ns (1.523ns logic, 2.290ns route) + (39.9% logic, 60.1% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X18Y57.CLK net (fanout=226) 0.674 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.439ns (-2.456ns logic, 2.017ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 (SLICE_X18Y57.A2), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.984ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch2<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.431ns (Levels of Logic = 2) + Clock Path Delay: 0.130ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch2<0> to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P118.I Tiopi 0.763 chn_bits_acq_ch2<0> + chn_bits_acq_ch2<0> + chn_bits_acq_ch2_0_IBUF + ProtoComp52.IMUX.8 + SLICE_X18Y57.A2 net (fanout=1) 1.547 chn_bits_acq_ch2_0_IBUF + SLICE_X18Y57.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 2.431ns (0.884ns logic, 1.547ns route) + (36.4% logic, 63.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X18Y57.CLK net (fanout=226) 0.814 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.130ns (-1.582ns logic, 1.712ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.085ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 (SLICE_X13Y46.D4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.582ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch3<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.275ns (Levels of Logic = 2) + Clock Path Delay: -0.493ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch3<3> to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P131.I Tiopi 1.310 chn_bits_acq_ch3<3> + chn_bits_acq_ch3<3> + chn_bits_acq_ch3_3_IBUF + ProtoComp52.IMUX.15 + SLICE_X13Y46.D4 net (fanout=1) 2.738 chn_bits_acq_ch3_3_IBUF + SLICE_X13Y46.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3<1> + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 4.275ns (1.537ns logic, 2.738ns route) + (36.0% logic, 64.0% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X13Y46.CLK net (fanout=226) 0.620 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.493ns (-2.456ns logic, 1.963ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 (SLICE_X13Y46.D4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.309ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch3<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.702ns (Levels of Logic = 2) + Clock Path Delay: 0.076ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch3<3> to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P131.I Tiopi 0.763 chn_bits_acq_ch3<3> + chn_bits_acq_ch3<3> + chn_bits_acq_ch3_3_IBUF + ProtoComp52.IMUX.15 + SLICE_X13Y46.D4 net (fanout=1) 1.784 chn_bits_acq_ch3_3_IBUF + SLICE_X13Y46.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3<1> + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 2.702ns (0.918ns logic, 1.784ns route) + (34.0% logic, 66.0% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X13Y46.CLK net (fanout=226) 0.760 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.076ns (-1.582ns logic, 1.658ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.509ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 (SLICE_X15Y39.D4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.158ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch3<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.688ns (Levels of Logic = 2) + Clock Path Delay: -0.504ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch3<2> to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P127.I Tiopi 1.310 chn_bits_acq_ch3<2> + chn_bits_acq_ch3<2> + chn_bits_acq_ch3_2_IBUF + ProtoComp52.IMUX.14 + SLICE_X15Y39.D4 net (fanout=1) 3.151 chn_bits_acq_ch3_2_IBUF + SLICE_X15Y39.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1> + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 4.688ns (1.537ns logic, 3.151ns route) + (32.8% logic, 67.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y39.CLK net (fanout=226) 0.609 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.504ns (-2.456ns logic, 1.952ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 (SLICE_X15Y39.D4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.506ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch3<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.888ns (Levels of Logic = 2) + Clock Path Delay: 0.065ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch3<2> to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P127.I Tiopi 0.763 chn_bits_acq_ch3<2> + chn_bits_acq_ch3<2> + chn_bits_acq_ch3_2_IBUF + ProtoComp52.IMUX.14 + SLICE_X15Y39.D4 net (fanout=1) 1.970 chn_bits_acq_ch3_2_IBUF + SLICE_X15Y39.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1> + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 2.888ns (0.918ns logic, 1.970ns route) + (31.8% logic, 68.2% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y39.CLK net (fanout=226) 0.749 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.065ns (-1.582ns logic, 1.647ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.807ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 (SLICE_X15Y48.A5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.860ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch3<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.061ns (Levels of Logic = 2) + Clock Path Delay: -0.429ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch3<1> to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P126.I Tiopi 1.310 chn_bits_acq_ch3<1> + chn_bits_acq_ch3<1> + chn_bits_acq_ch3_1_IBUF + ProtoComp52.IMUX.13 + SLICE_X15Y48.A5 net (fanout=1) 2.524 chn_bits_acq_ch3_1_IBUF + SLICE_X15Y48.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 4.061ns (1.537ns logic, 2.524ns route) + (37.8% logic, 62.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y48.CLK net (fanout=226) 0.684 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.429ns (-2.456ns logic, 2.027ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 (SLICE_X15Y48.A5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.066ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch3<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.523ns (Levels of Logic = 2) + Clock Path Delay: 0.140ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch3<1> to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P126.I Tiopi 0.763 chn_bits_acq_ch3<1> + chn_bits_acq_ch3<1> + chn_bits_acq_ch3_1_IBUF + ProtoComp52.IMUX.13 + SLICE_X15Y48.A5 net (fanout=1) 1.605 chn_bits_acq_ch3_1_IBUF + SLICE_X15Y48.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 2.523ns (0.918ns logic, 1.605ns route) + (36.4% logic, 63.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y48.CLK net (fanout=226) 0.824 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.140ns (-1.582ns logic, 1.722ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.465ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 (SLICE_X15Y50.D4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.202ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch3<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.717ns (Levels of Logic = 2) + Clock Path Delay: -0.431ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch3<0> to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P124.I Tiopi 1.310 chn_bits_acq_ch3<0> + chn_bits_acq_ch3<0> + chn_bits_acq_ch3_0_IBUF + ProtoComp52.IMUX.12 + SLICE_X15Y50.D4 net (fanout=1) 2.180 chn_bits_acq_ch3_0_IBUF + SLICE_X15Y50.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 3.717ns (1.537ns logic, 2.180ns route) + (41.4% logic, 58.6% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y50.CLK net (fanout=226) 0.682 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.431ns (-2.456ns logic, 2.025ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 (SLICE_X15Y50.D4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.818ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch3<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.273ns (Levels of Logic = 2) + Clock Path Delay: 0.138ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch3<0> to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P124.I Tiopi 0.763 chn_bits_acq_ch3<0> + chn_bits_acq_ch3<0> + chn_bits_acq_ch3_0_IBUF + ProtoComp52.IMUX.12 + SLICE_X15Y50.D4 net (fanout=1) 1.355 chn_bits_acq_ch3_0_IBUF + SLICE_X15Y50.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 2.273ns (0.918ns logic, 1.355ns route) + (40.4% logic, 59.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y50.CLK net (fanout=226) 0.822 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.138ns (-1.582ns logic, 1.720ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.954ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 (SLICE_X7Y51.A3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.713ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch4<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.241ns (Levels of Logic = 2) + Clock Path Delay: -0.396ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch4<3> to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P138.I Tiopi 1.310 chn_bits_acq_ch4<3> + chn_bits_acq_ch4<3> + chn_bits_acq_ch4_3_IBUF + ProtoComp52.IMUX.19 + SLICE_X7Y51.A3 net (fanout=1) 2.704 chn_bits_acq_ch4_3_IBUF + SLICE_X7Y51.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3<1> + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 4.241ns (1.537ns logic, 2.704ns route) + (36.2% logic, 63.8% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X7Y51.CLK net (fanout=226) 0.717 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.396ns (-2.456ns logic, 2.060ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 (SLICE_X7Y51.A3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.133ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch4<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.623ns (Levels of Logic = 2) + Clock Path Delay: 0.173ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch4<3> to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P138.I Tiopi 0.763 chn_bits_acq_ch4<3> + chn_bits_acq_ch4<3> + chn_bits_acq_ch4_3_IBUF + ProtoComp52.IMUX.19 + SLICE_X7Y51.A3 net (fanout=1) 1.705 chn_bits_acq_ch4_3_IBUF + SLICE_X7Y51.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3<1> + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 2.623ns (0.918ns logic, 1.705ns route) + (35.0% logic, 65.0% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X7Y51.CLK net (fanout=226) 0.857 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.173ns (-1.582ns logic, 1.755ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.577ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 (SLICE_X5Y51.A5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.090ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch4<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.864ns (Levels of Logic = 2) + Clock Path Delay: -0.396ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch4<2> to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P137.I Tiopi 1.310 chn_bits_acq_ch4<2> + chn_bits_acq_ch4<2> + chn_bits_acq_ch4_2_IBUF + ProtoComp52.IMUX.18 + SLICE_X5Y51.A5 net (fanout=1) 2.327 chn_bits_acq_ch4_2_IBUF + SLICE_X5Y51.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2<1> + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 3.864ns (1.537ns logic, 2.327ns route) + (39.8% logic, 60.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X5Y51.CLK net (fanout=226) 0.717 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.396ns (-2.456ns logic, 2.060ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 (SLICE_X5Y51.A5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.923ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch4<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.413ns (Levels of Logic = 2) + Clock Path Delay: 0.173ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch4<2> to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P137.I Tiopi 0.763 chn_bits_acq_ch4<2> + chn_bits_acq_ch4<2> + chn_bits_acq_ch4_2_IBUF + ProtoComp52.IMUX.18 + SLICE_X5Y51.A5 net (fanout=1) 1.495 chn_bits_acq_ch4_2_IBUF + SLICE_X5Y51.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2<1> + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 2.413ns (0.918ns logic, 1.495ns route) + (38.0% logic, 62.0% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X5Y51.CLK net (fanout=226) 0.857 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.173ns (-1.582ns logic, 1.755ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.480ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 (SLICE_X8Y56.D3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.187ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch4<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.750ns (Levels of Logic = 2) + Clock Path Delay: -0.413ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch4<1> to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P134.I Tiopi 1.310 chn_bits_acq_ch4<1> + chn_bits_acq_ch4<1> + chn_bits_acq_ch4_1_IBUF + ProtoComp52.IMUX.17 + SLICE_X8Y56.D3 net (fanout=1) 2.286 chn_bits_acq_ch4_1_IBUF + SLICE_X8Y56.CLK Tas 0.154 nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 3.750ns (1.464ns logic, 2.286ns route) + (39.0% logic, 61.0% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y56.CLK net (fanout=226) 0.700 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.413ns (-2.456ns logic, 2.043ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 (SLICE_X8Y56.D3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.888ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch4<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.361ns (Levels of Logic = 2) + Clock Path Delay: 0.156ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch4<1> to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P134.I Tiopi 0.763 chn_bits_acq_ch4<1> + chn_bits_acq_ch4<1> + chn_bits_acq_ch4_1_IBUF + ProtoComp52.IMUX.17 + SLICE_X8Y56.D3 net (fanout=1) 1.467 chn_bits_acq_ch4_1_IBUF + SLICE_X8Y56.CLK Tah (-Th) -0.131 nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 2.361ns (0.894ns logic, 1.467ns route) + (37.9% logic, 62.1% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y56.CLK net (fanout=226) 0.840 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.156ns (-1.582ns logic, 1.738ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.465ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 (SLICE_X9Y54.A4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.202ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch4<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.737ns (Levels of Logic = 2) + Clock Path Delay: -0.411ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch4<0> to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P133.I Tiopi 1.310 chn_bits_acq_ch4<0> + chn_bits_acq_ch4<0> + chn_bits_acq_ch4_0_IBUF + ProtoComp52.IMUX.16 + SLICE_X9Y54.A4 net (fanout=1) 2.200 chn_bits_acq_ch4_0_IBUF + SLICE_X9Y54.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 3.737ns (1.537ns logic, 2.200ns route) + (41.1% logic, 58.9% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y54.CLK net (fanout=226) 0.702 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.411ns (-2.456ns logic, 2.045ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 (SLICE_X9Y54.A4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.843ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch4<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.318ns (Levels of Logic = 2) + Clock Path Delay: 0.158ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch4<0> to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P133.I Tiopi 0.763 chn_bits_acq_ch4<0> + chn_bits_acq_ch4<0> + chn_bits_acq_ch4_0_IBUF + ProtoComp52.IMUX.16 + SLICE_X9Y54.A4 net (fanout=1) 1.400 chn_bits_acq_ch4_0_IBUF + SLICE_X9Y54.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 2.318ns (0.918ns logic, 1.400ns route) + (39.6% logic, 60.4% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y54.CLK net (fanout=226) 0.842 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.158ns (-1.582ns logic, 1.740ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.088ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 (SLICE_X22Y4.D3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.579ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch5<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.342ns (Levels of Logic = 2) + Clock Path Delay: -0.429ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch5<3> to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P62.I Tiopi 1.310 chn_bits_acq_ch5<3> + chn_bits_acq_ch5<3> + chn_bits_acq_ch5_3_IBUF + ProtoComp52.IMUX.23 + SLICE_X22Y4.D3 net (fanout=1) 1.819 chn_bits_acq_ch5_3_IBUF + SLICE_X22Y4.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3<1> + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 3.342ns (1.523ns logic, 1.819ns route) + (45.6% logic, 54.4% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y4.CLK net (fanout=226) 0.684 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.429ns (-2.456ns logic, 2.027ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 (SLICE_X22Y4.D3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.582ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch5<3> (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.039ns (Levels of Logic = 2) + Clock Path Delay: 0.140ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch5<3> to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P62.I Tiopi 0.763 chn_bits_acq_ch5<3> + chn_bits_acq_ch5<3> + chn_bits_acq_ch5_3_IBUF + ProtoComp52.IMUX.23 + SLICE_X22Y4.D3 net (fanout=1) 1.155 chn_bits_acq_ch5_3_IBUF + SLICE_X22Y4.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3<1> + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0_rstpot + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 + ------------------------------------------------- --------------------------- + Total 2.039ns (0.884ns logic, 1.155ns route) + (43.4% logic, 56.6% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y4.CLK net (fanout=226) 0.824 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.140ns (-1.582ns logic, 1.722ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.132ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 (SLICE_X19Y17.A1), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.535ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch5<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.325ns (Levels of Logic = 2) + Clock Path Delay: -0.490ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch5<2> to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P61.I Tiopi 1.310 chn_bits_acq_ch5<2> + chn_bits_acq_ch5<2> + chn_bits_acq_ch5_2_IBUF + ProtoComp52.IMUX.22 + SLICE_X19Y17.A1 net (fanout=1) 2.788 chn_bits_acq_ch5_2_IBUF + SLICE_X19Y17.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2<1> + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 4.325ns (1.537ns logic, 2.788ns route) + (35.5% logic, 64.5% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X19Y17.CLK net (fanout=226) 0.623 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.490ns (-2.456ns logic, 1.966ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 (SLICE_X19Y17.A1), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.294ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch5<2> (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.690ns (Levels of Logic = 2) + Clock Path Delay: 0.079ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch5<2> to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P61.I Tiopi 0.763 chn_bits_acq_ch5<2> + chn_bits_acq_ch5<2> + chn_bits_acq_ch5_2_IBUF + ProtoComp52.IMUX.22 + SLICE_X19Y17.A1 net (fanout=1) 1.772 chn_bits_acq_ch5_2_IBUF + SLICE_X19Y17.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2<1> + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0_rstpot + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 + ------------------------------------------------- --------------------------- + Total 2.690ns (0.918ns logic, 1.772ns route) + (34.1% logic, 65.9% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X19Y17.CLK net (fanout=226) 0.763 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.079ns (-1.582ns logic, 1.661ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.238ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 (SLICE_X18Y7.D3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.429ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch5<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.480ns (Levels of Logic = 2) + Clock Path Delay: -0.441ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch5<1> to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P59.I Tiopi 1.310 chn_bits_acq_ch5<1> + chn_bits_acq_ch5<1> + chn_bits_acq_ch5_1_IBUF + ProtoComp52.IMUX.21 + SLICE_X18Y7.D3 net (fanout=1) 1.957 chn_bits_acq_ch5_1_IBUF + SLICE_X18Y7.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 3.480ns (1.523ns logic, 1.957ns route) + (43.8% logic, 56.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X18Y7.CLK net (fanout=226) 0.672 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.441ns (-2.456ns logic, 2.015ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 (SLICE_X18Y7.D3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.687ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch5<1> (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.132ns (Levels of Logic = 2) + Clock Path Delay: 0.128ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch5<1> to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P59.I Tiopi 0.763 chn_bits_acq_ch5<1> + chn_bits_acq_ch5<1> + chn_bits_acq_ch5_1_IBUF + ProtoComp52.IMUX.21 + SLICE_X18Y7.D3 net (fanout=1) 1.248 chn_bits_acq_ch5_1_IBUF + SLICE_X18Y7.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1<1> + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0_rstpot + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 + ------------------------------------------------- --------------------------- + Total 2.132ns (0.884ns logic, 1.248ns route) + (41.5% logic, 58.5% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X18Y7.CLK net (fanout=226) 0.812 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.128ns (-1.582ns logic, 1.710ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID +16.667 ns BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.536ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 (SLICE_X22Y7.D2), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.131ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: chn_bits_acq_ch5<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.783ns (Levels of Logic = 2) + Clock Path Delay: -0.436ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: chn_bits_acq_ch5<0> to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P58.I Tiopi 1.310 chn_bits_acq_ch5<0> + chn_bits_acq_ch5<0> + chn_bits_acq_ch5_0_IBUF + ProtoComp52.IMUX.20 + SLICE_X22Y7.D2 net (fanout=1) 2.260 chn_bits_acq_ch5_0_IBUF + SLICE_X22Y7.CLK Tas 0.213 nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 3.783ns (1.523ns logic, 2.260ns route) + (40.3% logic, 59.7% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y7.CLK net (fanout=226) 0.677 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.436ns (-2.456ns logic, 2.020ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 (SLICE_X22Y7.D2), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.894ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: chn_bits_acq_ch5<0> (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.344ns (Levels of Logic = 2) + Clock Path Delay: 0.133ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: chn_bits_acq_ch5<0> to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P58.I Tiopi 0.763 chn_bits_acq_ch5<0> + chn_bits_acq_ch5<0> + chn_bits_acq_ch5_0_IBUF + ProtoComp52.IMUX.20 + SLICE_X22Y7.D2 net (fanout=1) 1.460 chn_bits_acq_ch5_0_IBUF + SLICE_X22Y7.CLK Tah (-Th) -0.121 nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0<1> + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0_rstpot + nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 + ------------------------------------------------- --------------------------- + Total 2.344ns (0.884ns logic, 1.460ns route) + (37.7% logic, 62.3% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X22Y7.CLK net (fanout=226) 0.817 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.133ns (-1.582ns logic, 1.715ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE +COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 5 paths analyzed, 5 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 6.085ns. +-------------------------------------------------------------------------------- + +Paths for end point lock_rst_bar (SLICE_X14Y22.AX), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 10.582ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: lock (PAD) + Destination: lock_rst_bar (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 5.266ns (Levels of Logic = 3) + Clock Path Delay: -0.502ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: lock to lock_rst_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P82.I Tiopi 1.337 lock + lock + lock_IBUF + ProtoComp54.IINV + ProtoComp54.IMUX + SLICE_X14Y27.D6 net (fanout=3) 2.216 lock_inv + SLICE_X14Y27.D Tilo 0.205 lock_rst_bar_1 + PWR_5_o_reset_gen.cont_200MHz[15]_equal_1_o_inv3_SW0 + SLICE_X14Y27.C4 net (fanout=1) 0.447 N88 + SLICE_X14Y27.C Tilo 0.205 lock_rst_bar_1 + lock_rst_bar_rstpot + SLICE_X14Y22.AX net (fanout=1) 0.720 lock_rst_bar_rstpot + SLICE_X14Y22.CLK Tdick 0.136 lock_rst_bar + lock_rst_bar + ------------------------------------------------- --------------------------- + Total 5.266ns (1.883ns logic, 3.383ns route) + (35.8% logic, 64.2% route) + + Minimum Clock Path at Slow Process Corner: clk_main to lock_rst_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y22.CLK net (fanout=226) 0.611 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.502ns (-2.456ns logic, 1.954ns route) + +-------------------------------------------------------------------------------- + +Paths for end point lock_rst_bar_1 (SLICE_X14Y27.C4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.307ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: lock (PAD) + Destination: lock_rst_bar_1 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.546ns (Levels of Logic = 3) + Clock Path Delay: -0.497ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: lock to lock_rst_bar_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P82.I Tiopi 1.337 lock + lock + lock_IBUF + ProtoComp54.IINV + ProtoComp54.IMUX + SLICE_X14Y27.D6 net (fanout=3) 2.216 lock_inv + SLICE_X14Y27.D Tilo 0.205 lock_rst_bar_1 + PWR_5_o_reset_gen.cont_200MHz[15]_equal_1_o_inv3_SW0 + SLICE_X14Y27.C4 net (fanout=1) 0.447 N88 + SLICE_X14Y27.CLK Tas 0.341 lock_rst_bar_1 + lock_rst_bar_rstpot + lock_rst_bar_1 + ------------------------------------------------- --------------------------- + Total 4.546ns (1.883ns logic, 2.663ns route) + (41.4% logic, 58.6% route) + + Minimum Clock Path at Slow Process Corner: clk_main to lock_rst_bar_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y27.CLK net (fanout=226) 0.616 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.497ns (-2.456ns logic, 1.959ns route) + +-------------------------------------------------------------------------------- + +Paths for end point pps_rst (SLICE_X12Y26.SR), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.817ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: lock (PAD) + Destination: pps_rst (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.033ns (Levels of Logic = 1) + Clock Path Delay: -0.500ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: lock to pps_rst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P82.I Tiopi 1.337 lock + lock + lock_IBUF + ProtoComp54.IINV + ProtoComp54.IMUX + SLICE_X12Y26.SR net (fanout=3) 2.257 lock_inv + SLICE_X12Y26.CLK Tsrck 0.439 pps_rst + pps_rst + ------------------------------------------------- --------------------------- + Total 4.033ns (1.776ns logic, 2.257ns route) + (44.0% logic, 56.0% route) + + Minimum Clock Path at Slow Process Corner: clk_main to pps_rst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X12Y26.CLK net (fanout=226) 0.613 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.500ns (-2.456ns logic, 1.956ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point pps_r_0 (SLICE_X14Y26.SR), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.602ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: lock (PAD) + Destination: pps_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 1.989ns (Levels of Logic = 1) + Clock Path Delay: 0.070ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: lock to pps_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P82.I Tiopi 0.773 lock + lock + lock_IBUF + ProtoComp54.IINV + ProtoComp54.IMUX + SLICE_X14Y26.SR net (fanout=3) 1.191 lock_inv + SLICE_X14Y26.CLK Tcksr (-Th) -0.025 pps_r<1> + pps_r_0 + ------------------------------------------------- --------------------------- + Total 1.989ns (0.798ns logic, 1.191ns route) + (40.1% logic, 59.9% route) + + Maximum Clock Path at Fast Process Corner: clk_main to pps_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y26.CLK net (fanout=226) 0.754 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.070ns (-1.582ns logic, 1.652ns route) + +-------------------------------------------------------------------------------- + +Paths for end point pps_r_1 (SLICE_X14Y26.SR), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.623ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: lock (PAD) + Destination: pps_r_1 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.010ns (Levels of Logic = 1) + Clock Path Delay: 0.070ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: lock to pps_r_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P82.I Tiopi 0.773 lock + lock + lock_IBUF + ProtoComp54.IINV + ProtoComp54.IMUX + SLICE_X14Y26.SR net (fanout=3) 1.191 lock_inv + SLICE_X14Y26.CLK Tcksr (-Th) -0.046 pps_r<1> + pps_r_1 + ------------------------------------------------- --------------------------- + Total 2.010ns (0.819ns logic, 1.191ns route) + (40.7% logic, 59.3% route) + + Maximum Clock Path at Fast Process Corner: clk_main to pps_r_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y26.CLK net (fanout=226) 0.754 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.070ns (-1.582ns logic, 1.652ns route) + +-------------------------------------------------------------------------------- + +Paths for end point pps_rst (SLICE_X12Y26.SR), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.859ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: lock (PAD) + Destination: pps_rst (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.245ns (Levels of Logic = 1) + Clock Path Delay: 0.069ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: lock to pps_rst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P82.I Tiopi 0.773 lock + lock + lock_IBUF + ProtoComp54.IINV + ProtoComp54.IMUX + SLICE_X12Y26.SR net (fanout=3) 1.445 lock_inv + SLICE_X12Y26.CLK Tcksr (-Th) -0.027 pps_rst + pps_rst + ------------------------------------------------- --------------------------- + Total 2.245ns (0.800ns logic, 1.445ns route) + (35.6% logic, 64.4% route) + + Maximum Clock Path at Fast Process Corner: clk_main to pps_rst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X12Y26.CLK net (fanout=226) 0.753 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.069ns (-1.582ns logic, 1.651ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP +"clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.685ns. +-------------------------------------------------------------------------------- + +Paths for end point pps_r_0 (SLICE_X14Y26.AX), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.982ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: pps (PAD) + Destination: pps_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.869ns (Levels of Logic = 1) + Clock Path Delay: -0.499ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: pps to pps_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P78.I Tiopi 1.310 pps + pps + pps_IBUF + ProtoComp52.IMUX.2 + SLICE_X14Y26.AX net (fanout=1) 2.423 pps_IBUF + SLICE_X14Y26.CLK Tdick 0.136 pps_r<1> + pps_r_0 + ------------------------------------------------- --------------------------- + Total 3.869ns (1.446ns logic, 2.423ns route) + (37.4% logic, 62.6% route) + + Minimum Clock Path at Slow Process Corner: clk_main to pps_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y26.CLK net (fanout=226) 0.614 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.499ns (-2.456ns logic, 1.957ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point pps_r_0 (SLICE_X14Y26.AX), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.955ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: pps (PAD) + Destination: pps_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.342ns (Levels of Logic = 1) + Clock Path Delay: 0.070ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: pps to pps_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P78.I Tiopi 0.763 pps + pps + pps_IBUF + ProtoComp52.IMUX.2 + SLICE_X14Y26.AX net (fanout=1) 1.531 pps_IBUF + SLICE_X14Y26.CLK Tckdi (-Th) -0.048 pps_r<1> + pps_r_0 + ------------------------------------------------- --------------------------- + Total 2.342ns (0.811ns logic, 1.531ns route) + (34.6% logic, 65.4% route) + + Maximum Clock Path at Fast Process Corner: clk_main to pps_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X14Y26.CLK net (fanout=226) 0.754 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.070ns (-1.582ns logic, 1.652ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE +COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.828ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 (SLICE_X16Y47.B5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 795.172ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: clk_acq_ch1 (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 800.000ns + Data Path Delay: 4.021ns (Levels of Logic = 2) + Clock Path Delay: -0.490ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: clk_acq_ch1 to nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P111.I Tiopi 1.310 clk_acq_ch1 + clk_acq_ch1 + clk_acq_ch1_IBUF + ProtoComp52.IMUX.25 + SLICE_X16Y47.B5 net (fanout=1) 2.557 clk_acq_ch1_IBUF + SLICE_X16Y47.CLK Tas 0.154 nb_mem_cont/nibble_pack_ch1/clk_acq_r<2> + nb_mem_cont/nibble_pack_ch1/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 4.021ns (1.464ns logic, 2.557ns route) + (36.4% logic, 63.6% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y47.CLK net (fanout=226) 0.623 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.490ns (-2.456ns logic, 1.966ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" + "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 (SLICE_X16Y47.B5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.085ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: clk_acq_ch1 (PAD) + Destination: nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.481ns (Levels of Logic = 2) + Clock Path Delay: 0.079ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: clk_acq_ch1 to nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P111.I Tiopi 0.763 clk_acq_ch1 + clk_acq_ch1 + clk_acq_ch1_IBUF + ProtoComp52.IMUX.25 + SLICE_X16Y47.B5 net (fanout=1) 1.587 clk_acq_ch1_IBUF + SLICE_X16Y47.CLK Tah (-Th) -0.131 nb_mem_cont/nibble_pack_ch1/clk_acq_r<2> + nb_mem_cont/nibble_pack_ch1/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 2.481ns (0.894ns logic, 1.587ns route) + (36.0% logic, 64.0% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y47.CLK net (fanout=226) 0.763 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.079ns (-1.582ns logic, 1.661ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.021ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 (SLICE_X16Y46.A3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.646ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: clk_acq_ch2 (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 4.214ns (Levels of Logic = 2) + Clock Path Delay: -0.490ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: clk_acq_ch2 to nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P117.I Tiopi 1.310 clk_acq_ch2 + clk_acq_ch2 + clk_acq_ch2_IBUF + ProtoComp52.IMUX.26 + SLICE_X16Y46.A3 net (fanout=1) 2.750 clk_acq_ch2_IBUF + SLICE_X16Y46.CLK Tas 0.154 nb_mem_cont/nibble_pack_ch3/clk_acq_r<1> + nb_mem_cont/nibble_pack_ch2/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 4.214ns (1.464ns logic, 2.750ns route) + (34.7% logic, 65.3% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y46.CLK net (fanout=226) 0.623 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.490ns (-2.456ns logic, 1.966ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 (SLICE_X16Y46.A3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.226ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: clk_acq_ch2 (PAD) + Destination: nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.622ns (Levels of Logic = 2) + Clock Path Delay: 0.079ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: clk_acq_ch2 to nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P117.I Tiopi 0.763 clk_acq_ch2 + clk_acq_ch2 + clk_acq_ch2_IBUF + ProtoComp52.IMUX.26 + SLICE_X16Y46.A3 net (fanout=1) 1.728 clk_acq_ch2_IBUF + SLICE_X16Y46.CLK Tah (-Th) -0.131 nb_mem_cont/nibble_pack_ch3/clk_acq_r<1> + nb_mem_cont/nibble_pack_ch2/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 2.622ns (0.894ns logic, 1.728ns route) + (34.1% logic, 65.9% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y46.CLK net (fanout=226) 0.763 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.079ns (-1.582ns logic, 1.661ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 4.711ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 (SLICE_X16Y46.C3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.956ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: clk_acq_ch3 (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 3.904ns (Levels of Logic = 2) + Clock Path Delay: -0.490ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: clk_acq_ch3 to nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P123.I Tiopi 1.310 clk_acq_ch3 + clk_acq_ch3 + clk_acq_ch3_IBUF + ProtoComp52.IMUX.27 + SLICE_X16Y46.C3 net (fanout=1) 2.440 clk_acq_ch3_IBUF + SLICE_X16Y46.CLK Tas 0.154 nb_mem_cont/nibble_pack_ch3/clk_acq_r<1> + nb_mem_cont/nibble_pack_ch3/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 3.904ns (1.464ns logic, 2.440ns route) + (37.5% logic, 62.5% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y46.CLK net (fanout=226) 0.623 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.490ns (-2.456ns logic, 1.966ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 (SLICE_X16Y46.C3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.027ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: clk_acq_ch3 (PAD) + Destination: nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.423ns (Levels of Logic = 2) + Clock Path Delay: 0.079ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: clk_acq_ch3 to nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P123.I Tiopi 0.763 clk_acq_ch3 + clk_acq_ch3 + clk_acq_ch3_IBUF + ProtoComp52.IMUX.27 + SLICE_X16Y46.C3 net (fanout=1) 1.529 clk_acq_ch3_IBUF + SLICE_X16Y46.CLK Tah (-Th) -0.131 nb_mem_cont/nibble_pack_ch3/clk_acq_r<1> + nb_mem_cont/nibble_pack_ch3/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 2.423ns (0.894ns logic, 1.529ns route) + (36.9% logic, 63.1% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y46.CLK net (fanout=226) 0.763 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.079ns (-1.582ns logic, 1.661ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.877ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 (SLICE_X15Y35.A1), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 10.790ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: clk_acq_ch4 (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 5.066ns (Levels of Logic = 2) + Clock Path Delay: -0.494ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: clk_acq_ch4 to nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P132.I Tiopi 1.310 clk_acq_ch4 + clk_acq_ch4 + clk_acq_ch4_IBUF + ProtoComp52.IMUX.28 + SLICE_X15Y35.A1 net (fanout=1) 3.529 clk_acq_ch4_IBUF + SLICE_X15Y35.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch5/clk_acq_r<1> + nb_mem_cont/nibble_pack_ch4/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 5.066ns (1.537ns logic, 3.529ns route) + (30.3% logic, 69.7% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y35.CLK net (fanout=226) 0.619 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.494ns (-2.456ns logic, 1.962ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 (SLICE_X15Y35.A1), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.755ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: clk_acq_ch4 (PAD) + Destination: nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.147ns (Levels of Logic = 2) + Clock Path Delay: 0.075ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: clk_acq_ch4 to nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P132.I Tiopi 0.763 clk_acq_ch4 + clk_acq_ch4 + clk_acq_ch4_IBUF + ProtoComp52.IMUX.28 + SLICE_X15Y35.A1 net (fanout=1) 2.229 clk_acq_ch4_IBUF + SLICE_X15Y35.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch5/clk_acq_r<1> + nb_mem_cont/nibble_pack_ch4/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 3.147ns (0.918ns logic, 2.229ns route) + (29.2% logic, 70.8% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y35.CLK net (fanout=226) 0.759 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.075ns (-1.582ns logic, 1.657ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns +BEFORE COMP "clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.861ns. +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 (SLICE_X15Y35.C3), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 10.806ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: clk_acq_ch5 (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 5.050ns (Levels of Logic = 2) + Clock Path Delay: -0.494ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: clk_acq_ch5 to nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P57.I Tiopi 1.310 clk_acq_ch5 + clk_acq_ch5 + clk_acq_ch5_IBUF + ProtoComp52.IMUX.29 + SLICE_X15Y35.C3 net (fanout=1) 3.513 clk_acq_ch5_IBUF + SLICE_X15Y35.CLK Tas 0.227 nb_mem_cont/nibble_pack_ch5/clk_acq_r<1> + nb_mem_cont/nibble_pack_ch5/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 5.050ns (1.537ns logic, 3.513ns route) + (30.4% logic, 69.6% route) + + Minimum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y35.CLK net (fanout=226) 0.619 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.494ns (-2.456ns logic, 1.962ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 (SLICE_X15Y35.C3), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.688ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: clk_acq_ch5 (PAD) + Destination: nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.080ns (Levels of Logic = 2) + Clock Path Delay: 0.075ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: clk_acq_ch5 to nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P57.I Tiopi 0.763 clk_acq_ch5 + clk_acq_ch5 + clk_acq_ch5_IBUF + ProtoComp52.IMUX.29 + SLICE_X15Y35.C3 net (fanout=1) 2.162 clk_acq_ch5_IBUF + SLICE_X15Y35.CLK Tah (-Th) -0.155 nb_mem_cont/nibble_pack_ch5/clk_acq_r<1> + nb_mem_cont/nibble_pack_ch5/clk_acq_r_0_rstpot + nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 + ------------------------------------------------- --------------------------- + Total 3.080ns (0.918ns logic, 2.162ns route) + (29.8% logic, 70.2% route) + + Maximum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X15Y35.CLK net (fanout=226) 0.759 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.075ns (-1.582ns logic, 1.657ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 7.179ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_esclavo/MOSIr_0 (SLICE_X16Y11.AX), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 4.821ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: MOSI (PAD) + Destination: interfaz_spi/controlador_spi_esclavo/MOSIr_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 12.000ns + Data Path Delay: 6.428ns (Levels of Logic = 1) + Clock Path Delay: -0.434ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: MOSI to interfaz_spi/controlador_spi_esclavo/MOSIr_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P99.I Tiopi 1.310 MOSI + MOSI + MOSI_IBUF + ProtoComp52.IMUX.3 + SLICE_X16Y11.AX net (fanout=1) 5.032 MOSI_IBUF + SLICE_X16Y11.CLK Tdick 0.086 interfaz_spi/controlador_spi_esclavo/MOSIr<1> + interfaz_spi/controlador_spi_esclavo/MOSIr_0 + ------------------------------------------------- --------------------------- + Total 6.428ns (1.396ns logic, 5.032ns route) + (21.7% logic, 78.3% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_esclavo/MOSIr_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y11.CLK net (fanout=226) 0.679 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.434ns (-2.456ns logic, 2.022ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_esclavo/MOSIr_0 (SLICE_X16Y11.AX), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 3.457ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: MOSI (PAD) + Destination: interfaz_spi/controlador_spi_esclavo/MOSIr_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.909ns (Levels of Logic = 1) + Clock Path Delay: 0.135ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: MOSI to interfaz_spi/controlador_spi_esclavo/MOSIr_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P99.I Tiopi 0.763 MOSI + MOSI + MOSI_IBUF + ProtoComp52.IMUX.3 + SLICE_X16Y11.AX net (fanout=1) 3.105 MOSI_IBUF + SLICE_X16Y11.CLK Tckdi (-Th) -0.041 interfaz_spi/controlador_spi_esclavo/MOSIr<1> + interfaz_spi/controlador_spi_esclavo/MOSIr_0 + ------------------------------------------------- --------------------------- + Total 3.909ns (0.804ns logic, 3.105ns route) + (20.6% logic, 79.4% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_esclavo/MOSIr_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X16Y11.CLK net (fanout=226) 0.819 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.135ns (-1.582ns logic, 1.717ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE COMP +"clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 5.534ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_esclavo/SCKr_0 (SLICE_X20Y8.D4), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 19.466ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: SCK (PAD) + Destination: interfaz_spi/controlador_spi_esclavo/SCKr_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 25.000ns + Data Path Delay: 4.781ns (Levels of Logic = 2) + Clock Path Delay: -0.436ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: SCK to interfaz_spi/controlador_spi_esclavo/SCKr_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P95.I Tiopi 1.310 SCK + SCK + SCK_IBUF + ProtoComp52.IMUX.1 + SLICE_X20Y8.D4 net (fanout=1) 3.317 SCK_IBUF + SLICE_X20Y8.CLK Tas 0.154 interfaz_spi/controlador_spi_esclavo/SCKr<1> + interfaz_spi/controlador_spi_esclavo/SCKr_0_glue_set + interfaz_spi/controlador_spi_esclavo/SCKr_0 + ------------------------------------------------- --------------------------- + Total 4.781ns (1.464ns logic, 3.317ns route) + (30.6% logic, 69.4% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_esclavo/SCKr_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X20Y8.CLK net (fanout=226) 0.677 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.436ns (-2.456ns logic, 2.020ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE COMP "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_esclavo/SCKr_0 (SLICE_X20Y8.D4), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.480ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: SCK (PAD) + Destination: interfaz_spi/controlador_spi_esclavo/SCKr_0 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.930ns (Levels of Logic = 2) + Clock Path Delay: 0.133ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: SCK to interfaz_spi/controlador_spi_esclavo/SCKr_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P95.I Tiopi 0.763 SCK + SCK + SCK_IBUF + ProtoComp52.IMUX.1 + SLICE_X20Y8.D4 net (fanout=1) 2.036 SCK_IBUF + SLICE_X20Y8.CLK Tah (-Th) -0.131 interfaz_spi/controlador_spi_esclavo/SCKr<1> + interfaz_spi/controlador_spi_esclavo/SCKr_0_glue_set + interfaz_spi/controlador_spi_esclavo/SCKr_0 + ------------------------------------------------- --------------------------- + Total 2.930ns (0.894ns logic, 2.036ns route) + (30.5% logic, 69.5% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_esclavo/SCKr_0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X20Y8.CLK net (fanout=226) 0.817 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.133ns (-1.582ns logic, 1.715ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"clk_main" "RISING"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 6.292ns. +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 (SLICE_X20Y7.AI), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 5.708ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: CS (PAD) + Destination: interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 12.000ns + Data Path Delay: 5.539ns (Levels of Logic = 1) + Clock Path Delay: -0.436ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Data Path at Slow Process Corner: CS to interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P97.I Tiopi 1.310 CS + CS + CS_IBUF + ProtoComp52.IMUX + SLICE_X20Y7.AI net (fanout=1) 4.191 CS_IBUF + SLICE_X20Y7.CLK Tds 0.038 interfaz_spi/controlador_spi_esclavo/CSr<1> + interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 + ------------------------------------------------- --------------------------- + Total 5.539ns (1.348ns logic, 4.191ns route) + (24.3% logic, 75.7% route) + + Minimum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.126 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.278 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.105 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.562 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -3.884 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.503 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.197 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X20Y7.CLK net (fanout=226) 0.677 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.436ns (-2.456ns logic, 2.020ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"; +-------------------------------------------------------------------------------- + +Paths for end point interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 (SLICE_X20Y7.AI), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 2.894ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: CS (PAD) + Destination: interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 (FF) + Destination Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.344ns (Levels of Logic = 1) + Clock Path Delay: 0.133ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Data Path at Fast Process Corner: CS to interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P97.I Tiopi 0.763 CS + CS + CS_IBUF + ProtoComp52.IMUX + SLICE_X20Y7.AI net (fanout=1) 2.551 CS_IBUF + SLICE_X20Y7.CLK Tdh (-Th) -0.030 interfaz_spi/controlador_spi_esclavo/CSr<1> + interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 + ------------------------------------------------- --------------------------- + Total 3.344ns (0.793ns logic, 2.551ns route) + (23.7% logic, 76.3% route) + + Maximum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.887 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.245 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.391 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.662 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.262 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.063 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X20Y7.CLK net (fanout=226) 0.817 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total 0.133ns (-1.582ns logic, 1.715ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "we_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 9 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 8.269ns. +-------------------------------------------------------------------------------- + +Paths for end point we_bar (P43.PAD), 9 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.398ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 (FF) + Destination: we_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 8.283ns (Levels of Logic = 3) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y28.CLK net (fanout=226) 1.106 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-3.088ns logic, 2.757ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 to we_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.CQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + SLICE_X2Y23.D1 net (fanout=5) 1.741 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + SLICE_X2Y23.DMUX Tilo 0.251 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>_SW0 + SLICE_X2Y23.C4 net (fanout=1) 0.374 N22 + SLICE_X2Y23.C Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2> + P43.O net (fanout=1) 2.884 we_bar_OBUF + P43.PAD Tioop 2.381 we_bar + we_bar_OBUF + we_bar + ------------------------------------------------- --------------------------- + Total 8.283ns (3.284ns logic, 4.999ns route) + (39.6% logic, 60.4% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.776ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 (FF) + Destination: we_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 7.905ns (Levels of Logic = 3) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y28.CLK net (fanout=226) 1.106 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-3.088ns logic, 2.757ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 to we_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.DQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X2Y23.D3 net (fanout=51) 1.363 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X2Y23.DMUX Tilo 0.251 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>_SW0 + SLICE_X2Y23.C4 net (fanout=1) 0.374 N22 + SLICE_X2Y23.C Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2> + P43.O net (fanout=1) 2.884 we_bar_OBUF + P43.PAD Tioop 2.381 we_bar + we_bar_OBUF + we_bar + ------------------------------------------------- --------------------------- + Total 7.905ns (3.284ns logic, 4.621ns route) + (41.5% logic, 58.5% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.868ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 (FF) + Destination: we_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 7.740ns (Levels of Logic = 3) + Clock Path Delay: -0.258ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y15.CLK net (fanout=226) 1.179 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.258ns (-3.088ns logic, 2.830ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 to we_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y15.CMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D4 net (fanout=5) 1.190 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.DMUX Tilo 0.251 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>_SW0 + SLICE_X2Y23.C4 net (fanout=1) 0.374 N22 + SLICE_X2Y23.C Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2> + P43.O net (fanout=1) 2.884 we_bar_OBUF + P43.PAD Tioop 2.381 we_bar + we_bar_OBUF + we_bar + ------------------------------------------------- --------------------------- + Total 7.740ns (3.292ns logic, 4.448ns route) + (42.5% logic, 57.5% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "we_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point we_bar (P43.PAD), 9 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.484ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 (FF) + Destination: we_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.196ns (Levels of Logic = 3) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X1Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 to we_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X1Y25.CQ Tcko 0.198 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + SLICE_X2Y23.D5 net (fanout=4) 0.352 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + SLICE_X2Y23.DMUX Tilo 0.183 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>_SW0 + SLICE_X2Y23.C4 net (fanout=1) 0.115 N22 + SLICE_X2Y23.C Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2> + P43.O net (fanout=1) 1.810 we_bar_OBUF + P43.PAD Tioop 1.396 we_bar + we_bar_OBUF + we_bar + ------------------------------------------------- --------------------------- + Total 4.196ns (1.919ns logic, 2.277ns route) + (45.7% logic, 54.3% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.438ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 (FF) + Destination: we_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.151ns (Levels of Logic = 2) + Clock Path Delay: -0.396ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X5Y20.CLK net (fanout=226) 0.494 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.396ns (-1.698ns logic, 1.302ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 to we_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X5Y20.AQ Tcko 0.198 nb_mem_cont/ram_control/addr_erase_aux_int<5> + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + SLICE_X2Y23.C5 net (fanout=7) 0.605 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + SLICE_X2Y23.C Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2> + P43.O net (fanout=1) 1.810 we_bar_OBUF + P43.PAD Tioop 1.396 we_bar + we_bar_OBUF + we_bar + ------------------------------------------------- --------------------------- + Total 4.151ns (1.736ns logic, 2.415ns route) + (41.8% logic, 58.2% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.177ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: we_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 3.889ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to we_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X2Y23.C6 net (fanout=19) 0.307 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X2Y23.C Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<2> + P43.O net (fanout=1) 1.810 we_bar_OBUF + P43.PAD Tioop 1.396 we_bar + we_bar_OBUF + we_bar + ------------------------------------------------- --------------------------- + Total 3.889ns (1.772ns logic, 2.117ns route) + (45.6% logic, 54.4% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "ub_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 5 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 8.621ns. +-------------------------------------------------------------------------------- + +Paths for end point ub_bar (P6.PAD), 5 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.046ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 (FF) + Destination: ub_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 8.635ns (Levels of Logic = 2) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y28.CLK net (fanout=226) 1.106 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-3.088ns logic, 2.757ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 to ub_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.CQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + SLICE_X2Y23.D1 net (fanout=5) 1.741 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + SLICE_X2Y23.D Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P6.O net (fanout=2) 3.861 lb_bar_OBUF + P6.PAD Tioop 2.381 ub_bar + ub_bar_OBUF + ub_bar + ------------------------------------------------- --------------------------- + Total 8.635ns (3.033ns logic, 5.602ns route) + (35.1% logic, 64.9% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.424ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 (FF) + Destination: ub_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 8.257ns (Levels of Logic = 2) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y28.CLK net (fanout=226) 1.106 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-3.088ns logic, 2.757ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 to ub_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.DQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X2Y23.D3 net (fanout=51) 1.363 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X2Y23.D Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P6.O net (fanout=2) 3.861 lb_bar_OBUF + P6.PAD Tioop 2.381 ub_bar + ub_bar_OBUF + ub_bar + ------------------------------------------------- --------------------------- + Total 8.257ns (3.033ns logic, 5.224ns route) + (36.7% logic, 63.3% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.516ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 (FF) + Destination: ub_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 8.092ns (Levels of Logic = 2) + Clock Path Delay: -0.258ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y15.CLK net (fanout=226) 1.179 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.258ns (-3.088ns logic, 2.830ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 to ub_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y15.CMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D4 net (fanout=5) 1.190 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P6.O net (fanout=2) 3.861 lb_bar_OBUF + P6.PAD Tioop 2.381 ub_bar + ub_bar_OBUF + ub_bar + ------------------------------------------------- --------------------------- + Total 8.092ns (3.041ns logic, 5.051ns route) + (37.6% logic, 62.4% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "ub_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point ub_bar (P6.PAD), 5 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 4.234ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 (FF) + Destination: ub_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.882ns (Levels of Logic = 2) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y15.CLK net (fanout=226) 0.559 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-1.698ns logic, 1.367ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 to ub_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y15.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D4 net (fanout=5) 0.701 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P6.O net (fanout=2) 2.405 lb_bar_OBUF + P6.PAD Tioop 1.396 ub_bar + ub_bar_OBUF + ub_bar + ------------------------------------------------- --------------------------- + Total 4.882ns (1.776ns logic, 3.106ns route) + (36.4% logic, 63.6% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 4.224ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 (FF) + Destination: ub_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.937ns (Levels of Logic = 2) + Clock Path Delay: -0.396ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X5Y20.CLK net (fanout=226) 0.494 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.396ns (-1.698ns logic, 1.302ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 to ub_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X5Y20.AQ Tcko 0.198 nb_mem_cont/ram_control/addr_erase_aux_int<5> + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + SLICE_X2Y23.D2 net (fanout=7) 0.796 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + SLICE_X2Y23.D Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P6.O net (fanout=2) 2.405 lb_bar_OBUF + P6.PAD Tioop 1.396 ub_bar + ub_bar_OBUF + ub_bar + ------------------------------------------------- --------------------------- + Total 4.937ns (1.736ns logic, 3.201ns route) + (35.2% logic, 64.8% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.781ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 (FF) + Destination: ub_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.493ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X1Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 to ub_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X1Y25.CQ Tcko 0.198 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + SLICE_X2Y23.D5 net (fanout=4) 0.352 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + SLICE_X2Y23.D Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P6.O net (fanout=2) 2.405 lb_bar_OBUF + P6.PAD Tioop 1.396 ub_bar + ub_bar_OBUF + ub_bar + ------------------------------------------------- --------------------------- + Total 4.493ns (1.736ns logic, 2.757ns route) + (38.6% logic, 61.4% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "lb_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 5 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 8.246ns. +-------------------------------------------------------------------------------- + +Paths for end point lb_bar (P7.PAD), 5 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.421ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 (FF) + Destination: lb_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 8.260ns (Levels of Logic = 2) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y28.CLK net (fanout=226) 1.106 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-3.088ns logic, 2.757ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 to lb_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.CQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + SLICE_X2Y23.D1 net (fanout=5) 1.741 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25 + SLICE_X2Y23.D Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P7.O net (fanout=2) 3.486 lb_bar_OBUF + P7.PAD Tioop 2.381 lb_bar + lb_bar_OBUF + lb_bar + ------------------------------------------------- --------------------------- + Total 8.260ns (3.033ns logic, 5.227ns route) + (36.7% logic, 63.3% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.799ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 (FF) + Destination: lb_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 7.882ns (Levels of Logic = 2) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X8Y28.CLK net (fanout=226) 1.106 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-3.088ns logic, 2.757ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 to lb_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X8Y28.DQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X2Y23.D3 net (fanout=51) 1.363 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42 + SLICE_X2Y23.D Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P7.O net (fanout=2) 3.486 lb_bar_OBUF + P7.PAD Tioop 2.381 lb_bar + lb_bar_OBUF + lb_bar + ------------------------------------------------- --------------------------- + Total 7.882ns (3.033ns logic, 4.849ns route) + (38.5% logic, 61.5% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.891ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 (FF) + Destination: lb_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 7.717ns (Levels of Logic = 2) + Clock Path Delay: -0.258ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y15.CLK net (fanout=226) 1.179 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.258ns (-3.088ns logic, 2.830ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 to lb_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y15.CMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D4 net (fanout=5) 1.190 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D Tilo 0.205 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P7.O net (fanout=2) 3.486 lb_bar_OBUF + P7.PAD Tioop 2.381 lb_bar + lb_bar_OBUF + lb_bar + ------------------------------------------------- --------------------------- + Total 7.717ns (3.041ns logic, 4.676ns route) + (39.4% logic, 60.6% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "lb_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point lb_bar (P7.PAD), 5 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.987ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 (FF) + Destination: lb_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.635ns (Levels of Logic = 2) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y15.CLK net (fanout=226) 0.559 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-1.698ns logic, 1.367ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 to lb_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y15.CMUX Tshcko 0.238 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D4 net (fanout=5) 0.701 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38 + SLICE_X2Y23.D Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P7.O net (fanout=2) 2.158 lb_bar_OBUF + P7.PAD Tioop 1.396 lb_bar + lb_bar_OBUF + lb_bar + ------------------------------------------------- --------------------------- + Total 4.635ns (1.776ns logic, 2.859ns route) + (38.3% logic, 61.7% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.977ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 (FF) + Destination: lb_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.690ns (Levels of Logic = 2) + Clock Path Delay: -0.396ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X5Y20.CLK net (fanout=226) 0.494 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.396ns (-1.698ns logic, 1.302ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 to lb_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X5Y20.AQ Tcko 0.198 nb_mem_cont/ram_control/addr_erase_aux_int<5> + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + SLICE_X2Y23.D2 net (fanout=7) 0.796 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29 + SLICE_X2Y23.D Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P7.O net (fanout=2) 2.158 lb_bar_OBUF + P7.PAD Tioop 1.396 lb_bar + lb_bar_OBUF + lb_bar + ------------------------------------------------- --------------------------- + Total 4.690ns (1.736ns logic, 2.954ns route) + (37.0% logic, 63.0% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.534ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 (FF) + Destination: lb_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.246ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X1Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 to lb_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X1Y25.CQ Tcko 0.198 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + SLICE_X2Y23.D5 net (fanout=4) 0.352 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4 + SLICE_X2Y23.D Tilo 0.142 lb_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1 + P7.O net (fanout=2) 2.158 lb_bar_OBUF + P7.PAD Tioop 1.396 lb_bar + lb_bar_OBUF + lb_bar + ------------------------------------------------- --------------------------- + Total 4.246ns (1.736ns logic, 2.510ns route) + (40.9% logic, 59.1% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER COMP +"clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 4 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 8.489ns. +-------------------------------------------------------------------------------- + +Paths for end point oe_ram_bar (P5.PAD), 4 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.178ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 (FF) + Destination: oe_ram_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 8.423ns (Levels of Logic = 2) + Clock Path Delay: -0.251ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X2Y14.CLK net (fanout=226) 1.186 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.251ns (-3.088ns logic, 2.837ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 to oe_ram_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X2Y14.CMUX Tshcko 0.455 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X0Y29.D2 net (fanout=8) 2.148 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2 + SLICE_X0Y29.D Tilo 0.203 oe_ram_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1 + P5.O net (fanout=1) 3.236 oe_ram_bar_OBUF + P5.PAD Tioop 2.381 oe_ram_bar + oe_ram_bar_OBUF + oe_ram_bar + ------------------------------------------------- --------------------------- + Total 8.423ns (3.039ns logic, 5.384ns route) + (36.1% logic, 63.9% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.403ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 (FF) + Destination: oe_ram_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 8.205ns (Levels of Logic = 2) + Clock Path Delay: -0.258ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X4Y14.CLK net (fanout=226) 1.179 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.258ns (-3.088ns logic, 2.830ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 to oe_ram_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X4Y14.AQ Tcko 0.447 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 + SLICE_X0Y29.D4 net (fanout=5) 1.938 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 + SLICE_X0Y29.D Tilo 0.203 oe_ram_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1 + P5.O net (fanout=1) 3.236 oe_ram_bar_OBUF + P5.PAD Tioop 2.381 oe_ram_bar + oe_ram_bar_OBUF + oe_ram_bar + ------------------------------------------------- --------------------------- + Total 8.205ns (3.031ns logic, 5.174ns route) + (36.9% logic, 63.1% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 8.917ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: oe_ram_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 16.667ns + Data Path Delay: 7.752ns (Levels of Logic = 2) + Clock Path Delay: -0.319ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 1.118 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.319ns (-3.088ns logic, 2.769ns route) + + Maximum Data Path at Slow Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to oe_ram_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.408 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X0Y29.D5 net (fanout=7) 1.524 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X0Y29.D Tilo 0.203 oe_ram_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1 + P5.O net (fanout=1) 3.236 oe_ram_bar_OBUF + P5.PAD Tioop 2.381 oe_ram_bar + oe_ram_bar_OBUF + oe_ram_bar + ------------------------------------------------- --------------------------- + Total 7.752ns (2.992ns logic, 4.760ns route) + (38.6% logic, 61.4% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point oe_ram_bar (P5.PAD), 4 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 4.313ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 (FF) + Destination: oe_ram_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.961ns (Levels of Logic = 2) + Clock Path Delay: -0.331ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X4Y14.CLK net (fanout=226) 0.559 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.331ns (-1.698ns logic, 1.367ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 to oe_ram_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X4Y14.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 + SLICE_X0Y29.D4 net (fanout=5) 1.165 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32 + SLICE_X0Y29.D Tilo 0.156 oe_ram_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1 + P5.O net (fanout=1) 2.010 oe_ram_bar_OBUF + P5.PAD Tioop 1.396 oe_ram_bar + oe_ram_bar_OBUF + oe_ram_bar + ------------------------------------------------- --------------------------- + Total 4.961ns (1.786ns logic, 3.175ns route) + (36.0% logic, 64.0% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.961ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 (FF) + Destination: oe_ram_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.670ns (Levels of Logic = 2) + Clock Path Delay: -0.392ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X6Y17.CLK net (fanout=226) 0.498 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.392ns (-1.698ns logic, 1.306ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 to oe_ram_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X6Y17.DQ Tcko 0.200 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X0Y29.D5 net (fanout=7) 0.908 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3 + SLICE_X0Y29.D Tilo 0.156 oe_ram_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1 + P5.O net (fanout=1) 2.010 oe_ram_bar_OBUF + P5.PAD Tioop 1.396 oe_ram_bar + oe_ram_bar_OBUF + oe_ram_bar + ------------------------------------------------- --------------------------- + Total 4.670ns (1.752ns logic, 2.918ns route) + (37.5% logic, 62.5% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.521ns (clock arrival + clock path + data path - uncertainty) + Source: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 (FF) + Destination: oe_ram_bar (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.233ns (Levels of Logic = 2) + Clock Path Delay: -0.395ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X0Y25.CLK net (fanout=226) 0.495 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.395ns (-1.698ns logic, 1.303ns route) + + Minimum Data Path at Fast Process Corner: nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 to oe_ram_bar + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X0Y25.AQ Tcko 0.234 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X0Y29.D3 net (fanout=19) 0.437 nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1 + SLICE_X0Y29.D Tilo 0.156 oe_ram_bar_OBUF + nb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1 + P5.O net (fanout=1) 2.010 oe_ram_bar_OBUF + P5.PAD Tioop 1.396 oe_ram_bar + oe_ram_bar_OBUF + oe_ram_bar + ------------------------------------------------- --------------------------- + Total 4.233ns (1.786ns logic, 2.447ns route) + (42.2% logic, 57.8% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "MISO" OFFSET = OUT 10 ns AFTER COMP "clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 7.518ns. +-------------------------------------------------------------------------------- + +Paths for end point MISO (P98.PAD), 1 path +-------------------------------------------------------------------------------- +Slack (slowest paths): 2.482ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: interfaz_spi/controlador_spi_esclavo/buffer_out_7 (FF) + Destination: MISO (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Requirement: 10.000ns + Data Path Delay: 7.479ns (Levels of Logic = 1) + Clock Path Delay: -0.278ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to interfaz_spi/controlador_spi_esclavo/buffer_out_7 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -4.718 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.577 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.209 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y8.CLK net (fanout=226) 1.159 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.278ns (-3.088ns logic, 2.810ns route) + + Maximum Data Path at Slow Process Corner: interfaz_spi/controlador_spi_esclavo/buffer_out_7 to MISO + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X9Y8.CQ Tcko 0.391 interfaz_spi/controlador_spi_esclavo/buffer_out<7> + interfaz_spi/controlador_spi_esclavo/buffer_out_7 + P98.O net (fanout=1) 4.707 interfaz_spi/controlador_spi_esclavo/buffer_out<7> + P98.PAD Tioop 2.381 MISO + MISO_OBUF + MISO + ------------------------------------------------- --------------------------- + Total 7.479ns (2.772ns logic, 4.707ns route) + (37.1% logic, 62.9% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "MISO" OFFSET = OUT 10 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point MISO (P98.PAD), 1 path +-------------------------------------------------------------------------------- +Delay (fastest paths): 3.912ns (clock arrival + clock path + data path - uncertainty) + Source: interfaz_spi/controlador_spi_esclavo/buffer_out_7 (FF) + Destination: MISO (PAD) + Source Clock: clk_u_proc_BUFG rising at 0.000ns + Data Path Delay: 4.580ns (Levels of Logic = 1) + Clock Path Delay: -0.351ns (Levels of Logic = 4) + Clock Uncertainty: 0.317ns + + Clock Uncertainty: 0.317ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 0.283ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to interfaz_spi/controlador_spi_esclavo/buffer_out_7 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X3Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X3Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 + SP6_BUFIO_INSERT_ML_BUFIO2_1 + DCM_X0Y0.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLK + DCM_X0Y0.CLKFX Tdmcko_CLKFX -2.642 clk_mang/DCM_SP_inst_int + clk_mang/DCM_SP_inst_int + BUFGMUX_X2Y4.I0 net (fanout=1) 0.231 clk_u_proc + BUFGMUX_X2Y4.O Tgi0o 0.059 clk_u_proc_BUFG + clk_u_proc_BUFG + SLICE_X9Y8.CLK net (fanout=226) 0.539 clk_u_proc_BUFG + ------------------------------------------------- --------------------------- + Total -0.351ns (-1.698ns logic, 1.347ns route) + + Minimum Data Path at Fast Process Corner: interfaz_spi/controlador_spi_esclavo/buffer_out_7 to MISO + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X9Y8.CQ Tcko 0.198 interfaz_spi/controlador_spi_esclavo/buffer_out<7> + interfaz_spi/controlador_spi_esclavo/buffer_out_7 + P98.O net (fanout=1) 2.986 interfaz_spi/controlador_spi_esclavo/buffer_out<7> + P98.PAD Tioop 1.396 MISO + MISO_OBUF + MISO + ------------------------------------------------- --------------------------- + Total 4.580ns (1.594ns logic, 2.986ns route) + (34.8% logic, 65.2% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "clk_to_acq" OFFSET = OUT 40 ns AFTER COMP "clk_main"; +For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). + + 2 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 35.651ns. +-------------------------------------------------------------------------------- + +Paths for end point clk_to_acq (P80.PAD), 2 paths +-------------------------------------------------------------------------------- +Slack (slowest paths): 4.349ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: clk_mang/ODDR2_inst (FF) + Destination: clk_to_acq (PAD) + Source Clock: clk_mang/c_16MHz_BUFG falling at 31.250ns + Requirement: 40.000ns + Data Path Delay: 3.336ns (Levels of Logic = 1) + Clock Path Delay: 0.165ns (Levels of Logic = 4) + Clock Uncertainty: 0.900ns + + Clock Uncertainty: 0.900ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 1.450ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to clk_mang/ODDR2_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X1Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X1Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_0 + SP6_BUFIO_INSERT_ML_BUFIO2_0 + DCM_X0Y1.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_ML_NEW_DIVCLK + DCM_X0Y1.CLKFX Tdmcko_CLKFX -4.659 clk_mang/DCM_SP_inst + clk_mang/DCM_SP_inst + BUFGMUX_X2Y2.I0 net (fanout=1) 0.577 clk_mang/c_16MHz + BUFGMUX_X2Y2.O Tgi0o 0.209 clk_mang/c_16MHz_BUFG + clk_mang/c_16MHz_BUFG + OLOGIC_X12Y22.CLK1 net (fanout=2) 1.543 clk_mang/c_16MHz_BUFG + ------------------------------------------------- --------------------------- + Total 0.165ns (-3.029ns logic, 3.194ns route) + + Maximum Data Path at Slow Process Corner: clk_mang/ODDR2_inst to clk_to_acq + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X12Y22.OQ Tockq 0.722 clk_to_acq_OBUF + clk_mang/ODDR2_inst + P80.O net (fanout=1) 0.233 clk_to_acq_OBUF + P80.PAD Tioop 2.381 clk_to_acq + clk_to_acq_OBUF + clk_to_acq + ------------------------------------------------- --------------------------- + Total 3.336ns (3.103ns logic, 0.233ns route) + (93.0% logic, 7.0% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 35.575ns (requirement - (clock arrival + clock path + data path + uncertainty)) + Source: clk_mang/ODDR2_inst (FF) + Destination: clk_to_acq (PAD) + Source Clock: clk_mang/c_16MHz_BUFG rising at 0.000ns + Requirement: 40.000ns + Data Path Delay: 3.356ns (Levels of Logic = 1) + Clock Path Delay: 0.169ns (Levels of Logic = 4) + Clock Uncertainty: 0.900ns + + Clock Uncertainty: 0.900ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 1.450ns + Phase Error (PE): 0.150ns + + Maximum Clock Path at Slow Process Corner: clk_main to clk_mang/ODDR2_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 1.310 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X1Y0.I net (fanout=2) 0.428 clk_main_io + BUFIO2_X1Y0.DIVCLK Tbufcko_DIVCLK 0.111 SP6_BUFIO_INSERT_ML_BUFIO2_0 + SP6_BUFIO_INSERT_ML_BUFIO2_0 + DCM_X0Y1.CLKIN net (fanout=1) 0.646 clk_mang/DCM_SP_inst_ML_NEW_DIVCLK + DCM_X0Y1.CLKFX Tdmcko_CLKFX -4.659 clk_mang/DCM_SP_inst + clk_mang/DCM_SP_inst + BUFGMUX_X2Y2.I0 net (fanout=1) 0.577 clk_mang/c_16MHz + BUFGMUX_X2Y2.O Tgi0o 0.209 clk_mang/c_16MHz_BUFG + clk_mang/c_16MHz_BUFG + OLOGIC_X12Y22.CLK0 net (fanout=2) 1.547 clk_mang/c_16MHz_BUFG + ------------------------------------------------- --------------------------- + Total 0.169ns (-3.029ns logic, 3.198ns route) + + Maximum Data Path at Slow Process Corner: clk_mang/ODDR2_inst to clk_to_acq + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X12Y22.OQ Tockq 0.742 clk_to_acq_OBUF + clk_mang/ODDR2_inst + P80.O net (fanout=1) 0.233 clk_to_acq_OBUF + P80.PAD Tioop 2.381 clk_to_acq + clk_to_acq_OBUF + clk_to_acq + ------------------------------------------------- --------------------------- + Total 3.356ns (3.123ns logic, 0.233ns route) + (93.1% logic, 6.9% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: COMP "clk_to_acq" OFFSET = OUT 40 ns AFTER COMP "clk_main"; +-------------------------------------------------------------------------------- + +Paths for end point clk_to_acq (P80.PAD), 2 paths +-------------------------------------------------------------------------------- +Delay (fastest paths): 32.071ns (clock arrival + clock path + data path - uncertainty) + Source: clk_mang/ODDR2_inst (FF) + Destination: clk_to_acq (PAD) + Source Clock: clk_mang/c_16MHz_BUFG falling at 31.250ns + Data Path Delay: 2.011ns (Levels of Logic = 1) + Clock Path Delay: -0.290ns (Levels of Logic = 4) + Clock Uncertainty: 0.900ns + + Clock Uncertainty: 0.900ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 1.450ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to clk_mang/ODDR2_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X1Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X1Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 + SP6_BUFIO_INSERT_ML_BUFIO2_0 + DCM_X0Y1.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_ML_NEW_DIVCLK + DCM_X0Y1.CLKFX Tdmcko_CLKFX -2.650 clk_mang/DCM_SP_inst + clk_mang/DCM_SP_inst + BUFGMUX_X2Y2.I0 net (fanout=1) 0.231 clk_mang/c_16MHz + BUFGMUX_X2Y2.O Tgi0o 0.059 clk_mang/c_16MHz_BUFG + clk_mang/c_16MHz_BUFG + OLOGIC_X12Y22.CLK1 net (fanout=2) 0.608 clk_mang/c_16MHz_BUFG + ------------------------------------------------- --------------------------- + Total -0.290ns (-1.706ns logic, 1.416ns route) + + Minimum Data Path at Fast Process Corner: clk_mang/ODDR2_inst to clk_to_acq + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X12Y22.OQ Tockq 0.425 clk_to_acq_OBUF + clk_mang/ODDR2_inst + P80.O net (fanout=1) 0.190 clk_to_acq_OBUF + P80.PAD Tioop 1.396 clk_to_acq + clk_to_acq_OBUF + clk_to_acq + ------------------------------------------------- --------------------------- + Total 2.011ns (1.821ns logic, 0.190ns route) + (90.6% logic, 9.4% route) + +-------------------------------------------------------------------------------- +Delay (fastest paths): 0.886ns (clock arrival + clock path + data path - uncertainty) + Source: clk_mang/ODDR2_inst (FF) + Destination: clk_to_acq (PAD) + Source Clock: clk_mang/c_16MHz_BUFG rising at 0.000ns + Data Path Delay: 2.005ns (Levels of Logic = 1) + Clock Path Delay: -0.219ns (Levels of Logic = 4) + Clock Uncertainty: 0.900ns + + Clock Uncertainty: 0.900ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Total Input Jitter (TIJ): 0.000ns + Discrete Jitter (DJ): 1.450ns + Phase Error (PE): 0.150ns + + Minimum Clock Path at Fast Process Corner: clk_main to clk_mang/ODDR2_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + P51.I Tiopi 0.763 clk_main + clk_main + IBUFG_inst + ProtoComp52.IMUX.24 + BUFIO2_X1Y0.I net (fanout=2) 0.235 clk_main_io + BUFIO2_X1Y0.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 + SP6_BUFIO_INSERT_ML_BUFIO2_0 + DCM_X0Y1.CLKIN net (fanout=1) 0.342 clk_mang/DCM_SP_inst_ML_NEW_DIVCLK + DCM_X0Y1.CLKFX Tdmcko_CLKFX -2.650 clk_mang/DCM_SP_inst + clk_mang/DCM_SP_inst + BUFGMUX_X2Y2.I0 net (fanout=1) 0.231 clk_mang/c_16MHz + BUFGMUX_X2Y2.O Tgi0o 0.059 clk_mang/c_16MHz_BUFG + clk_mang/c_16MHz_BUFG + OLOGIC_X12Y22.CLK0 net (fanout=2) 0.679 clk_mang/c_16MHz_BUFG + ------------------------------------------------- --------------------------- + Total -0.219ns (-1.706ns logic, 1.487ns route) + + Minimum Data Path at Fast Process Corner: clk_mang/ODDR2_inst to clk_to_acq + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X12Y22.OQ Tockq 0.419 clk_to_acq_OBUF + clk_mang/ODDR2_inst + P80.O net (fanout=1) 0.190 clk_to_acq_OBUF + P80.PAD Tioop 1.396 clk_to_acq + clk_to_acq_OBUF + clk_to_acq + ------------------------------------------------- --------------------------- + Total 2.005ns (1.815ns logic, 0.190ns route) + (90.5% logic, 9.5% route) + +-------------------------------------------------------------------------------- + + +Derived Constraint Report +Derived Constraints for TS_clk_main ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +| | Period | Actual Period | Timing Errors | Paths Analyzed | +| Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| +| | | Direct | Derivative | Direct | Derivative | Direct | Derivative | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +|TS_clk_main | 16.667ns| 8.000ns| 15.212ns| 0| 0| 0| 5931| +| TS_clk_u_proc | 4.167ns| 3.803ns| N/A| 0| 0| 5931| 0| +| TS_clk_mang_c_16MHz | 62.501ns| 1.730ns| N/A| 0| 0| 0| 0| ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ + +All constraints were met. + + +Data Sheet report: +----------------- +All values displayed in nanoseconds (ns) + +Setup/Hold to clock clk_main +-------------------+------------+------------+------------+------------+------------------+--------+ + |Max Setup to| Process |Max Hold to | Process | | Clock | +Source | clk (edge) | Corner | clk (edge) | Corner |Internal Clock(s) | Phase | +-------------------+------------+------------+------------+------------+------------------+--------+ +CS | 6.292(R)| SLOW | -2.894(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<0> | 4.440(R)| SLOW | -1.842(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<1> | 4.790(R)| SLOW | -2.068(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<2> | 4.645(R)| SLOW | -1.974(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<3> | 4.773(R)| SLOW | -2.073(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<4> | 4.834(R)| SLOW | -2.135(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<5> | 4.683(R)| SLOW | -2.004(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<6> | 5.011(R)| SLOW | -2.222(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<7> | 4.791(R)| SLOW | -2.009(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<8> | 5.916(R)| SLOW | -2.696(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<9> | 6.074(R)| SLOW | -2.753(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<10> | 5.982(R)| SLOW | -2.754(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<11> | 7.691(R)| SLOW | -3.780(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<12> | 7.196(R)| SLOW | -3.503(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<13> | 7.201(R)| SLOW | -3.481(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<14> | 7.132(R)| SLOW | -3.461(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<15> | 7.503(R)| SLOW | -3.702(R)| FAST |clk_u_proc_BUFG | 0.000| +MOSI | 7.179(R)| SLOW | -3.457(R)| FAST |clk_u_proc_BUFG | 0.000| +SCK | 5.534(R)| SLOW | -2.480(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch1<0>| 4.341(R)| SLOW | -1.731(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch1<1>| 4.578(R)| SLOW | -1.907(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch1<2>| 4.386(R)| SLOW | -1.744(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch1<3>| 4.505(R)| SLOW | -1.822(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch2<0>| 4.569(R)| SLOW | -1.984(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch2<1>| 4.579(R)| SLOW | -1.962(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch2<2>| 4.911(R)| SLOW | -2.089(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch2<3>| 4.465(R)| SLOW | -1.842(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch3<0>| 4.465(R)| SLOW | -1.818(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch3<1>| 4.807(R)| SLOW | -2.066(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch3<2>| 5.509(R)| SLOW | -2.506(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch3<3>| 5.085(R)| SLOW | -2.309(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch4<0>| 4.465(R)| SLOW | -1.843(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch4<1>| 4.480(R)| SLOW | -1.888(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch4<2>| 4.577(R)| SLOW | -1.923(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch4<3>| 4.954(R)| SLOW | -2.133(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch5<0>| 4.536(R)| SLOW | -1.894(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch5<1>| 4.238(R)| SLOW | -1.687(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch5<2>| 5.132(R)| SLOW | -2.294(R)| FAST |clk_u_proc_BUFG | 0.000| +chn_bits_acq_ch5<3>| 4.088(R)| SLOW | -1.582(R)| FAST |clk_u_proc_BUFG | 0.000| +clk_acq_ch1 | 4.828(R)| SLOW | -2.085(R)| FAST |clk_u_proc_BUFG | 0.000| +clk_acq_ch2 | 5.021(R)| SLOW | -2.226(R)| FAST |clk_u_proc_BUFG | 0.000| +clk_acq_ch3 | 4.711(R)| SLOW | -2.027(R)| FAST |clk_u_proc_BUFG | 0.000| +clk_acq_ch4 | 5.877(R)| SLOW | -2.755(R)| FAST |clk_u_proc_BUFG | 0.000| +clk_acq_ch5 | 5.861(R)| SLOW | -2.688(R)| FAST |clk_u_proc_BUFG | 0.000| +lock | 6.085(R)| SLOW | -1.602(R)| FAST |clk_u_proc_BUFG | 0.000| +pps | 4.685(R)| SLOW | -1.955(R)| FAST |clk_u_proc_BUFG | 0.000| +scl_rpi | 4.954(R)| SLOW | -2.053(R)| FAST |clk_u_proc_BUFG | 0.000| +sda_rpi | 3.976(R)| SLOW | -1.530(R)| FAST |clk_u_proc_BUFG | 0.000| +-------------------+------------+------------+------------+------------+------------------+--------+ + +Clock clk_main to Pad +--------------+-----------------+------------+-----------------+------------+---------------------+--------+ + |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock | +Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase | +--------------+-----------------+------------+-----------------+------------+---------------------+--------+ +DATA_INOUT<0> | 13.530(R)| SLOW | 3.188(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<1> | 13.456(R)| SLOW | 3.188(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<2> | 13.384(R)| SLOW | 3.210(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<3> | 13.461(R)| SLOW | 3.210(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<4> | 13.747(R)| SLOW | 3.481(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<5> | 14.057(R)| SLOW | 3.481(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<6> | 14.600(R)| SLOW | 3.545(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<7> | 13.357(R)| SLOW | 3.545(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<8> | 12.820(R)| SLOW | 2.991(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<9> | 12.627(R)| SLOW | 3.094(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<10>| 12.614(R)| SLOW | 3.094(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<11>| 12.308(R)| SLOW | 3.434(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<12>| 12.094(R)| SLOW | 3.410(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<13>| 12.781(R)| SLOW | 3.633(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<14>| 13.253(R)| SLOW | 3.324(R)| FAST |clk_u_proc_BUFG | 0.000| +DATA_INOUT<15>| 12.489(R)| SLOW | 3.744(R)| FAST |clk_u_proc_BUFG | 0.000| +MISO | 7.518(R)| SLOW | 3.912(R)| FAST |clk_u_proc_BUFG | 0.000| +clk_to_acq | 4.425(R)| SLOW | 0.886(R)| FAST |clk_mang/c_16MHz_BUFG| 0.000| + | 35.651(F)| SLOW | 32.071(F)| FAST |clk_mang/c_16MHz_BUFG| 31.250| +lb_bar | 8.246(R)| SLOW | 3.534(R)| FAST |clk_u_proc_BUFG | 0.000| +oe_ram_bar | 8.489(R)| SLOW | 3.521(R)| FAST |clk_u_proc_BUFG | 0.000| +scl_rpi | 13.744(R)| SLOW | 10.608(R)| FAST |clk_u_proc_BUFG | 0.000| +sda_rpi | 13.152(R)| SLOW | 10.248(R)| FAST |clk_u_proc_BUFG | 0.000| +ub_bar | 8.621(R)| SLOW | 3.781(R)| FAST |clk_u_proc_BUFG | 0.000| +we_bar | 8.269(R)| SLOW | 3.177(R)| FAST |clk_u_proc_BUFG | 0.000| +--------------+-----------------+------------+-----------------+------------+---------------------+--------+ + +Clock to Setup on destination clock clk_main +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +clk_main | 3.803| | | | +---------------+---------+---------+---------+---------+ + +COMP "DATA_INOUT<15>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.801; Ideal Clock Offset To Actual Clock -2.731; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<15> | 7.503(R)| SLOW | -3.702(R)| FAST | 9.164| 3.702| 2.731| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 7.503| - | -3.702| - | 9.164| 3.702| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<14>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.671; Ideal Clock Offset To Actual Clock -3.037; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<14> | 7.132(R)| SLOW | -3.461(R)| FAST | 9.535| 3.461| 3.037| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 7.132| - | -3.461| - | 9.535| 3.461| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<13>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.720; Ideal Clock Offset To Actual Clock -2.992; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<13> | 7.201(R)| SLOW | -3.481(R)| FAST | 9.466| 3.481| 2.992| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 7.201| - | -3.481| - | 9.466| 3.481| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<12>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.693; Ideal Clock Offset To Actual Clock -2.984; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<12> | 7.196(R)| SLOW | -3.503(R)| FAST | 9.471| 3.503| 2.984| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 7.196| - | -3.503| - | 9.471| 3.503| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<11>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.911; Ideal Clock Offset To Actual Clock -2.598; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<11> | 7.691(R)| SLOW | -3.780(R)| FAST | 8.976| 3.780| 2.598| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 7.691| - | -3.780| - | 8.976| 3.780| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<10>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.228; Ideal Clock Offset To Actual Clock -3.966; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<10> | 5.982(R)| SLOW | -2.754(R)| FAST | 10.685| 2.754| 3.966| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 5.982| - | -2.754| - | 10.685| 2.754| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<9>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.321; Ideal Clock Offset To Actual Clock -3.920; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<9> | 6.074(R)| SLOW | -2.753(R)| FAST | 10.593| 2.753| 3.920| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 6.074| - | -2.753| - | 10.593| 2.753| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<8>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.220; Ideal Clock Offset To Actual Clock -4.028; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<8> | 5.916(R)| SLOW | -2.696(R)| FAST | 10.751| 2.696| 4.028| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 5.916| - | -2.696| - | 10.751| 2.696| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<7>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.782; Ideal Clock Offset To Actual Clock -4.933; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<7> | 4.791(R)| SLOW | -2.009(R)| FAST | 11.876| 2.009| 4.933| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.791| - | -2.009| - | 11.876| 2.009| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<6>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.789; Ideal Clock Offset To Actual Clock -4.717; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<6> | 5.011(R)| SLOW | -2.222(R)| FAST | 11.656| 2.222| 4.717| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 5.011| - | -2.222| - | 11.656| 2.222| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<5>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.679; Ideal Clock Offset To Actual Clock -4.990; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<5> | 4.683(R)| SLOW | -2.004(R)| FAST | 11.984| 2.004| 4.990| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.683| - | -2.004| - | 11.984| 2.004| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<4>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.699; Ideal Clock Offset To Actual Clock -4.849; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<4> | 4.834(R)| SLOW | -2.135(R)| FAST | 11.833| 2.135| 4.849| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.834| - | -2.135| - | 11.833| 2.135| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.700; Ideal Clock Offset To Actual Clock -4.911; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<3> | 4.773(R)| SLOW | -2.073(R)| FAST | 11.894| 2.073| 4.911| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.773| - | -2.073| - | 11.894| 2.073| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.671; Ideal Clock Offset To Actual Clock -5.024; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<2> | 4.645(R)| SLOW | -1.974(R)| FAST | 12.022| 1.974| 5.024| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.645| - | -1.974| - | 12.022| 1.974| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.722; Ideal Clock Offset To Actual Clock -4.905; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<1> | 4.790(R)| SLOW | -2.068(R)| FAST | 11.877| 2.068| 4.905| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.790| - | -2.068| - | 11.877| 2.068| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.598; Ideal Clock Offset To Actual Clock -5.193; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +DATA_INOUT<0> | 4.440(R)| SLOW | -1.842(R)| FAST | 12.227| 1.842| 5.193| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.440| - | -1.842| - | 12.227| 1.842| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.446; Ideal Clock Offset To Actual Clock -5.581; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +sda_rpi | 3.976(R)| SLOW | -1.530(R)| FAST | 12.691| 1.530| 5.581| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 3.976| - | -1.530| - | 12.691| 1.530| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.901; Ideal Clock Offset To Actual Clock -4.830; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +scl_rpi | 4.954(R)| SLOW | -2.053(R)| FAST | 11.713| 2.053| 4.830| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.954| - | -2.053| - | 11.713| 2.053| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.683; Ideal Clock Offset To Actual Clock -5.170; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch1<3>| 4.505(R)| SLOW | -1.822(R)| FAST | 12.162| 1.822| 5.170| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.505| - | -1.822| - | 12.162| 1.822| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.642; Ideal Clock Offset To Actual Clock -5.269; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch1<2>| 4.386(R)| SLOW | -1.744(R)| FAST | 12.281| 1.744| 5.269| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.386| - | -1.744| - | 12.281| 1.744| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.671; Ideal Clock Offset To Actual Clock -5.091; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch1<1>| 4.578(R)| SLOW | -1.907(R)| FAST | 12.089| 1.907| 5.091| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.578| - | -1.907| - | 12.089| 1.907| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.610; Ideal Clock Offset To Actual Clock -5.298; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch1<0>| 4.341(R)| SLOW | -1.731(R)| FAST | 12.326| 1.731| 5.298| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.341| - | -1.731| - | 12.326| 1.731| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.623; Ideal Clock Offset To Actual Clock -396.847; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch2<3>| 4.465(R)| SLOW | -1.842(R)| FAST | 795.535| 1.842| 396.847| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.465| - | -1.842| - | 795.535| 1.842| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.822; Ideal Clock Offset To Actual Clock -396.500; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch2<2>| 4.911(R)| SLOW | -2.089(R)| FAST | 795.089| 2.089| 396.500| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.911| - | -2.089| - | 795.089| 2.089| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.617; Ideal Clock Offset To Actual Clock -396.730; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch2<1>| 4.579(R)| SLOW | -1.962(R)| FAST | 795.421| 1.962| 396.730| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.579| - | -1.962| - | 795.421| 1.962| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.585; Ideal Clock Offset To Actual Clock -396.724; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch2<0>| 4.569(R)| SLOW | -1.984(R)| FAST | 795.431| 1.984| 396.724| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.569| - | -1.984| - | 795.431| 1.984| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.776; Ideal Clock Offset To Actual Clock -4.637; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch3<3>| 5.085(R)| SLOW | -2.309(R)| FAST | 11.582| 2.309| 4.637| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 5.085| - | -2.309| - | 11.582| 2.309| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.003; Ideal Clock Offset To Actual Clock -4.326; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch3<2>| 5.509(R)| SLOW | -2.506(R)| FAST | 11.158| 2.506| 4.326| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 5.509| - | -2.506| - | 11.158| 2.506| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.741; Ideal Clock Offset To Actual Clock -4.897; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch3<1>| 4.807(R)| SLOW | -2.066(R)| FAST | 11.860| 2.066| 4.897| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.807| - | -2.066| - | 11.860| 2.066| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.647; Ideal Clock Offset To Actual Clock -5.192; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch3<0>| 4.465(R)| SLOW | -1.818(R)| FAST | 12.202| 1.818| 5.192| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.465| - | -1.818| - | 12.202| 1.818| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.821; Ideal Clock Offset To Actual Clock -4.790; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch4<3>| 4.954(R)| SLOW | -2.133(R)| FAST | 11.713| 2.133| 4.790| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.954| - | -2.133| - | 11.713| 2.133| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.654; Ideal Clock Offset To Actual Clock -5.084; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch4<2>| 4.577(R)| SLOW | -1.923(R)| FAST | 12.090| 1.923| 5.084| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.577| - | -1.923| - | 12.090| 1.923| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.592; Ideal Clock Offset To Actual Clock -5.150; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch4<1>| 4.480(R)| SLOW | -1.888(R)| FAST | 12.187| 1.888| 5.150| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.480| - | -1.888| - | 12.187| 1.888| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.622; Ideal Clock Offset To Actual Clock -5.180; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch4<0>| 4.465(R)| SLOW | -1.843(R)| FAST | 12.202| 1.843| 5.180| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.465| - | -1.843| - | 12.202| 1.843| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.506; Ideal Clock Offset To Actual Clock -5.499; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch5<3>| 4.088(R)| SLOW | -1.582(R)| FAST | 12.579| 1.582| 5.499| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.088| - | -1.582| - | 12.579| 1.582| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.838; Ideal Clock Offset To Actual Clock -4.621; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch5<2>| 5.132(R)| SLOW | -2.294(R)| FAST | 11.535| 2.294| 4.621| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 5.132| - | -2.294| - | 11.535| 2.294| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.551; Ideal Clock Offset To Actual Clock -5.371; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch5<1>| 4.238(R)| SLOW | -1.687(R)| FAST | 12.429| 1.687| 5.371| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.238| - | -1.687| - | 12.429| 1.687| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.642; Ideal Clock Offset To Actual Clock -5.119; +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +chn_bits_acq_ch5<0>| 4.536(R)| SLOW | -1.894(R)| FAST | 12.131| 1.894| 5.119| +-------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary | 4.536| - | -1.894| - | 12.131| 1.894| | +-------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 4.483; Ideal Clock Offset To Actual Clock -4.490; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +lock | 6.085(R)| SLOW | -1.602(R)| FAST | 10.582| 1.602| 4.490| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 6.085| - | -1.602| - | 10.582| 1.602| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.730; Ideal Clock Offset To Actual Clock -5.013; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +pps | 4.685(R)| SLOW | -1.955(R)| FAST | 11.982| 1.955| 5.013| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.685| - | -1.955| - | 11.982| 1.955| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.743; Ideal Clock Offset To Actual Clock -396.544; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +clk_acq_ch1 | 4.828(R)| SLOW | -2.085(R)| FAST | 795.172| 2.085| 396.544| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.828| - | -2.085| - | 795.172| 2.085| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.795; Ideal Clock Offset To Actual Clock -4.710; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +clk_acq_ch2 | 5.021(R)| SLOW | -2.226(R)| FAST | 11.646| 2.226| 4.710| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 5.021| - | -2.226| - | 11.646| 2.226| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 2.684; Ideal Clock Offset To Actual Clock -4.964; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +clk_acq_ch3 | 4.711(R)| SLOW | -2.027(R)| FAST | 11.956| 2.027| 4.964| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 4.711| - | -2.027| - | 11.956| 2.027| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.122; Ideal Clock Offset To Actual Clock -4.018; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +clk_acq_ch4 | 5.877(R)| SLOW | -2.755(R)| FAST | 10.790| 2.755| 4.018| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 5.877| - | -2.755| - | 10.790| 2.755| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.173; Ideal Clock Offset To Actual Clock -4.059; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +clk_acq_ch5 | 5.861(R)| SLOW | -2.688(R)| FAST | 10.806| 2.688| 4.059| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 5.861| - | -2.688| - | 10.806| 2.688| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.722; Ideal Clock Offset To Actual Clock -0.682; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +MOSI | 7.179(R)| SLOW | -3.457(R)| FAST | 4.821| 3.457| 0.682| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 7.179| - | -3.457| - | 4.821| 3.457| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.054; Ideal Clock Offset To Actual Clock -8.493; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +SCK | 5.534(R)| SLOW | -2.480(R)| FAST | 19.466| 2.480| 8.493| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 5.534| - | -2.480| - | 19.466| 2.480| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"; +Worst Case Data Window 3.398; Ideal Clock Offset To Actual Clock -1.407; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +CS | 6.292(R)| SLOW | -2.894(R)| FAST | 5.708| 2.894| 1.407| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 6.292| - | -2.894| - | 5.708| 2.894| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<15> | 12.489| SLOW | 3.744| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<14> | 13.253| SLOW | 3.324| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<13> | 12.781| SLOW | 3.633| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<12> | 12.094| SLOW | 3.410| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<11> | 12.308| SLOW | 3.434| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<10> | 12.614| SLOW | 3.094| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<9> | 12.627| SLOW | 3.094| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<8> | 12.820| SLOW | 2.991| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<7> | 13.357| SLOW | 3.545| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<6> | 14.600| SLOW | 3.545| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<5> | 14.057| SLOW | 3.481| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<4> | 13.747| SLOW | 3.481| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<3> | 13.461| SLOW | 3.210| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<2> | 13.384| SLOW | 3.210| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<1> | 13.456| SLOW | 3.188| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +DATA_INOUT<0> | 13.530| SLOW | 3.188| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "sda_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +sda_rpi | 13.152| SLOW | 10.248| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "scl_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +scl_rpi | 13.744| SLOW | 10.608| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "we_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +we_bar | 8.269| SLOW | 3.177| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "ub_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +ub_bar | 8.621| SLOW | 3.781| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "lb_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +lb_bar | 8.246| SLOW | 3.534| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +oe_ram_bar | 8.489| SLOW | 3.521| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "MISO" OFFSET = OUT 10 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +MISO | 7.518| SLOW | 3.912| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + +COMP "clk_to_acq" OFFSET = OUT 40 ns AFTER COMP "clk_main"; +Bus Skew: 0.000 ns; +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + |Max (slowest)| Process |Min (fastest)| Process | | +PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ +clk_to_acq | 35.651| SLOW | 32.071| FAST | 0.000| +-----------------------------------------------+-------------+------------+-------------+------------+--------------+ + + +Timing summary: +--------------- + +Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0) + +Constraints cover 10930 paths, 0 nets, and 3847 connections + +Design statistics: + Minimum period: 8.000ns{1} (Maximum frequency: 125.000MHz) + Minimum input required time before clock: 7.691ns + Minimum output required time after clock: 35.651ns + + +------------------------------------Footnotes----------------------------------- +1) The minimum period statistic assumes all single cycle delays. + +Analysis completed Mon May 23 12:38:55 2016 +-------------------------------------------------------------------------------- + +Trace Settings: +------------------------- +Trace Settings + +Peak Memory Usage: 242 MB + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.twx =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.twx b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.twx new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.twx (revision 224) @@ -0,0 +1,612 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +]> +Release 14.7 Trace (nt64)Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 3 +-n 3 -fastpaths -xml chn5_mem_spi_joint.twx chn5_mem_spi_joint.ncd -o +chn5_mem_spi_joint.twr chn5_mem_spi_joint.pcf -ucf chn5_mem_spi_joint.ucf + +chn5_mem_spi_joint.ncdchn5_mem_spi_joint.ncdchn5_mem_spi_joint.pcfchn5_mem_spi_joint.pcfxc6slx9C-3PRODUCTION 1.23 2013-10-1313INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.TS_clk_main = PERIOD TIMEGRP "clk_main" 16.667 ns HIGH 50%;00000008.000Component Switching Limit Checks: TS_clk_main = PERIOD TIMEGRP "clk_main" 16.667 ns HIGH 50%;TS_clk_u_proc = PERIOD TIMEGRP "clk_u_proc" TS_clk_main / 4 HIGH 50%;59310000224003.803Paths for end point nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13 (SLICE_X6Y41.SR), 6 paths +0.363lock_rst_barnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd133.639-0.0134.1660.177lock_rst_barnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd131SLICE_X14Y22.CLKclk_u_proc_BUFGSLICE_X14Y22.AQTcko0.408lock_rst_barlock_rst_barSLICE_X7Y20.C1net261.081lock_rst_barSLICE_X7Y20.CTilo0.259nb_mem_cont/ram_control/addr_erase_aux_int<4>nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01SLICE_X6Y41.SRnet111.484nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0SLICE_X6Y41.CLKTsrck0.407nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd131.0742.5653.639clk_u_proc_BUFG29.570.50.365pps_rstnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd133.635-0.0114.1660.177pps_rstnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd131SLICE_X12Y26.CLKclk_u_proc_BUFGSLICE_X12Y26.DQTcko0.447pps_rstpps_rstSLICE_X7Y20.C6net1191.038pps_rstSLICE_X7Y20.CTilo0.259nb_mem_cont/ram_control/addr_erase_aux_int<4>nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01SLICE_X6Y41.SRnet111.484nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0SLICE_X6Y41.CLKTsrck0.407nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd131.1132.5223.635clk_u_proc_BUFG30.669.40.419nb_mem_cont/ram_control/count_slw_3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd133.4740.0964.1660.177nb_mem_cont/ram_control/count_slw_3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd131SLICE_X7Y15.CLKclk_u_proc_BUFGSLICE_X7Y15.CMUXTshcko0.461nb_mem_cont/ram_control/count_slw<1>nb_mem_cont/ram_control/count_slw_3SLICE_X7Y20.C2net170.863nb_mem_cont/ram_control/count_slw<3>SLICE_X7Y20.CTilo0.259nb_mem_cont/ram_control/addr_erase_aux_int<4>nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01SLICE_X6Y41.SRnet111.484nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0SLICE_X6Y41.CLKTsrck0.407nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd131.1272.3473.474clk_u_proc_BUFG32.467.6Paths for end point nb_mem_cont/ram_control/rel_pos_ch4_int_1 (SLICE_X7Y46.C2), 31 paths +0.366nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/rel_pos_ch4_int_13.6100.0134.1660.177nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/rel_pos_ch4_int_13SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.DQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X7Y46.D5net511.605nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X7Y46.DTilo0.259nb_mem_cont/ram_control/rel_pos_ch4_int<1>nb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW0SLICE_X7Y46.A3net10.291N159SLICE_X7Y46.ATilo0.259nb_mem_cont/ram_control/rel_pos_ch4_int<1>nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpotSLICE_X7Y46.C2net10.427nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpotSLICE_X7Y46.CLKTas0.322nb_mem_cont/ram_control/rel_pos_ch4_int<1>nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot1nb_mem_cont/ram_control/rel_pos_ch4_int_11.2872.3233.610clk_u_proc_BUFG35.764.30.444nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/rel_pos_ch4_int_13.5320.0134.1660.177nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/rel_pos_ch4_int_13SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.DQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X8Y42.D6net511.108nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X8Y42.CMUXTopdc0.368N161nb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW2_Fnb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW2SLICE_X7Y46.A6net10.601N161SLICE_X7Y46.ATilo0.259nb_mem_cont/ram_control/rel_pos_ch4_int<1>nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpotSLICE_X7Y46.C2net10.427nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpotSLICE_X7Y46.CLKTas0.322nb_mem_cont/ram_control/rel_pos_ch4_int<1>nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot1nb_mem_cont/ram_control/rel_pos_ch4_int_11.3962.1363.532clk_u_proc_BUFG39.560.50.451nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/rel_pos_ch4_int_13.5250.0134.1660.177nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/rel_pos_ch4_int_13SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.DQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X8Y42.C6net511.108nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X8Y42.CMUXTilo0.361N161nb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW2_Gnb_mem_cont/ram_control/ram_contr_cur_state__n0381_inv2_SW2SLICE_X7Y46.A6net10.601N161SLICE_X7Y46.ATilo0.259nb_mem_cont/ram_control/rel_pos_ch4_int<1>nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpotSLICE_X7Y46.C2net10.427nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpotSLICE_X7Y46.CLKTas0.322nb_mem_cont/ram_control/rel_pos_ch4_int<1>nb_mem_cont/ram_control/rel_pos_ch4_int_1_rstpot1nb_mem_cont/ram_control/rel_pos_ch4_int_11.3892.1363.525clk_u_proc_BUFG39.460.6Paths for end point nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10 (SLICE_X6Y38.SR), 6 paths +0.386lock_rst_barnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd103.616-0.0134.1660.177lock_rst_barnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd101SLICE_X14Y22.CLKclk_u_proc_BUFGSLICE_X14Y22.AQTcko0.408lock_rst_barlock_rst_barSLICE_X7Y20.C1net261.081lock_rst_barSLICE_X7Y20.CTilo0.259nb_mem_cont/ram_control/addr_erase_aux_int<4>nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01SLICE_X6Y38.SRnet111.461nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0SLICE_X6Y38.CLKTsrck0.407nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd101.0742.5423.616clk_u_proc_BUFG29.770.30.388pps_rstnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd103.612-0.0114.1660.177pps_rstnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd101SLICE_X12Y26.CLKclk_u_proc_BUFGSLICE_X12Y26.DQTcko0.447pps_rstpps_rstSLICE_X7Y20.C6net1191.038pps_rstSLICE_X7Y20.CTilo0.259nb_mem_cont/ram_control/addr_erase_aux_int<4>nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01SLICE_X6Y38.SRnet111.461nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0SLICE_X6Y38.CLKTsrck0.407nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd101.1132.4993.612clk_u_proc_BUFG30.869.20.442nb_mem_cont/ram_control/count_slw_3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd103.4510.0964.1660.177nb_mem_cont/ram_control/count_slw_3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd101SLICE_X7Y15.CLKclk_u_proc_BUFGSLICE_X7Y15.CMUXTshcko0.461nb_mem_cont/ram_control/count_slw<1>nb_mem_cont/ram_control/count_slw_3SLICE_X7Y20.C2net170.863nb_mem_cont/ram_control/count_slw<3>SLICE_X7Y20.CTilo0.259nb_mem_cont/ram_control/addr_erase_aux_int<4>nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_01SLICE_X6Y38.SRnet111.461nb_mem_cont/ram_control/PWR_14_o_count_slw[3]_equal_153_o_0SLICE_X6Y38.CLKTsrck0.407nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd10nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd101.1272.3243.451clk_u_proc_BUFG32.767.3Hold Paths: TS_clk_u_proc = PERIOD TIMEGRP "clk_u_proc" TS_clk_main / 4 HIGH 50%; +Paths for end point interfaz_spi/controlador_spi_esclavo/buffer_in_3 (SLICE_X14Y5.DX), 1 path +0.385interfaz_spi/controlador_spi_esclavo/buffer_in_2interfaz_spi/controlador_spi_esclavo/buffer_in_30.3850.0000.0000.000interfaz_spi/controlador_spi_esclavo/buffer_in_2interfaz_spi/controlador_spi_esclavo/buffer_in_30SLICE_X14Y5.CLKclk_u_proc_BUFGSLICE_X14Y5.CQTcko0.200interfaz_spi/controlador_spi_esclavo/buffer_in<3>interfaz_spi/controlador_spi_esclavo/buffer_in_2SLICE_X14Y5.DXnet20.137interfaz_spi/controlador_spi_esclavo/buffer_in<2>SLICE_X14Y5.CLKTckdi0.048interfaz_spi/controlador_spi_esclavo/buffer_in<3>interfaz_spi/controlador_spi_esclavo/buffer_in_30.2480.1370.385clk_u_proc_BUFG64.435.6Paths for end point nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_8 (SLICE_X14Y38.AX), 1 path +0.388nb_mem_cont/nibble_pack_ch3/resul_mem_internal_8nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_80.390-0.0020.0000.000nb_mem_cont/nibble_pack_ch3/resul_mem_internal_8nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_80SLICE_X15Y38.CLKclk_u_proc_BUFGSLICE_X15Y38.AQTcko0.198nb_mem_cont/nibble_pack_ch3/resul_mem_internal<11>nb_mem_cont/nibble_pack_ch3/resul_mem_internal_8SLICE_X14Y38.AXnet20.144nb_mem_cont/nibble_pack_ch3/resul_mem_internal<8>SLICE_X14Y38.CLKTckdi0.048nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_80.2460.1440.390clk_u_proc_BUFG63.136.9Paths for end point interfaz_spi/cabecera_memoria/ptr_mem_2 (SLICE_X6Y4.B5), 1 path +0.400interfaz_spi/cabecera_memoria/ptr_mem_1interfaz_spi/cabecera_memoria/ptr_mem_20.4000.0000.0000.000interfaz_spi/cabecera_memoria/ptr_mem_1interfaz_spi/cabecera_memoria/ptr_mem_21SLICE_X6Y4.CLKclk_u_proc_BUFGSLICE_X6Y4.BQTcko0.200interfaz_spi/cabecera_memoria/ptr_mem<1>interfaz_spi/cabecera_memoria/ptr_mem_1SLICE_X6Y4.B5net140.079interfaz_spi/cabecera_memoria/ptr_mem<1>SLICE_X6Y4.CLKTah0.121interfaz_spi/cabecera_memoria/ptr_mem<1>Result<2>11interfaz_spi/cabecera_memoria/ptr_mem_20.3210.0790.400clk_u_proc_BUFG80.319.8Component Switching Limit Checks: TS_clk_u_proc = PERIOD TIMEGRP "clk_u_proc" TS_clk_main / 4 HIGH 50%;TS_clk_mang_c_16MHz = PERIOD TIMEGRP "clk_mang_c_16MHz" TS_clk_main / 0.266666667 HIGH 50%;00000001.730Component Switching Limit Checks: TS_clk_mang_c_16MHz = PERIOD TIMEGRP "clk_mang_c_16MHz" TS_clk_main / + 0.266666667 HIGH 50%;COMP "DATA_INOUT<15>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000107.503Paths for end point interfaz_spi/controlador_spi_principal/word_int_15 (SLICE_X8Y9.C2), 1 path +9.164DATA_INOUT<15>interfaz_spi/controlador_spi_principal/word_int_15-0.411clk_maininterfaz_spi/controlador_spi_principal/word_int<15>16.667DATA_INOUT<15>clk_main0.317DATA_INOUT<15>interfaz_spi/controlador_spi_principal/word_int_152P8.PADP8.ITiopi1.310DATA_INOUT<15>DATA_INOUT<15>DATA_INOUT_15_IOBUF/IBUFProtoComp55.IMUX.17SLICE_X8Y9.C2net15.176N42SLICE_X8Y9.CLKTas0.289interfaz_spi/controlador_spi_principal/word_int<15>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi71interfaz_spi/controlador_spi_principal/word_int_151.5995.1766.775clk_u_proc_BUFG23.676.4clk_maininterfaz_spi/controlador_spi_principal/word_int_154P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y9.CLKnet2260.702clk_u_proc_BUFG-2.4562.045-0.411Hold Paths: COMP "DATA_INOUT<15>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_15 (SLICE_X8Y9.C2), 1 path +3.702DATA_INOUT<15>interfaz_spi/controlador_spi_principal/word_int_150.158clk_maininterfaz_spi/controlador_spi_principal/word_int<15>0.000DATA_INOUT<15>clk_main0.317DATA_INOUT<15>interfaz_spi/controlador_spi_principal/word_int_152P8.PADP8.ITiopi0.763DATA_INOUT<15>DATA_INOUT<15>DATA_INOUT_15_IOBUF/IBUFProtoComp55.IMUX.17SLICE_X8Y9.C2net13.217N42SLICE_X8Y9.CLKTah0.197interfaz_spi/controlador_spi_principal/word_int<15>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi71interfaz_spi/controlador_spi_principal/word_int_150.9603.2174.177clk_u_proc_BUFG23.077.0clk_maininterfaz_spi/controlador_spi_principal/word_int_154P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y9.CLKnet2260.842clk_u_proc_BUFG-1.5821.7400.158COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";18400001012.489Paths for end point DATA_INOUT<15> (P8.PAD), 184 paths +4.178nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<15>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd312.491nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<15>16.667clk_mainDATA_INOUT<15>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<15>4SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X16Y39.B2net93.753nb_mem_cont/oe_ch_vect<0>SLICE_X16Y39.BMUXTilo0.261nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT93SLICE_X17Y39.A1net30.641nb_mem_cont/mux_data/Mmux_DATA_OUT152SLICE_X17Y39.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT151nb_mem_cont/mux_data/Mmux_DATA_OUT157P8.Onet13.345nb_mem_cont/data_mux<15>P8.PADTioop2.381DATA_INOUT<15>DATA_INOUT_15_IOBUF/OBUFTDATA_INOUT<15>3.6158.87612.49128.971.14.220nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<15>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3012.460nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<15>16.667clk_mainDATA_INOUT<15>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<15>4SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X16Y39.B2net93.753nb_mem_cont/oe_ch_vect<0>SLICE_X16Y39.BMUXTilo0.261nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT93SLICE_X17Y39.A1net30.641nb_mem_cont/mux_data/Mmux_DATA_OUT152SLICE_X17Y39.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT151nb_mem_cont/mux_data/Mmux_DATA_OUT157P8.Onet13.345nb_mem_cont/data_mux<15>P8.PADTioop2.381DATA_INOUT<15>DATA_INOUT_15_IOBUF/OBUFTDATA_INOUT<15>3.5518.90912.46028.571.54.345nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<15>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd312.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<15>16.667clk_mainDATA_INOUT<15>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<15>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X17Y28.D5net92.321nb_mem_cont/oe_ch_vect<0>SLICE_X17Y28.DMUXTilo0.313nb_mem_cont/nibble_pack_ch5/_n0127_invnb_mem_cont/mux_data/_n0028<4>11SLICE_X17Y39.C3net61.158nb_mem_cont/mux_data/_n0028<4>1SLICE_X17Y39.CTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT151nb_mem_cont/mux_data/Mmux_DATA_OUT155SLICE_X17Y39.A2net10.437nb_mem_cont/mux_data/Mmux_DATA_OUT154SLICE_X17Y39.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT151nb_mem_cont/mux_data/Mmux_DATA_OUT157P8.Onet13.345nb_mem_cont/data_mux<15>P8.PADTioop2.381DATA_INOUT<15>DATA_INOUT_15_IOBUF/OBUFTDATA_INOUT<15>3.9268.39812.32431.968.1Fastest Paths: COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<15> (P8.PAD), 184 paths +3.886nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_15DATA_INOUT<15>-0.441clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>4.644nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>DATA_INOUT<15>16.667clk_mainDATA_INOUT<15>0.317clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_154P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y39.CLKnet2260.449clk_u_proc_BUFG-1.6981.257-0.441nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_15DATA_INOUT<15>3SLICE_X16Y39.CLKclk_u_proc_BUFGSLICE_X16Y39.DQTcko0.234nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_15SLICE_X17Y39.D1net10.385nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>SLICE_X17Y39.DTilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT151nb_mem_cont/mux_data/Mmux_DATA_OUT152SLICE_X17Y39.A3net10.148nb_mem_cont/mux_data/Mmux_DATA_OUT151SLICE_X17Y39.ATilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT151nb_mem_cont/mux_data/Mmux_DATA_OUT157P8.Onet12.169nb_mem_cont/data_mux<15>P8.PADTioop1.396DATA_INOUT<15>DATA_INOUT_15_IOBUF/OBUFTDATA_INOUT<15>1.9422.7024.64441.858.23.850nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<15>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd274.491nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<15>16.667clk_mainDATA_INOUT<15>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<15>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P8.Tnet162.197nb_mem_cont/io_sel/OEbar_invP8.PADTiotp1.396DATA_INOUT<15>DATA_INOUT_15_IOBUF/OBUFTDATA_INOUT<15>1.7902.7014.49139.960.13.744nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_15DATA_INOUT<15>-0.442clk_mainnb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>4.503nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>DATA_INOUT<15>16.667clk_mainDATA_INOUT<15>0.317clk_mainnb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_154P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y40.CLKnet2260.448clk_u_proc_BUFG-1.6981.256-0.442nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_15DATA_INOUT<15>3SLICE_X14Y40.CLKclk_u_proc_BUFGSLICE_X14Y40.DQTcko0.200nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_15SLICE_X17Y39.C6net10.171nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>SLICE_X17Y39.CTilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT151nb_mem_cont/mux_data/Mmux_DATA_OUT155SLICE_X17Y39.A2net10.255nb_mem_cont/mux_data/Mmux_DATA_OUT154SLICE_X17Y39.ATilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT151nb_mem_cont/mux_data/Mmux_DATA_OUT157P8.Onet12.169nb_mem_cont/data_mux<15>P8.PADTioop1.396DATA_INOUT<15>DATA_INOUT_15_IOBUF/OBUFTDATA_INOUT<15>1.9082.5954.50342.457.6COMP "DATA_INOUT<14>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000107.132Paths for end point interfaz_spi/controlador_spi_principal/word_int_14 (SLICE_X8Y8.D6), 1 path +9.535DATA_INOUT<14>interfaz_spi/controlador_spi_principal/word_int_14-0.413clk_maininterfaz_spi/controlador_spi_principal/word_int<14>16.667DATA_INOUT<14>clk_main0.317DATA_INOUT<14>interfaz_spi/controlador_spi_principal/word_int_142P9.PADP9.ITiopi1.310DATA_INOUT<14>DATA_INOUT<14>DATA_INOUT_14_IOBUF/IBUFProtoComp55.IMUX.16SLICE_X8Y8.D6net14.803N43SLICE_X8Y8.CLKTas0.289interfaz_spi/controlador_spi_principal/word_int<14>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi61interfaz_spi/controlador_spi_principal/word_int_141.5994.8036.402clk_u_proc_BUFG25.075.0clk_maininterfaz_spi/controlador_spi_principal/word_int_144P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y8.CLKnet2260.700clk_u_proc_BUFG-2.4562.043-0.413Hold Paths: COMP "DATA_INOUT<14>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_14 (SLICE_X8Y8.D6), 1 path +3.461DATA_INOUT<14>interfaz_spi/controlador_spi_principal/word_int_140.156clk_maininterfaz_spi/controlador_spi_principal/word_int<14>0.000DATA_INOUT<14>clk_main0.317DATA_INOUT<14>interfaz_spi/controlador_spi_principal/word_int_142P9.PADP9.ITiopi0.763DATA_INOUT<14>DATA_INOUT<14>DATA_INOUT_14_IOBUF/IBUFProtoComp55.IMUX.16SLICE_X8Y8.D6net12.974N43SLICE_X8Y8.CLKTah0.197interfaz_spi/controlador_spi_principal/word_int<14>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi61interfaz_spi/controlador_spi_principal/word_int_140.9602.9743.934clk_u_proc_BUFG24.475.6clk_maininterfaz_spi/controlador_spi_principal/word_int_144P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y8.CLKnet2260.840clk_u_proc_BUFG-1.5821.7380.156COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";34900001013.253Paths for end point DATA_INOUT<14> (P9.PAD), 349 paths +3.414nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<14>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd313.255nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<14>16.667clk_mainDATA_INOUT<14>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<14>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X15Y40.A2net242.317nb_mem_cont/mux_data/_n0040SLICE_X15Y40.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT131SLICE_X15Y39.A2net20.665nb_mem_cont/mux_data/Mmux_DATA_OUT11SLICE_X15Y39.ATilo0.259nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>nb_mem_cont/mux_data/Mmux_DATA_OUT135P9.Onet13.122nb_mem_cont/data_mux<14>P9.PADTioop2.381DATA_INOUT<14>DATA_INOUT_14_IOBUF/OBUFTDATA_INOUT<14>3.8649.39113.25529.270.83.456nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<14>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3013.224nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<14>16.667clk_mainDATA_INOUT<14>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<14>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X15Y40.A2net242.317nb_mem_cont/mux_data/_n0040SLICE_X15Y40.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT131SLICE_X15Y39.A2net20.665nb_mem_cont/mux_data/Mmux_DATA_OUT11SLICE_X15Y39.ATilo0.259nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>nb_mem_cont/mux_data/Mmux_DATA_OUT135P9.Onet13.122nb_mem_cont/data_mux<14>P9.PADTioop2.381DATA_INOUT<14>DATA_INOUT_14_IOBUF/OBUFTDATA_INOUT<14>3.8009.42413.22428.771.33.462nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<14>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1613.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<14>16.667clk_mainDATA_INOUT<14>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<14>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X15Y40.A2net242.317nb_mem_cont/mux_data/_n0040SLICE_X15Y40.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT131SLICE_X15Y39.A2net20.665nb_mem_cont/mux_data/Mmux_DATA_OUT11SLICE_X15Y39.ATilo0.259nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>nb_mem_cont/mux_data/Mmux_DATA_OUT135P9.Onet13.122nb_mem_cont/data_mux<14>P9.PADTioop2.381DATA_INOUT<14>DATA_INOUT_14_IOBUF/OBUFTDATA_INOUT<14>3.8179.38313.20028.971.1Fastest Paths: COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<14> (P9.PAD), 349 paths +3.695nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_14DATA_INOUT<14>-0.442clk_mainnb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>4.454nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>DATA_INOUT<14>16.667clk_mainDATA_INOUT<14>0.317clk_mainnb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_144P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y40.CLKnet2260.448clk_u_proc_BUFG-1.6981.256-0.442nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_14DATA_INOUT<14>3SLICE_X14Y40.CLKclk_u_proc_BUFGSLICE_X14Y40.CQTcko0.200nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_14SLICE_X16Y40.A2net10.347nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<14>SLICE_X16Y40.ATilo0.156nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT132SLICE_X15Y39.A6net10.164nb_mem_cont/mux_data/Mmux_DATA_OUT131SLICE_X15Y39.ATilo0.156nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>nb_mem_cont/mux_data/Mmux_DATA_OUT135P9.Onet12.035nb_mem_cont/data_mux<14>P9.PADTioop1.396DATA_INOUT<14>DATA_INOUT_14_IOBUF/OBUFTDATA_INOUT<14>1.9082.5464.45442.857.23.489nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_14DATA_INOUT<14>-0.441clk_mainnb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15>4.247nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15>DATA_INOUT<14>16.667clk_mainDATA_INOUT<14>0.317clk_mainnb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_144P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y40.CLKnet2260.449clk_u_proc_BUFG-1.6981.257-0.441nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_14DATA_INOUT<14>3SLICE_X16Y40.CLKclk_u_proc_BUFGSLICE_X16Y40.CQTcko0.234nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_14SLICE_X16Y40.A4net10.106nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<14>SLICE_X16Y40.ATilo0.156nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT132SLICE_X15Y39.A6net10.164nb_mem_cont/mux_data/Mmux_DATA_OUT131SLICE_X15Y39.ATilo0.156nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>nb_mem_cont/mux_data/Mmux_DATA_OUT135P9.Onet12.035nb_mem_cont/data_mux<14>P9.PADTioop1.396DATA_INOUT<14>DATA_INOUT_14_IOBUF/OBUFTDATA_INOUT<14>1.9422.3054.24745.754.33.324nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_14DATA_INOUT<14>-0.441clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>4.082nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>DATA_INOUT<14>16.667clk_mainDATA_INOUT<14>0.317clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_144P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y39.CLKnet2260.449clk_u_proc_BUFG-1.6981.257-0.441nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_14DATA_INOUT<14>2SLICE_X16Y39.CLKclk_u_proc_BUFGSLICE_X16Y39.CQTcko0.234nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_14SLICE_X15Y39.A3net10.261nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<14>SLICE_X15Y39.ATilo0.156nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>nb_mem_cont/mux_data/Mmux_DATA_OUT135P9.Onet12.035nb_mem_cont/data_mux<14>P9.PADTioop1.396DATA_INOUT<14>DATA_INOUT_14_IOBUF/OBUFTDATA_INOUT<14>1.7862.2964.08243.856.2COMP "DATA_INOUT<13>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000107.201Paths for end point interfaz_spi/controlador_spi_principal/word_int_13 (SLICE_X8Y8.C6), 1 path +9.466DATA_INOUT<13>interfaz_spi/controlador_spi_principal/word_int_13-0.413clk_maininterfaz_spi/controlador_spi_principal/word_int<14>16.667DATA_INOUT<13>clk_main0.317DATA_INOUT<13>interfaz_spi/controlador_spi_principal/word_int_132P10.PADP10.ITiopi1.310DATA_INOUT<13>DATA_INOUT<13>DATA_INOUT_13_IOBUF/IBUFProtoComp55.IMUX.15SLICE_X8Y8.C6net14.872N44SLICE_X8Y8.CLKTas0.289interfaz_spi/controlador_spi_principal/word_int<14>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi51interfaz_spi/controlador_spi_principal/word_int_131.5994.8726.471clk_u_proc_BUFG24.775.3clk_maininterfaz_spi/controlador_spi_principal/word_int_134P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y8.CLKnet2260.700clk_u_proc_BUFG-2.4562.043-0.413Hold Paths: COMP "DATA_INOUT<13>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_13 (SLICE_X8Y8.C6), 1 path +3.481DATA_INOUT<13>interfaz_spi/controlador_spi_principal/word_int_130.156clk_maininterfaz_spi/controlador_spi_principal/word_int<14>0.000DATA_INOUT<13>clk_main0.317DATA_INOUT<13>interfaz_spi/controlador_spi_principal/word_int_132P10.PADP10.ITiopi0.763DATA_INOUT<13>DATA_INOUT<13>DATA_INOUT_13_IOBUF/IBUFProtoComp55.IMUX.15SLICE_X8Y8.C6net12.994N44SLICE_X8Y8.CLKTah0.197interfaz_spi/controlador_spi_principal/word_int<14>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi51interfaz_spi/controlador_spi_principal/word_int_130.9602.9943.954clk_u_proc_BUFG24.375.7clk_maininterfaz_spi/controlador_spi_principal/word_int_134P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y8.CLKnet2260.840clk_u_proc_BUFG-1.5821.7380.156COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";34900001012.781Paths for end point DATA_INOUT<13> (P10.PAD), 349 paths +3.886nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<13>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd312.783nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<13>16.667clk_mainDATA_INOUT<13>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<13>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X15Y40.D5net242.089nb_mem_cont/mux_data/_n0040SLICE_X15Y40.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT112SLICE_X15Y40.B2net10.438nb_mem_cont/mux_data/Mmux_DATA_OUT111SLICE_X15Y40.BTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT115P10.Onet13.105nb_mem_cont/data_mux<13>P10.PADTioop2.381DATA_INOUT<13>DATA_INOUT_13_IOBUF/OBUFTDATA_INOUT<13>3.8648.91912.78330.269.83.928nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<13>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3012.752nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<13>16.667clk_mainDATA_INOUT<13>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<13>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X15Y40.D5net242.089nb_mem_cont/mux_data/_n0040SLICE_X15Y40.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT112SLICE_X15Y40.B2net10.438nb_mem_cont/mux_data/Mmux_DATA_OUT111SLICE_X15Y40.BTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT115P10.Onet13.105nb_mem_cont/data_mux<13>P10.PADTioop2.381DATA_INOUT<13>DATA_INOUT_13_IOBUF/OBUFTDATA_INOUT<13>3.8008.95212.75229.870.23.934nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<13>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1612.728nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<13>16.667clk_mainDATA_INOUT<13>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<13>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X15Y40.D5net242.089nb_mem_cont/mux_data/_n0040SLICE_X15Y40.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT112SLICE_X15Y40.B2net10.438nb_mem_cont/mux_data/Mmux_DATA_OUT111SLICE_X15Y40.BTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT115P10.Onet13.105nb_mem_cont/data_mux<13>P10.PADTioop2.381DATA_INOUT<13>DATA_INOUT_13_IOBUF/OBUFTDATA_INOUT<13>3.8178.91112.72830.070.0Fastest Paths: COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<13> (P10.PAD), 349 paths +3.738nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_13DATA_INOUT<13>-0.441clk_mainnb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15>4.496nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15>DATA_INOUT<13>16.667clk_mainDATA_INOUT<13>0.317clk_mainnb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_134P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y40.CLKnet2260.449clk_u_proc_BUFG-1.6981.257-0.441nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_13DATA_INOUT<13>3SLICE_X16Y40.CLKclk_u_proc_BUFGSLICE_X16Y40.BQTcko0.234nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux_13SLICE_X15Y40.D3net10.278nb_mem_cont/nibble_pack_ch2/resul_mem_internal_aux<13>SLICE_X15Y40.DTilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT112SLICE_X15Y40.B2net10.253nb_mem_cont/mux_data/Mmux_DATA_OUT111SLICE_X15Y40.BTilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT115P10.Onet12.023nb_mem_cont/data_mux<13>P10.PADTioop1.396DATA_INOUT<13>DATA_INOUT_13_IOBUF/OBUFTDATA_INOUT<13>1.9422.5544.49643.256.83.677nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_13DATA_INOUT<13>-0.442clk_mainnb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>4.436nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>DATA_INOUT<13>16.667clk_mainDATA_INOUT<13>0.317clk_mainnb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_134P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y40.CLKnet2260.448clk_u_proc_BUFG-1.6981.256-0.442nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_13DATA_INOUT<13>3SLICE_X14Y40.CLKclk_u_proc_BUFGSLICE_X14Y40.BQTcko0.200nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_13SLICE_X15Y40.D2net10.252nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<13>SLICE_X15Y40.DTilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT112SLICE_X15Y40.B2net10.253nb_mem_cont/mux_data/Mmux_DATA_OUT111SLICE_X15Y40.BTilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT115P10.Onet12.023nb_mem_cont/data_mux<13>P10.PADTioop1.396DATA_INOUT<13>DATA_INOUT_13_IOBUF/OBUFTDATA_INOUT<13>1.9082.5284.43643.057.03.633nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_13DATA_INOUT<13>-0.441clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>4.391nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>DATA_INOUT<13>16.667clk_mainDATA_INOUT<13>0.317clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_134P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y39.CLKnet2260.449clk_u_proc_BUFG-1.6981.257-0.441nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_13DATA_INOUT<13>2SLICE_X16Y39.CLKclk_u_proc_BUFGSLICE_X16Y39.BQTcko0.234nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_13SLICE_X15Y40.B1net10.582nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<13>SLICE_X15Y40.BTilo0.156nb_mem_cont/mux_data/Mmux_DATA_OUT111nb_mem_cont/mux_data/Mmux_DATA_OUT115P10.Onet12.023nb_mem_cont/data_mux<13>P10.PADTioop1.396DATA_INOUT<13>DATA_INOUT_13_IOBUF/OBUFTDATA_INOUT<13>1.7862.6054.39140.759.3COMP "DATA_INOUT<12>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000107.196Paths for end point interfaz_spi/controlador_spi_principal/word_int_12 (SLICE_X8Y8.B5), 1 path +9.471DATA_INOUT<12>interfaz_spi/controlador_spi_principal/word_int_12-0.413clk_maininterfaz_spi/controlador_spi_principal/word_int<14>16.667DATA_INOUT<12>clk_main0.317DATA_INOUT<12>interfaz_spi/controlador_spi_principal/word_int_122P11.PADP11.ITiopi1.310DATA_INOUT<12>DATA_INOUT<12>DATA_INOUT_12_IOBUF/IBUFProtoComp55.IMUX.14SLICE_X8Y8.B5net14.867N45SLICE_X8Y8.CLKTas0.289interfaz_spi/controlador_spi_principal/word_int<14>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi41interfaz_spi/controlador_spi_principal/word_int_121.5994.8676.466clk_u_proc_BUFG24.775.3clk_maininterfaz_spi/controlador_spi_principal/word_int_124P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y8.CLKnet2260.700clk_u_proc_BUFG-2.4562.043-0.413Hold Paths: COMP "DATA_INOUT<12>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_12 (SLICE_X8Y8.B5), 1 path +3.503DATA_INOUT<12>interfaz_spi/controlador_spi_principal/word_int_120.156clk_maininterfaz_spi/controlador_spi_principal/word_int<14>0.000DATA_INOUT<12>clk_main0.317DATA_INOUT<12>interfaz_spi/controlador_spi_principal/word_int_122P11.PADP11.ITiopi0.763DATA_INOUT<12>DATA_INOUT<12>DATA_INOUT_12_IOBUF/IBUFProtoComp55.IMUX.14SLICE_X8Y8.B5net13.016N45SLICE_X8Y8.CLKTah0.197interfaz_spi/controlador_spi_principal/word_int<14>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi41interfaz_spi/controlador_spi_principal/word_int_120.9603.0163.976clk_u_proc_BUFG24.175.9clk_maininterfaz_spi/controlador_spi_principal/word_int_124P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y8.CLKnet2260.840clk_u_proc_BUFG-1.5821.7380.156COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";18400001012.094Paths for end point DATA_INOUT<12> (P11.PAD), 184 paths +4.573nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<12>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd312.096nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<12>16.667clk_mainDATA_INOUT<12>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<12>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X17Y28.D5net92.321nb_mem_cont/oe_ch_vect<0>SLICE_X17Y28.DMUXTilo0.313nb_mem_cont/nibble_pack_ch5/_n0127_invnb_mem_cont/mux_data/_n0028<4>11SLICE_X17Y28.C4net60.308nb_mem_cont/mux_data/_n0028<4>1SLICE_X17Y28.CTilo0.259nb_mem_cont/nibble_pack_ch5/_n0127_invnb_mem_cont/mux_data/Mmux_DATA_OUT95SLICE_X16Y39.C3net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT94SLICE_X16Y39.CTilo0.204nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT97P11.Onet13.019nb_mem_cont/data_mux<12>P11.PADTioop2.381DATA_INOUT<12>DATA_INOUT_12_IOBUF/OBUFTDATA_INOUT<12>3.8718.22512.09632.068.04.615nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<12>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3012.065nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<12>16.667clk_mainDATA_INOUT<12>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<12>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X17Y28.D5net92.321nb_mem_cont/oe_ch_vect<0>SLICE_X17Y28.DMUXTilo0.313nb_mem_cont/nibble_pack_ch5/_n0127_invnb_mem_cont/mux_data/_n0028<4>11SLICE_X17Y28.C4net60.308nb_mem_cont/mux_data/_n0028<4>1SLICE_X17Y28.CTilo0.259nb_mem_cont/nibble_pack_ch5/_n0127_invnb_mem_cont/mux_data/Mmux_DATA_OUT95SLICE_X16Y39.C3net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT94SLICE_X16Y39.CTilo0.204nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT97P11.Onet13.019nb_mem_cont/data_mux<12>P11.PADTioop2.381DATA_INOUT<12>DATA_INOUT_12_IOBUF/OBUFTDATA_INOUT<12>3.8078.25812.06531.668.44.754nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26DATA_INOUT<12>-0.329clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2611.925nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26DATA_INOUT<12>16.667clk_mainDATA_INOUT<12>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd264P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X10Y17.CLKnet2261.108clk_u_proc_BUFG-3.0882.759-0.329nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26DATA_INOUT<12>5SLICE_X10Y17.CLKclk_u_proc_BUFGSLICE_X10Y17.BQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26SLICE_X5Y14.D3net161.013nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X17Y28.D5net92.321nb_mem_cont/oe_ch_vect<0>SLICE_X17Y28.DMUXTilo0.313nb_mem_cont/nibble_pack_ch5/_n0127_invnb_mem_cont/mux_data/_n0028<4>11SLICE_X17Y28.C4net60.308nb_mem_cont/mux_data/_n0028<4>1SLICE_X17Y28.CTilo0.259nb_mem_cont/nibble_pack_ch5/_n0127_invnb_mem_cont/mux_data/Mmux_DATA_OUT95SLICE_X16Y39.C3net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT94SLICE_X16Y39.CTilo0.204nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT97P11.Onet13.019nb_mem_cont/data_mux<12>P11.PADTioop2.381DATA_INOUT<12>DATA_INOUT_12_IOBUF/OBUFTDATA_INOUT<12>3.8248.10111.92532.167.9Fastest Paths: COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<12> (P11.PAD), 184 paths +3.838nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<12>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14.550nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<12>16.667clk_mainDATA_INOUT<12>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<12>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P11.Tnet162.087nb_mem_cont/io_sel/OEbar_invP11.PADTiotp1.396DATA_INOUT<12>DATA_INOUT_12_IOBUF/OBUFTDATA_INOUT<12>1.7862.7644.55039.360.73.740nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<12>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd274.381nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<12>16.667clk_mainDATA_INOUT<12>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<12>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P11.Tnet162.087nb_mem_cont/io_sel/OEbar_invP11.PADTiotp1.396DATA_INOUT<12>DATA_INOUT_12_IOBUF/OBUFTDATA_INOUT<12>1.7902.5914.38140.959.13.410nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_12DATA_INOUT<12>-0.441clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>4.168nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>DATA_INOUT<12>16.667clk_mainDATA_INOUT<12>0.317clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_124P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y39.CLKnet2260.449clk_u_proc_BUFG-1.6981.257-0.441nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_12DATA_INOUT<12>3SLICE_X16Y39.CLKclk_u_proc_BUFGSLICE_X16Y39.AQTcko0.234nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_12SLICE_X16Y39.A6net10.017nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<12>SLICE_X16Y39.ATilo0.156nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT92SLICE_X16Y39.C1net10.241nb_mem_cont/mux_data/Mmux_DATA_OUT91SLICE_X16Y39.CTilo0.156nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT97P11.Onet11.968nb_mem_cont/data_mux<12>P11.PADTioop1.396DATA_INOUT<12>DATA_INOUT_12_IOBUF/OBUFTDATA_INOUT<12>1.9422.2264.16846.653.4COMP "DATA_INOUT<11>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000107.691Paths for end point interfaz_spi/controlador_spi_principal/word_int_11 (SLICE_X8Y8.A5), 1 path +8.976DATA_INOUT<11>interfaz_spi/controlador_spi_principal/word_int_11-0.413clk_maininterfaz_spi/controlador_spi_principal/word_int<14>16.667DATA_INOUT<11>clk_main0.317DATA_INOUT<11>interfaz_spi/controlador_spi_principal/word_int_112P12.PADP12.ITiopi1.310DATA_INOUT<11>DATA_INOUT<11>DATA_INOUT_11_IOBUF/IBUFProtoComp55.IMUX.13SLICE_X8Y8.A5net15.362N46SLICE_X8Y8.CLKTas0.289interfaz_spi/controlador_spi_principal/word_int<14>interfaz_spi/data_mux<11>1interfaz_spi/controlador_spi_principal/word_int_111.5995.3626.961clk_u_proc_BUFG23.077.0clk_maininterfaz_spi/controlador_spi_principal/word_int_114P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y8.CLKnet2260.700clk_u_proc_BUFG-2.4562.043-0.413Hold Paths: COMP "DATA_INOUT<11>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_11 (SLICE_X8Y8.A5), 1 path +3.780DATA_INOUT<11>interfaz_spi/controlador_spi_principal/word_int_110.156clk_maininterfaz_spi/controlador_spi_principal/word_int<14>0.000DATA_INOUT<11>clk_main0.317DATA_INOUT<11>interfaz_spi/controlador_spi_principal/word_int_112P12.PADP12.ITiopi0.763DATA_INOUT<11>DATA_INOUT<11>DATA_INOUT_11_IOBUF/IBUFProtoComp55.IMUX.13SLICE_X8Y8.A5net13.293N46SLICE_X8Y8.CLKTah0.197interfaz_spi/controlador_spi_principal/word_int<14>interfaz_spi/data_mux<11>1interfaz_spi/controlador_spi_principal/word_int_110.9603.2934.253clk_u_proc_BUFG22.677.4clk_maininterfaz_spi/controlador_spi_principal/word_int_114P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y8.CLKnet2260.840clk_u_proc_BUFG-1.5821.7380.156COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";18400001012.308Paths for end point DATA_INOUT<11> (P12.PAD), 184 paths +4.359nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<11>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd312.310nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<11>16.667clk_mainDATA_INOUT<11>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<11>4SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X16Y39.B2net93.753nb_mem_cont/oe_ch_vect<0>SLICE_X16Y39.BMUXTilo0.261nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT93SLICE_X16Y38.A2net30.629nb_mem_cont/mux_data/Mmux_DATA_OUT152SLICE_X16Y38.ATilo0.203nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT77P12.Onet13.232nb_mem_cont/data_mux<11>P12.PADTioop2.381DATA_INOUT<11>DATA_INOUT_11_IOBUF/OBUFTDATA_INOUT<11>3.5598.75112.31028.971.14.401nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<11>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3012.279nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<11>16.667clk_mainDATA_INOUT<11>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<11>4SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X16Y39.B2net93.753nb_mem_cont/oe_ch_vect<0>SLICE_X16Y39.BMUXTilo0.261nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT93SLICE_X16Y38.A2net30.629nb_mem_cont/mux_data/Mmux_DATA_OUT152SLICE_X16Y38.ATilo0.203nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT77P12.Onet13.232nb_mem_cont/data_mux<11>P12.PADTioop2.381DATA_INOUT<11>DATA_INOUT_11_IOBUF/OBUFTDATA_INOUT<11>3.4958.78412.27928.571.54.540nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26DATA_INOUT<11>-0.329clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2612.139nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26DATA_INOUT<11>16.667clk_mainDATA_INOUT<11>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd264P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X10Y17.CLKnet2261.108clk_u_proc_BUFG-3.0882.759-0.329nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26DATA_INOUT<11>4SLICE_X10Y17.CLKclk_u_proc_BUFGSLICE_X10Y17.BQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26SLICE_X5Y14.D3net161.013nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd26SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X16Y39.B2net93.753nb_mem_cont/oe_ch_vect<0>SLICE_X16Y39.BMUXTilo0.261nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<15>nb_mem_cont/mux_data/Mmux_DATA_OUT93SLICE_X16Y38.A2net30.629nb_mem_cont/mux_data/Mmux_DATA_OUT152SLICE_X16Y38.ATilo0.203nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT77P12.Onet13.232nb_mem_cont/data_mux<11>P12.PADTioop2.381DATA_INOUT<11>DATA_INOUT_11_IOBUF/OBUFTDATA_INOUT<11>3.5128.62712.13928.971.1Fastest Paths: COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<11> (P12.PAD), 184 paths +3.773nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_11DATA_INOUT<11>-0.439clk_mainnb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>4.529nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>DATA_INOUT<11>16.667clk_mainDATA_INOUT<11>0.317clk_mainnb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_114P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y38.CLKnet2260.451clk_u_proc_BUFG-1.6981.259-0.439nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_11DATA_INOUT<11>3SLICE_X14Y38.CLKclk_u_proc_BUFGSLICE_X14Y38.DQTcko0.200nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux_11SLICE_X16Y38.C3net10.274nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>SLICE_X16Y38.CTilo0.156nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT75SLICE_X16Y38.A1net10.258nb_mem_cont/mux_data/Mmux_DATA_OUT74SLICE_X16Y38.ATilo0.156nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT77P12.Onet12.089nb_mem_cont/data_mux<11>P12.PADTioop1.396DATA_INOUT<11>DATA_INOUT_11_IOBUF/OBUFTDATA_INOUT<11>1.9082.6214.52942.157.93.740nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<11>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd274.381nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<11>16.667clk_mainDATA_INOUT<11>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<11>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P12.Tnet162.087nb_mem_cont/io_sel/OEbar_invP12.PADTiotp1.396DATA_INOUT<11>DATA_INOUT_11_IOBUF/OBUFTDATA_INOUT<11>1.7902.5914.38140.959.13.434nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_11DATA_INOUT<11>-0.439clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>4.190nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>DATA_INOUT<11>16.667clk_mainDATA_INOUT<11>0.317clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_114P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y38.CLKnet2260.451clk_u_proc_BUFG-1.6981.259-0.439nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_11DATA_INOUT<11>3SLICE_X16Y38.CLKclk_u_proc_BUFGSLICE_X16Y38.DQTcko0.234nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_11SLICE_X16Y38.D6net10.017nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>SLICE_X16Y38.DTilo0.156nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT72SLICE_X16Y38.A3net10.142nb_mem_cont/mux_data/Mmux_DATA_OUT71SLICE_X16Y38.ATilo0.156nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT77P12.Onet12.089nb_mem_cont/data_mux<11>P12.PADTioop1.396DATA_INOUT<11>DATA_INOUT_11_IOBUF/OBUFTDATA_INOUT<11>1.9422.2484.19046.353.7COMP "DATA_INOUT<10>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.982Paths for end point interfaz_spi/controlador_spi_principal/word_int_10 (SLICE_X6Y8.D5), 1 path +10.685DATA_INOUT<10>interfaz_spi/controlador_spi_principal/word_int_10-0.405clk_maininterfaz_spi/controlador_spi_principal/word_int<10>16.667DATA_INOUT<10>clk_main0.317DATA_INOUT<10>interfaz_spi/controlador_spi_principal/word_int_102P14.PADP14.ITiopi1.310DATA_INOUT<10>DATA_INOUT<10>DATA_INOUT_10_IOBUF/IBUFProtoComp55.IMUX.12SLICE_X6Y8.D5net13.609N47SLICE_X6Y8.CLKTas0.341interfaz_spi/controlador_spi_principal/word_int<10>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi21interfaz_spi/controlador_spi_principal/word_int_101.6513.6095.260clk_u_proc_BUFG31.468.6clk_maininterfaz_spi/controlador_spi_principal/word_int_104P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y8.CLKnet2260.708clk_u_proc_BUFG-2.4562.051-0.405Hold Paths: COMP "DATA_INOUT<10>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_10 (SLICE_X6Y8.D5), 1 path +2.754DATA_INOUT<10>interfaz_spi/controlador_spi_principal/word_int_100.164clk_maininterfaz_spi/controlador_spi_principal/word_int<10>0.000DATA_INOUT<10>clk_main0.317DATA_INOUT<10>interfaz_spi/controlador_spi_principal/word_int_102P14.PADP14.ITiopi0.763DATA_INOUT<10>DATA_INOUT<10>DATA_INOUT_10_IOBUF/IBUFProtoComp55.IMUX.12SLICE_X6Y8.D5net12.282N47SLICE_X6Y8.CLKTah0.190interfaz_spi/controlador_spi_principal/word_int<10>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi21interfaz_spi/controlador_spi_principal/word_int_100.9532.2823.235clk_u_proc_BUFG29.570.5clk_maininterfaz_spi/controlador_spi_principal/word_int_104P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y8.CLKnet2260.848clk_u_proc_BUFG-1.5821.7460.164COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";30400001012.614Paths for end point DATA_INOUT<10> (P14.PAD), 304 paths +4.053nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<10>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd312.616nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<10>16.667clk_mainDATA_INOUT<10>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<10>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DTilo0.205nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0034<4>1SLICE_X14Y38.B1net181.909nb_mem_cont/mux_data/_n0034SLICE_X14Y38.BMUXTilo0.251nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT51SLICE_X14Y38.D4net10.857nb_mem_cont/mux_data/Mmux_DATA_OUT5SLICE_X14Y38.CMUXTopdc0.338nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT57_Fnb_mem_cont/mux_data/Mmux_DATA_OUT57P14.Onet12.674nb_mem_cont/data_mux<10>P14.PADTioop2.381DATA_INOUT<10>DATA_INOUT_10_IOBUF/OBUFTDATA_INOUT<10>3.8898.72712.61630.869.24.095nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<10>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3012.585nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<10>16.667clk_mainDATA_INOUT<10>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<10>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DTilo0.205nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0034<4>1SLICE_X14Y38.B1net181.909nb_mem_cont/mux_data/_n0034SLICE_X14Y38.BMUXTilo0.251nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT51SLICE_X14Y38.D4net10.857nb_mem_cont/mux_data/Mmux_DATA_OUT5SLICE_X14Y38.CMUXTopdc0.338nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT57_Fnb_mem_cont/mux_data/Mmux_DATA_OUT57P14.Onet12.674nb_mem_cont/data_mux<10>P14.PADTioop2.381DATA_INOUT<10>DATA_INOUT_10_IOBUF/OBUFTDATA_INOUT<10>3.8258.76012.58530.469.64.101nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<10>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1612.561nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<10>16.667clk_mainDATA_INOUT<10>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<10>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DTilo0.205nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0034<4>1SLICE_X14Y38.B1net181.909nb_mem_cont/mux_data/_n0034SLICE_X14Y38.BMUXTilo0.251nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT51SLICE_X14Y38.D4net10.857nb_mem_cont/mux_data/Mmux_DATA_OUT5SLICE_X14Y38.CMUXTopdc0.338nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT57_Fnb_mem_cont/mux_data/Mmux_DATA_OUT57P14.Onet12.674nb_mem_cont/data_mux<10>P14.PADTioop2.381DATA_INOUT<10>DATA_INOUT_10_IOBUF/OBUFTDATA_INOUT<10>3.8428.71912.56130.669.4Fastest Paths: COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<10> (P14.PAD), 304 paths +3.192nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<10>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13.904nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<10>16.667clk_mainDATA_INOUT<10>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<10>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P14.Tnet161.441nb_mem_cont/io_sel/OEbar_invP14.PADTiotp1.396DATA_INOUT<10>DATA_INOUT_10_IOBUF/OBUFTDATA_INOUT<10>1.7862.1183.90445.754.33.109nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_10DATA_INOUT<10>-0.439clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>3.865nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>DATA_INOUT<10>16.667clk_mainDATA_INOUT<10>0.317clk_mainnb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_104P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y38.CLKnet2260.451clk_u_proc_BUFG-1.6981.259-0.439nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_10DATA_INOUT<10>2SLICE_X16Y38.CLKclk_u_proc_BUFGSLICE_X16Y38.CQTcko0.234nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<11>nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux_10SLICE_X14Y38.C4net10.218nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<10>SLICE_X14Y38.CMUXTilo0.234nb_mem_cont/nibble_pack_ch3/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT57_Gnb_mem_cont/mux_data/Mmux_DATA_OUT57P14.Onet11.783nb_mem_cont/data_mux<10>P14.PADTioop1.396DATA_INOUT<10>DATA_INOUT_10_IOBUF/OBUFTDATA_INOUT<10>1.8642.0013.86548.251.83.094nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<10>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd273.735nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<10>16.667clk_mainDATA_INOUT<10>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<10>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P14.Tnet161.441nb_mem_cont/io_sel/OEbar_invP14.PADTiotp1.396DATA_INOUT<10>DATA_INOUT_10_IOBUF/OBUFTDATA_INOUT<10>1.7901.9453.73547.952.1COMP "DATA_INOUT<9>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000106.074Paths for end point interfaz_spi/controlador_spi_principal/word_int_9 (SLICE_X6Y8.C6), 1 path +10.593DATA_INOUT<9>interfaz_spi/controlador_spi_principal/word_int_9-0.405clk_maininterfaz_spi/controlador_spi_principal/word_int<10>16.667DATA_INOUT<9>clk_main0.317DATA_INOUT<9>interfaz_spi/controlador_spi_principal/word_int_92P15.PADP15.ITiopi1.310DATA_INOUT<9>DATA_INOUT<9>DATA_INOUT_9_IOBUF/IBUFProtoComp55.IMUX.11SLICE_X6Y8.C6net13.701N48SLICE_X6Y8.CLKTas0.341interfaz_spi/controlador_spi_principal/word_int<10>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi161interfaz_spi/controlador_spi_principal/word_int_91.6513.7015.352clk_u_proc_BUFG30.869.2clk_maininterfaz_spi/controlador_spi_principal/word_int_94P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y8.CLKnet2260.708clk_u_proc_BUFG-2.4562.051-0.405Hold Paths: COMP "DATA_INOUT<9>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_9 (SLICE_X6Y8.C6), 1 path +2.753DATA_INOUT<9>interfaz_spi/controlador_spi_principal/word_int_90.164clk_maininterfaz_spi/controlador_spi_principal/word_int<10>0.000DATA_INOUT<9>clk_main0.317DATA_INOUT<9>interfaz_spi/controlador_spi_principal/word_int_92P15.PADP15.ITiopi0.763DATA_INOUT<9>DATA_INOUT<9>DATA_INOUT_9_IOBUF/IBUFProtoComp55.IMUX.11SLICE_X6Y8.C6net12.281N48SLICE_X6Y8.CLKTah0.190interfaz_spi/controlador_spi_principal/word_int<10>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi161interfaz_spi/controlador_spi_principal/word_int_90.9532.2813.234clk_u_proc_BUFG29.570.5clk_maininterfaz_spi/controlador_spi_principal/word_int_94P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y8.CLKnet2260.848clk_u_proc_BUFG-1.5821.7460.164COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";30400001012.627Paths for end point DATA_INOUT<9> (P15.PAD), 304 paths +4.040nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<9>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd312.629nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<9>16.667clk_mainDATA_INOUT<9>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<9>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X17Y33.D2net241.393nb_mem_cont/mux_data/_n0040SLICE_X17Y33.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT40nb_mem_cont/mux_data/Mmux_DATA_OUT401SLICE_X11Y25.C3net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT40SLICE_X11Y25.CTilo0.259nb_mem_cont/hb_lbbarnb_mem_cont/mux_data/Mmux_DATA_OUT407P15.Onet12.645nb_mem_cont/data_mux<9>P15.PADTioop2.381DATA_INOUT<9>DATA_INOUT_9_IOBUF/OBUFTDATA_INOUT<9>3.8648.76512.62930.669.44.082nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<9>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3012.598nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<9>16.667clk_mainDATA_INOUT<9>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<9>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X17Y33.D2net241.393nb_mem_cont/mux_data/_n0040SLICE_X17Y33.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT40nb_mem_cont/mux_data/Mmux_DATA_OUT401SLICE_X11Y25.C3net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT40SLICE_X11Y25.CTilo0.259nb_mem_cont/hb_lbbarnb_mem_cont/mux_data/Mmux_DATA_OUT407P15.Onet12.645nb_mem_cont/data_mux<9>P15.PADTioop2.381DATA_INOUT<9>DATA_INOUT_9_IOBUF/OBUFTDATA_INOUT<9>3.8008.79812.59830.269.84.088nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<9>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1612.574nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<9>16.667clk_mainDATA_INOUT<9>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<9>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X17Y33.D2net241.393nb_mem_cont/mux_data/_n0040SLICE_X17Y33.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT40nb_mem_cont/mux_data/Mmux_DATA_OUT401SLICE_X11Y25.C3net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT40SLICE_X11Y25.CTilo0.259nb_mem_cont/hb_lbbarnb_mem_cont/mux_data/Mmux_DATA_OUT407P15.Onet12.645nb_mem_cont/data_mux<9>P15.PADTioop2.381DATA_INOUT<9>DATA_INOUT_9_IOBUF/OBUFTDATA_INOUT<9>3.8178.75712.57430.469.6Fastest Paths: COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<9> (P15.PAD), 304 paths +3.199nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<9>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd33.908nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<9>16.667clk_mainDATA_INOUT<9>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<9>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P15.Tnet161.441nb_mem_cont/io_sel/OEbar_invP15.PADTiotp1.396DATA_INOUT<9>DATA_INOUT_9_IOBUF/OBUFTDATA_INOUT<9>1.7522.1563.90844.855.23.192nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<9>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13.904nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<9>16.667clk_mainDATA_INOUT<9>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<9>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P15.Tnet161.441nb_mem_cont/io_sel/OEbar_invP15.PADTiotp1.396DATA_INOUT<9>DATA_INOUT_9_IOBUF/OBUFTDATA_INOUT<9>1.7862.1183.90445.754.33.094nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<9>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd273.735nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<9>16.667clk_mainDATA_INOUT<9>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<9>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P15.Tnet161.441nb_mem_cont/io_sel/OEbar_invP15.PADTiotp1.396DATA_INOUT<9>DATA_INOUT_9_IOBUF/OBUFTDATA_INOUT<9>1.7901.9453.73547.952.1COMP "DATA_INOUT<8>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.916Paths for end point interfaz_spi/controlador_spi_principal/word_int_8 (SLICE_X6Y8.B6), 1 path +10.751DATA_INOUT<8>interfaz_spi/controlador_spi_principal/word_int_8-0.405clk_maininterfaz_spi/controlador_spi_principal/word_int<10>16.667DATA_INOUT<8>clk_main0.317DATA_INOUT<8>interfaz_spi/controlador_spi_principal/word_int_82P16.PADP16.ITiopi1.310DATA_INOUT<8>DATA_INOUT<8>DATA_INOUT_8_IOBUF/IBUFProtoComp55.IMUX.10SLICE_X6Y8.B6net13.543N49SLICE_X6Y8.CLKTas0.341interfaz_spi/controlador_spi_principal/word_int<10>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi151interfaz_spi/controlador_spi_principal/word_int_81.6513.5435.194clk_u_proc_BUFG31.868.2clk_maininterfaz_spi/controlador_spi_principal/word_int_84P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y8.CLKnet2260.708clk_u_proc_BUFG-2.4562.051-0.405Hold Paths: COMP "DATA_INOUT<8>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_8 (SLICE_X6Y8.B6), 1 path +2.696DATA_INOUT<8>interfaz_spi/controlador_spi_principal/word_int_80.164clk_maininterfaz_spi/controlador_spi_principal/word_int<10>0.000DATA_INOUT<8>clk_main0.317DATA_INOUT<8>interfaz_spi/controlador_spi_principal/word_int_82P16.PADP16.ITiopi0.763DATA_INOUT<8>DATA_INOUT<8>DATA_INOUT_8_IOBUF/IBUFProtoComp55.IMUX.10SLICE_X6Y8.B6net12.224N49SLICE_X6Y8.CLKTah0.190interfaz_spi/controlador_spi_principal/word_int<10>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi151interfaz_spi/controlador_spi_principal/word_int_80.9532.2243.177clk_u_proc_BUFG30.070.0clk_maininterfaz_spi/controlador_spi_principal/word_int_84P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y8.CLKnet2260.848clk_u_proc_BUFG-1.5821.7460.164COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";34900001012.820Paths for end point DATA_INOUT<8> (P16.PAD), 349 paths +3.847nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<8>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd312.822nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<8>16.667clk_mainDATA_INOUT<8>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<8>6SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X14Y36.D5net241.411nb_mem_cont/mux_data/_n0040SLICE_X14Y36.DTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT385nb_mem_cont/mux_data/Mmux_DATA_OUT385SLICE_X14Y36.C6net10.118nb_mem_cont/mux_data/Mmux_DATA_OUT385SLICE_X14Y36.CTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT385nb_mem_cont/mux_data/Mmux_DATA_OUT387_SW0SLICE_X14Y25.B4net11.099N197SLICE_X14Y25.BTilo0.205nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/Mmux_DATA_OUT387P16.Onet12.946nb_mem_cont/data_mux<8>P16.PADTioop2.381DATA_INOUT<8>DATA_INOUT_8_IOBUF/OBUFTDATA_INOUT<8>3.9618.86112.82230.969.13.889nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<8>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3012.791nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<8>16.667clk_mainDATA_INOUT<8>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<8>6SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X14Y36.D5net241.411nb_mem_cont/mux_data/_n0040SLICE_X14Y36.DTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT385nb_mem_cont/mux_data/Mmux_DATA_OUT385SLICE_X14Y36.C6net10.118nb_mem_cont/mux_data/Mmux_DATA_OUT385SLICE_X14Y36.CTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT385nb_mem_cont/mux_data/Mmux_DATA_OUT387_SW0SLICE_X14Y25.B4net11.099N197SLICE_X14Y25.BTilo0.205nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/Mmux_DATA_OUT387P16.Onet12.946nb_mem_cont/data_mux<8>P16.PADTioop2.381DATA_INOUT<8>DATA_INOUT_8_IOBUF/OBUFTDATA_INOUT<8>3.8978.89412.79130.569.53.895nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<8>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1612.767nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<8>16.667clk_mainDATA_INOUT<8>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<8>6SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X14Y36.D5net241.411nb_mem_cont/mux_data/_n0040SLICE_X14Y36.DTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT385nb_mem_cont/mux_data/Mmux_DATA_OUT385SLICE_X14Y36.C6net10.118nb_mem_cont/mux_data/Mmux_DATA_OUT385SLICE_X14Y36.CTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT385nb_mem_cont/mux_data/Mmux_DATA_OUT387_SW0SLICE_X14Y25.B4net11.099N197SLICE_X14Y25.BTilo0.205nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/Mmux_DATA_OUT387P16.Onet12.946nb_mem_cont/data_mux<8>P16.PADTioop2.381DATA_INOUT<8>DATA_INOUT_8_IOBUF/OBUFTDATA_INOUT<8>3.9148.85312.76730.769.3Fastest Paths: COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<8> (P16.PAD), 349 paths +3.096nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<8>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd33.805nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<8>16.667clk_mainDATA_INOUT<8>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<8>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P16.Tnet161.338nb_mem_cont/io_sel/OEbar_invP16.PADTiotp1.396DATA_INOUT<8>DATA_INOUT_8_IOBUF/OBUFTDATA_INOUT<8>1.7522.0533.80546.054.03.089nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<8>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13.801nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<8>16.667clk_mainDATA_INOUT<8>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<8>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P16.Tnet161.338nb_mem_cont/io_sel/OEbar_invP16.PADTiotp1.396DATA_INOUT<8>DATA_INOUT_8_IOBUF/OBUFTDATA_INOUT<8>1.7862.0153.80147.053.02.991nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<8>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd273.632nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<8>16.667clk_mainDATA_INOUT<8>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<8>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P16.Tnet161.338nb_mem_cont/io_sel/OEbar_invP16.PADTiotp1.396DATA_INOUT<8>DATA_INOUT_8_IOBUF/OBUFTDATA_INOUT<8>1.7901.8423.63249.350.7COMP "DATA_INOUT<7>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.791Paths for end point interfaz_spi/controlador_spi_principal/word_int_7 (SLICE_X6Y8.A5), 1 path +11.876DATA_INOUT<7>interfaz_spi/controlador_spi_principal/word_int_7-0.405clk_maininterfaz_spi/controlador_spi_principal/word_int<10>16.667DATA_INOUT<7>clk_main0.317DATA_INOUT<7>interfaz_spi/controlador_spi_principal/word_int_72P41.PADP41.ITiopi1.310DATA_INOUT<7>DATA_INOUT<7>DATA_INOUT_7_IOBUF/IBUFProtoComp55.IMUX.9SLICE_X6Y8.A5net12.418N50SLICE_X6Y8.CLKTas0.341interfaz_spi/controlador_spi_principal/word_int<10>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi141interfaz_spi/controlador_spi_principal/word_int_71.6512.4184.069clk_u_proc_BUFG40.659.4clk_maininterfaz_spi/controlador_spi_principal/word_int_74P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y8.CLKnet2260.708clk_u_proc_BUFG-2.4562.051-0.405Hold Paths: COMP "DATA_INOUT<7>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_7 (SLICE_X6Y8.A5), 1 path +2.009DATA_INOUT<7>interfaz_spi/controlador_spi_principal/word_int_70.164clk_maininterfaz_spi/controlador_spi_principal/word_int<10>0.000DATA_INOUT<7>clk_main0.317DATA_INOUT<7>interfaz_spi/controlador_spi_principal/word_int_72P41.PADP41.ITiopi0.763DATA_INOUT<7>DATA_INOUT<7>DATA_INOUT_7_IOBUF/IBUFProtoComp55.IMUX.9SLICE_X6Y8.A5net11.537N50SLICE_X6Y8.CLKTah0.190interfaz_spi/controlador_spi_principal/word_int<10>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi141interfaz_spi/controlador_spi_principal/word_int_70.9531.5372.490clk_u_proc_BUFG38.361.7clk_maininterfaz_spi/controlador_spi_principal/word_int_74P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y8.CLKnet2260.848clk_u_proc_BUFG-1.5821.7460.164COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";33900001013.357Paths for end point DATA_INOUT<7> (P41.PAD), 339 paths +3.310nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<7>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd313.359nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<7>16.667clk_mainDATA_INOUT<7>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<7>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y24.C2net91.945nb_mem_cont/oe_ch_vect<0>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X20Y33.D5net241.425nb_mem_cont/mux_data/_n0046SLICE_X20Y33.DTilo0.203nb_mem_cont/mux_data/Mmux_DATA_OUT36nb_mem_cont/mux_data/Mmux_DATA_OUT361SLICE_X16Y23.A2net11.613nb_mem_cont/mux_data/Mmux_DATA_OUT36SLICE_X16Y23.ATilo0.203nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT368P41.Onet13.533nb_mem_cont/data_mux<7>P41.PADTioop2.381DATA_INOUT<7>DATA_INOUT_7_IOBUF/OBUFTDATA_INOUT<7>3.7069.65313.35927.772.33.352nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<7>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3013.328nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<7>16.667clk_mainDATA_INOUT<7>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<7>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y24.C2net91.945nb_mem_cont/oe_ch_vect<0>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X20Y33.D5net241.425nb_mem_cont/mux_data/_n0046SLICE_X20Y33.DTilo0.203nb_mem_cont/mux_data/Mmux_DATA_OUT36nb_mem_cont/mux_data/Mmux_DATA_OUT361SLICE_X16Y23.A2net11.613nb_mem_cont/mux_data/Mmux_DATA_OUT36SLICE_X16Y23.ATilo0.203nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT368P41.Onet13.533nb_mem_cont/data_mux<7>P41.PADTioop2.381DATA_INOUT<7>DATA_INOUT_7_IOBUF/OBUFTDATA_INOUT<7>3.6429.68613.32827.372.73.445nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<7>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1613.217nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<7>16.667clk_mainDATA_INOUT<7>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<7>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y24.C3net110.712nb_mem_cont/oe_ch_vect<2>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X20Y33.D5net241.425nb_mem_cont/mux_data/_n0046SLICE_X20Y33.DTilo0.203nb_mem_cont/mux_data/Mmux_DATA_OUT36nb_mem_cont/mux_data/Mmux_DATA_OUT361SLICE_X16Y23.A2net11.613nb_mem_cont/mux_data/Mmux_DATA_OUT36SLICE_X16Y23.ATilo0.203nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<11>nb_mem_cont/mux_data/Mmux_DATA_OUT368P41.Onet13.533nb_mem_cont/data_mux<7>P41.PADTioop2.381DATA_INOUT<7>DATA_INOUT_7_IOBUF/OBUFTDATA_INOUT<7>3.6599.55813.21727.772.3Fastest Paths: COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<7> (P41.PAD), 339 paths +3.650nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<7>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.359nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<7>16.667clk_mainDATA_INOUT<7>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<7>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P41.Tnet161.892nb_mem_cont/io_sel/OEbar_invP41.PADTiotp1.396DATA_INOUT<7>DATA_INOUT_7_IOBUF/OBUFTDATA_INOUT<7>1.7522.6074.35940.259.83.643nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<7>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14.355nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<7>16.667clk_mainDATA_INOUT<7>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<7>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P41.Tnet161.892nb_mem_cont/io_sel/OEbar_invP41.PADTiotp1.396DATA_INOUT<7>DATA_INOUT_7_IOBUF/OBUFTDATA_INOUT<7>1.7862.5694.35541.059.03.545nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<7>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd274.186nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<7>16.667clk_mainDATA_INOUT<7>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<7>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P41.Tnet161.892nb_mem_cont/io_sel/OEbar_invP41.PADTiotp1.396DATA_INOUT<7>DATA_INOUT_7_IOBUF/OBUFTDATA_INOUT<7>1.7902.3964.18642.857.2COMP "DATA_INOUT<6>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.011Paths for end point interfaz_spi/controlador_spi_principal/word_int_6 (SLICE_X9Y5.D1), 1 path +11.656DATA_INOUT<6>interfaz_spi/controlador_spi_principal/word_int_6-0.408clk_maininterfaz_spi/controlador_spi_principal/word_int<6>16.667DATA_INOUT<6>clk_main0.317DATA_INOUT<6>interfaz_spi/controlador_spi_principal/word_int_62P40.PADP40.ITiopi1.310DATA_INOUT<6>DATA_INOUT<6>DATA_INOUT_6_IOBUF/IBUFProtoComp55.IMUX.8SLICE_X9Y5.D1net12.654N51SLICE_X9Y5.CLKTas0.322interfaz_spi/controlador_spi_principal/word_int<6>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi131interfaz_spi/controlador_spi_principal/word_int_61.6322.6544.286clk_u_proc_BUFG38.161.9clk_maininterfaz_spi/controlador_spi_principal/word_int_64P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y5.CLKnet2260.705clk_u_proc_BUFG-2.4562.048-0.408Hold Paths: COMP "DATA_INOUT<6>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_6 (SLICE_X9Y5.D1), 1 path +2.222DATA_INOUT<6>interfaz_spi/controlador_spi_principal/word_int_60.161clk_maininterfaz_spi/controlador_spi_principal/word_int<6>0.000DATA_INOUT<6>clk_main0.317DATA_INOUT<6>interfaz_spi/controlador_spi_principal/word_int_62P40.PADP40.ITiopi0.763DATA_INOUT<6>DATA_INOUT<6>DATA_INOUT_6_IOBUF/IBUFProtoComp55.IMUX.8SLICE_X9Y5.D1net11.722N51SLICE_X9Y5.CLKTah0.215interfaz_spi/controlador_spi_principal/word_int<6>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi131interfaz_spi/controlador_spi_principal/word_int_60.9781.7222.700clk_u_proc_BUFG36.263.8clk_maininterfaz_spi/controlador_spi_principal/word_int_64P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y5.CLKnet2260.845clk_u_proc_BUFG-1.5821.7430.161COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";33900001014.600Paths for end point DATA_INOUT<6> (P40.PAD), 339 paths +2.067nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<6>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314.602nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<6>16.667clk_mainDATA_INOUT<6>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<6>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X19Y37.D1net242.002nb_mem_cont/mux_data/_n0040SLICE_X19Y37.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT335nb_mem_cont/mux_data/Mmux_DATA_OUT336SLICE_X17Y21.A2net11.933nb_mem_cont/mux_data/Mmux_DATA_OUT335SLICE_X17Y21.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT334nb_mem_cont/mux_data/Mmux_DATA_OUT338P40.Onet13.516nb_mem_cont/data_mux<6>P40.PADTioop2.381DATA_INOUT<6>DATA_INOUT_6_IOBUF/OBUFTDATA_INOUT<6>3.86410.73814.60226.573.52.109nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<6>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3014.571nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<6>16.667clk_mainDATA_INOUT<6>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<6>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X19Y37.D1net242.002nb_mem_cont/mux_data/_n0040SLICE_X19Y37.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT335nb_mem_cont/mux_data/Mmux_DATA_OUT336SLICE_X17Y21.A2net11.933nb_mem_cont/mux_data/Mmux_DATA_OUT335SLICE_X17Y21.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT334nb_mem_cont/mux_data/Mmux_DATA_OUT338P40.Onet13.516nb_mem_cont/data_mux<6>P40.PADTioop2.381DATA_INOUT<6>DATA_INOUT_6_IOBUF/OBUFTDATA_INOUT<6>3.80010.77114.57126.173.92.115nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<6>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1614.547nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<6>16.667clk_mainDATA_INOUT<6>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<6>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X19Y37.D1net242.002nb_mem_cont/mux_data/_n0040SLICE_X19Y37.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT335nb_mem_cont/mux_data/Mmux_DATA_OUT336SLICE_X17Y21.A2net11.933nb_mem_cont/mux_data/Mmux_DATA_OUT335SLICE_X17Y21.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT334nb_mem_cont/mux_data/Mmux_DATA_OUT338P40.Onet13.516nb_mem_cont/data_mux<6>P40.PADTioop2.381DATA_INOUT<6>DATA_INOUT_6_IOBUF/OBUFTDATA_INOUT<6>3.81710.73014.54726.273.8Fastest Paths: COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<6> (P40.PAD), 339 paths +3.650nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<6>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.359nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<6>16.667clk_mainDATA_INOUT<6>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<6>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P40.Tnet161.892nb_mem_cont/io_sel/OEbar_invP40.PADTiotp1.396DATA_INOUT<6>DATA_INOUT_6_IOBUF/OBUFTDATA_INOUT<6>1.7522.6074.35940.259.83.643nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<6>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14.355nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<6>16.667clk_mainDATA_INOUT<6>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<6>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P40.Tnet161.892nb_mem_cont/io_sel/OEbar_invP40.PADTiotp1.396DATA_INOUT<6>DATA_INOUT_6_IOBUF/OBUFTDATA_INOUT<6>1.7862.5694.35541.059.03.545nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<6>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd274.186nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<6>16.667clk_mainDATA_INOUT<6>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<6>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P40.Tnet161.892nb_mem_cont/io_sel/OEbar_invP40.PADTiotp1.396DATA_INOUT<6>DATA_INOUT_6_IOBUF/OBUFTDATA_INOUT<6>1.7902.3964.18642.857.2COMP "DATA_INOUT<5>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.683Paths for end point interfaz_spi/controlador_spi_principal/word_int_5 (SLICE_X9Y5.C2), 1 path +11.984DATA_INOUT<5>interfaz_spi/controlador_spi_principal/word_int_5-0.408clk_maininterfaz_spi/controlador_spi_principal/word_int<6>16.667DATA_INOUT<5>clk_main0.317DATA_INOUT<5>interfaz_spi/controlador_spi_principal/word_int_52P39.PADP39.ITiopi1.310DATA_INOUT<5>DATA_INOUT<5>DATA_INOUT_5_IOBUF/IBUFProtoComp55.IMUX.7SLICE_X9Y5.C2net12.326N52SLICE_X9Y5.CLKTas0.322interfaz_spi/controlador_spi_principal/word_int<6>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi121interfaz_spi/controlador_spi_principal/word_int_51.6322.3263.958clk_u_proc_BUFG41.258.8clk_maininterfaz_spi/controlador_spi_principal/word_int_54P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y5.CLKnet2260.705clk_u_proc_BUFG-2.4562.048-0.408Hold Paths: COMP "DATA_INOUT<5>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_5 (SLICE_X9Y5.C2), 1 path +2.004DATA_INOUT<5>interfaz_spi/controlador_spi_principal/word_int_50.161clk_maininterfaz_spi/controlador_spi_principal/word_int<6>0.000DATA_INOUT<5>clk_main0.317DATA_INOUT<5>interfaz_spi/controlador_spi_principal/word_int_52P39.PADP39.ITiopi0.763DATA_INOUT<5>DATA_INOUT<5>DATA_INOUT_5_IOBUF/IBUFProtoComp55.IMUX.7SLICE_X9Y5.C2net11.504N52SLICE_X9Y5.CLKTah0.215interfaz_spi/controlador_spi_principal/word_int<6>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi121interfaz_spi/controlador_spi_principal/word_int_50.9781.5042.482clk_u_proc_BUFG39.460.6clk_maininterfaz_spi/controlador_spi_principal/word_int_54P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y5.CLKnet2260.845clk_u_proc_BUFG-1.5821.7430.161COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";33900001014.057Paths for end point DATA_INOUT<5> (P39.PAD), 339 paths +2.610nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<5>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314.059nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<5>16.667clk_mainDATA_INOUT<5>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<5>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X21Y34.D4net241.717nb_mem_cont/mux_data/_n0040SLICE_X21Y34.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT305nb_mem_cont/mux_data/Mmux_DATA_OUT306SLICE_X20Y20.C1net11.481nb_mem_cont/mux_data/Mmux_DATA_OUT305SLICE_X20Y20.CTilo0.204nb_mem_cont/nibble_pack_ch5/rdy_mem_auxnb_mem_cont/mux_data/Mmux_DATA_OUT308P39.Onet13.765nb_mem_cont/data_mux<5>P39.PADTioop2.381DATA_INOUT<5>DATA_INOUT_5_IOBUF/OBUFTDATA_INOUT<5>3.80910.25014.05927.172.92.652nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<5>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3014.028nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<5>16.667clk_mainDATA_INOUT<5>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<5>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X21Y34.D4net241.717nb_mem_cont/mux_data/_n0040SLICE_X21Y34.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT305nb_mem_cont/mux_data/Mmux_DATA_OUT306SLICE_X20Y20.C1net11.481nb_mem_cont/mux_data/Mmux_DATA_OUT305SLICE_X20Y20.CTilo0.204nb_mem_cont/nibble_pack_ch5/rdy_mem_auxnb_mem_cont/mux_data/Mmux_DATA_OUT308P39.Onet13.765nb_mem_cont/data_mux<5>P39.PADTioop2.381DATA_INOUT<5>DATA_INOUT_5_IOBUF/OBUFTDATA_INOUT<5>3.74510.28314.02826.773.32.658nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<5>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1614.004nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<5>16.667clk_mainDATA_INOUT<5>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<5>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X21Y34.D4net241.717nb_mem_cont/mux_data/_n0040SLICE_X21Y34.DTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT305nb_mem_cont/mux_data/Mmux_DATA_OUT306SLICE_X20Y20.C1net11.481nb_mem_cont/mux_data/Mmux_DATA_OUT305SLICE_X20Y20.CTilo0.204nb_mem_cont/nibble_pack_ch5/rdy_mem_auxnb_mem_cont/mux_data/Mmux_DATA_OUT308P39.Onet13.765nb_mem_cont/data_mux<5>P39.PADTioop2.381DATA_INOUT<5>DATA_INOUT_5_IOBUF/OBUFTDATA_INOUT<5>3.76210.24214.00426.973.1Fastest Paths: COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<5> (P39.PAD), 339 paths +3.586nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<5>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.295nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<5>16.667clk_mainDATA_INOUT<5>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<5>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P39.Tnet161.828nb_mem_cont/io_sel/OEbar_invP39.PADTiotp1.396DATA_INOUT<5>DATA_INOUT_5_IOBUF/OBUFTDATA_INOUT<5>1.7522.5434.29540.859.23.579nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<5>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14.291nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<5>16.667clk_mainDATA_INOUT<5>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<5>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P39.Tnet161.828nb_mem_cont/io_sel/OEbar_invP39.PADTiotp1.396DATA_INOUT<5>DATA_INOUT_5_IOBUF/OBUFTDATA_INOUT<5>1.7862.5054.29141.658.43.481nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<5>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd274.122nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<5>16.667clk_mainDATA_INOUT<5>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<5>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P39.Tnet161.828nb_mem_cont/io_sel/OEbar_invP39.PADTiotp1.396DATA_INOUT<5>DATA_INOUT_5_IOBUF/OBUFTDATA_INOUT<5>1.7902.3324.12243.456.6COMP "DATA_INOUT<4>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.834Paths for end point interfaz_spi/controlador_spi_principal/word_int_4 (SLICE_X9Y5.B1), 1 path +11.833DATA_INOUT<4>interfaz_spi/controlador_spi_principal/word_int_4-0.408clk_maininterfaz_spi/controlador_spi_principal/word_int<6>16.667DATA_INOUT<4>clk_main0.317DATA_INOUT<4>interfaz_spi/controlador_spi_principal/word_int_42P38.PADP38.ITiopi1.310DATA_INOUT<4>DATA_INOUT<4>DATA_INOUT_4_IOBUF/IBUFProtoComp55.IMUX.6SLICE_X9Y5.B1net12.477N53SLICE_X9Y5.CLKTas0.322interfaz_spi/controlador_spi_principal/word_int<6>interfaz_spi/data_mux<4>1interfaz_spi/controlador_spi_principal/word_int_41.6322.4774.109clk_u_proc_BUFG39.760.3clk_maininterfaz_spi/controlador_spi_principal/word_int_44P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y5.CLKnet2260.705clk_u_proc_BUFG-2.4562.048-0.408Hold Paths: COMP "DATA_INOUT<4>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_4 (SLICE_X9Y5.B1), 1 path +2.135DATA_INOUT<4>interfaz_spi/controlador_spi_principal/word_int_40.161clk_maininterfaz_spi/controlador_spi_principal/word_int<6>0.000DATA_INOUT<4>clk_main0.317DATA_INOUT<4>interfaz_spi/controlador_spi_principal/word_int_42P38.PADP38.ITiopi0.763DATA_INOUT<4>DATA_INOUT<4>DATA_INOUT_4_IOBUF/IBUFProtoComp55.IMUX.6SLICE_X9Y5.B1net11.635N53SLICE_X9Y5.CLKTah0.215interfaz_spi/controlador_spi_principal/word_int<6>interfaz_spi/data_mux<4>1interfaz_spi/controlador_spi_principal/word_int_40.9781.6352.613clk_u_proc_BUFG37.462.6clk_maininterfaz_spi/controlador_spi_principal/word_int_44P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y5.CLKnet2260.845clk_u_proc_BUFG-1.5821.7430.161COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";33900001013.747Paths for end point DATA_INOUT<4> (P38.PAD), 339 paths +2.920nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<4>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd313.749nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<4>16.667clk_mainDATA_INOUT<4>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<4>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y24.C2net91.945nb_mem_cont/oe_ch_vect<0>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X23Y33.A2net241.723nb_mem_cont/mux_data/_n0046SLICE_X23Y33.ATilo0.259nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<7>nb_mem_cont/mux_data/Mmux_DATA_OUT271SLICE_X10Y16.C2net12.279nb_mem_cont/mux_data/Mmux_DATA_OUT27SLICE_X10Y16.CTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT274nb_mem_cont/mux_data/Mmux_DATA_OUT278P38.Onet12.901nb_mem_cont/data_mux<4>P38.PADTioop2.381DATA_INOUT<4>DATA_INOUT_4_IOBUF/OBUFTDATA_INOUT<4>3.7649.98513.74927.472.62.962nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<4>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3013.718nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<4>16.667clk_mainDATA_INOUT<4>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<4>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y24.C2net91.945nb_mem_cont/oe_ch_vect<0>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X23Y33.A2net241.723nb_mem_cont/mux_data/_n0046SLICE_X23Y33.ATilo0.259nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<7>nb_mem_cont/mux_data/Mmux_DATA_OUT271SLICE_X10Y16.C2net12.279nb_mem_cont/mux_data/Mmux_DATA_OUT27SLICE_X10Y16.CTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT274nb_mem_cont/mux_data/Mmux_DATA_OUT278P38.Onet12.901nb_mem_cont/data_mux<4>P38.PADTioop2.381DATA_INOUT<4>DATA_INOUT_4_IOBUF/OBUFTDATA_INOUT<4>3.70010.01813.71827.073.03.055nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<4>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1613.607nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<4>16.667clk_mainDATA_INOUT<4>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<4>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y24.C3net110.712nb_mem_cont/oe_ch_vect<2>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X23Y33.A2net241.723nb_mem_cont/mux_data/_n0046SLICE_X23Y33.ATilo0.259nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<7>nb_mem_cont/mux_data/Mmux_DATA_OUT271SLICE_X10Y16.C2net12.279nb_mem_cont/mux_data/Mmux_DATA_OUT27SLICE_X10Y16.CTilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT274nb_mem_cont/mux_data/Mmux_DATA_OUT278P38.Onet12.901nb_mem_cont/data_mux<4>P38.PADTioop2.381DATA_INOUT<4>DATA_INOUT_4_IOBUF/OBUFTDATA_INOUT<4>3.7179.89013.60727.372.7Fastest Paths: COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<4> (P38.PAD), 339 paths +3.586nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<4>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.295nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<4>16.667clk_mainDATA_INOUT<4>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<4>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P38.Tnet161.828nb_mem_cont/io_sel/OEbar_invP38.PADTiotp1.396DATA_INOUT<4>DATA_INOUT_4_IOBUF/OBUFTDATA_INOUT<4>1.7522.5434.29540.859.23.579nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<4>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14.291nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<4>16.667clk_mainDATA_INOUT<4>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<4>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P38.Tnet161.828nb_mem_cont/io_sel/OEbar_invP38.PADTiotp1.396DATA_INOUT<4>DATA_INOUT_4_IOBUF/OBUFTDATA_INOUT<4>1.7862.5054.29141.658.43.481nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<4>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd274.122nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<4>16.667clk_mainDATA_INOUT<4>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<4>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P38.Tnet161.828nb_mem_cont/io_sel/OEbar_invP38.PADTiotp1.396DATA_INOUT<4>DATA_INOUT_4_IOBUF/OBUFTDATA_INOUT<4>1.7902.3324.12243.456.6COMP "DATA_INOUT<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.773Paths for end point interfaz_spi/controlador_spi_principal/word_int_3 (SLICE_X9Y5.A3), 1 path +11.894DATA_INOUT<3>interfaz_spi/controlador_spi_principal/word_int_3-0.408clk_maininterfaz_spi/controlador_spi_principal/word_int<6>16.667DATA_INOUT<3>clk_main0.317DATA_INOUT<3>interfaz_spi/controlador_spi_principal/word_int_32P35.PADP35.ITiopi1.310DATA_INOUT<3>DATA_INOUT<3>DATA_INOUT_3_IOBUF/IBUFProtoComp55.IMUX.5SLICE_X9Y5.A3net12.416N54SLICE_X9Y5.CLKTas0.322interfaz_spi/controlador_spi_principal/word_int<6>interfaz_spi/data_mux<3>1interfaz_spi/controlador_spi_principal/word_int_31.6322.4164.048clk_u_proc_BUFG40.359.7clk_maininterfaz_spi/controlador_spi_principal/word_int_34P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y5.CLKnet2260.705clk_u_proc_BUFG-2.4562.048-0.408Hold Paths: COMP "DATA_INOUT<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_3 (SLICE_X9Y5.A3), 1 path +2.073DATA_INOUT<3>interfaz_spi/controlador_spi_principal/word_int_30.161clk_maininterfaz_spi/controlador_spi_principal/word_int<6>0.000DATA_INOUT<3>clk_main0.317DATA_INOUT<3>interfaz_spi/controlador_spi_principal/word_int_32P35.PADP35.ITiopi0.763DATA_INOUT<3>DATA_INOUT<3>DATA_INOUT_3_IOBUF/IBUFProtoComp55.IMUX.5SLICE_X9Y5.A3net11.573N54SLICE_X9Y5.CLKTah0.215interfaz_spi/controlador_spi_principal/word_int<6>interfaz_spi/data_mux<3>1interfaz_spi/controlador_spi_principal/word_int_30.9781.5732.551clk_u_proc_BUFG38.361.7clk_maininterfaz_spi/controlador_spi_principal/word_int_34P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y5.CLKnet2260.845clk_u_proc_BUFG-1.5821.7430.161COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";33900001013.461Paths for end point DATA_INOUT<3> (P35.PAD), 339 paths +3.206nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<3>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd313.463nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<3>16.667clk_mainDATA_INOUT<3>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<3>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y24.C2net91.945nb_mem_cont/oe_ch_vect<0>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X22Y34.D5net241.701nb_mem_cont/mux_data/_n0046SLICE_X22Y34.DTilo0.205nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<3>nb_mem_cont/mux_data/Mmux_DATA_OUT241SLICE_X19Y23.A4net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT24SLICE_X19Y23.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT244nb_mem_cont/mux_data/Mmux_DATA_OUT248P35.Onet13.476nb_mem_cont/data_mux<3>P35.PADTioop2.381DATA_INOUT<3>DATA_INOUT_3_IOBUF/OBUFTDATA_INOUT<3>3.7649.69913.46328.072.03.248nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<3>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3013.432nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<3>16.667clk_mainDATA_INOUT<3>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<3>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y24.C2net91.945nb_mem_cont/oe_ch_vect<0>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X22Y34.D5net241.701nb_mem_cont/mux_data/_n0046SLICE_X22Y34.DTilo0.205nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<3>nb_mem_cont/mux_data/Mmux_DATA_OUT241SLICE_X19Y23.A4net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT24SLICE_X19Y23.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT244nb_mem_cont/mux_data/Mmux_DATA_OUT248P35.Onet13.476nb_mem_cont/data_mux<3>P35.PADTioop2.381DATA_INOUT<3>DATA_INOUT_3_IOBUF/OBUFTDATA_INOUT<3>3.7009.73213.43227.572.53.341nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<3>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1613.321nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<3>16.667clk_mainDATA_INOUT<3>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<3>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y24.C3net110.712nb_mem_cont/oe_ch_vect<2>SLICE_X14Y24.CTilo0.205nb_mem_cont/oe_ch_vect<1>nb_mem_cont/mux_data/_n0046<4>1SLICE_X22Y34.D5net241.701nb_mem_cont/mux_data/_n0046SLICE_X22Y34.DTilo0.205nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<3>nb_mem_cont/mux_data/Mmux_DATA_OUT241SLICE_X19Y23.A4net11.440nb_mem_cont/mux_data/Mmux_DATA_OUT24SLICE_X19Y23.ATilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT244nb_mem_cont/mux_data/Mmux_DATA_OUT248P35.Onet13.476nb_mem_cont/data_mux<3>P35.PADTioop2.381DATA_INOUT<3>DATA_INOUT_3_IOBUF/OBUFTDATA_INOUT<3>3.7179.60413.32127.972.1Fastest Paths: COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<3> (P35.PAD), 339 paths +3.315nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<3>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.024nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<3>16.667clk_mainDATA_INOUT<3>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<3>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P35.Tnet161.557nb_mem_cont/io_sel/OEbar_invP35.PADTiotp1.396DATA_INOUT<3>DATA_INOUT_3_IOBUF/OBUFTDATA_INOUT<3>1.7522.2724.02443.556.53.308nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<3>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14.020nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<3>16.667clk_mainDATA_INOUT<3>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<3>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P35.Tnet161.557nb_mem_cont/io_sel/OEbar_invP35.PADTiotp1.396DATA_INOUT<3>DATA_INOUT_3_IOBUF/OBUFTDATA_INOUT<3>1.7862.2344.02044.455.63.210nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<3>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd273.851nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<3>16.667clk_mainDATA_INOUT<3>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<3>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P35.Tnet161.557nb_mem_cont/io_sel/OEbar_invP35.PADTiotp1.396DATA_INOUT<3>DATA_INOUT_3_IOBUF/OBUFTDATA_INOUT<3>1.7902.0613.85146.553.5COMP "DATA_INOUT<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.645Paths for end point interfaz_spi/controlador_spi_principal/word_int_2 (SLICE_X8Y5.D4), 1 path +12.022DATA_INOUT<2>interfaz_spi/controlador_spi_principal/word_int_2-0.408clk_maininterfaz_spi/controlador_spi_principal/word_int<2>16.667DATA_INOUT<2>clk_main0.317DATA_INOUT<2>interfaz_spi/controlador_spi_principal/word_int_22P34.PADP34.ITiopi1.310DATA_INOUT<2>DATA_INOUT<2>DATA_INOUT_2_IOBUF/IBUFProtoComp55.IMUX.4SLICE_X8Y5.D4net12.321N55SLICE_X8Y5.CLKTas0.289interfaz_spi/controlador_spi_principal/word_int<2>interfaz_spi/data_mux<2>1interfaz_spi/controlador_spi_principal/word_int_21.5992.3213.920clk_u_proc_BUFG40.859.2clk_maininterfaz_spi/controlador_spi_principal/word_int_24P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y5.CLKnet2260.705clk_u_proc_BUFG-2.4562.048-0.408Hold Paths: COMP "DATA_INOUT<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_2 (SLICE_X8Y5.D4), 1 path +1.974DATA_INOUT<2>interfaz_spi/controlador_spi_principal/word_int_20.161clk_maininterfaz_spi/controlador_spi_principal/word_int<2>0.000DATA_INOUT<2>clk_main0.317DATA_INOUT<2>interfaz_spi/controlador_spi_principal/word_int_22P34.PADP34.ITiopi0.763DATA_INOUT<2>DATA_INOUT<2>DATA_INOUT_2_IOBUF/IBUFProtoComp55.IMUX.4SLICE_X8Y5.D4net11.492N55SLICE_X8Y5.CLKTah0.197interfaz_spi/controlador_spi_principal/word_int<2>interfaz_spi/data_mux<2>1interfaz_spi/controlador_spi_principal/word_int_20.9601.4922.452clk_u_proc_BUFG39.260.8clk_maininterfaz_spi/controlador_spi_principal/word_int_24P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y5.CLKnet2260.845clk_u_proc_BUFG-1.5821.7430.161COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";33900001013.384Paths for end point DATA_INOUT<2> (P34.PAD), 339 paths +3.283nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<2>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd313.386nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<2>16.667clk_mainDATA_INOUT<2>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<2>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X20Y31.D4net241.382nb_mem_cont/mux_data/_n0040SLICE_X20Y31.DTilo0.203nb_mem_cont/mux_data/Mmux_DATA_OUT215nb_mem_cont/mux_data/Mmux_DATA_OUT216SLICE_X18Y25.A1net11.340nb_mem_cont/mux_data/Mmux_DATA_OUT215SLICE_X18Y25.ATilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT211nb_mem_cont/mux_data/Mmux_DATA_OUT218P34.Onet13.623nb_mem_cont/data_mux<2>P34.PADTioop2.381DATA_INOUT<2>DATA_INOUT_2_IOBUF/OBUFTDATA_INOUT<2>3.7549.63213.38628.072.03.325nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<2>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3013.355nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<2>16.667clk_mainDATA_INOUT<2>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<2>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X20Y31.D4net241.382nb_mem_cont/mux_data/_n0040SLICE_X20Y31.DTilo0.203nb_mem_cont/mux_data/Mmux_DATA_OUT215nb_mem_cont/mux_data/Mmux_DATA_OUT216SLICE_X18Y25.A1net11.340nb_mem_cont/mux_data/Mmux_DATA_OUT215SLICE_X18Y25.ATilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT211nb_mem_cont/mux_data/Mmux_DATA_OUT218P34.Onet13.623nb_mem_cont/data_mux<2>P34.PADTioop2.381DATA_INOUT<2>DATA_INOUT_2_IOBUF/OBUFTDATA_INOUT<2>3.6909.66513.35527.672.43.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<2>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1613.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<2>16.667clk_mainDATA_INOUT<2>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<2>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X20Y31.D4net241.382nb_mem_cont/mux_data/_n0040SLICE_X20Y31.DTilo0.203nb_mem_cont/mux_data/Mmux_DATA_OUT215nb_mem_cont/mux_data/Mmux_DATA_OUT216SLICE_X18Y25.A1net11.340nb_mem_cont/mux_data/Mmux_DATA_OUT215SLICE_X18Y25.ATilo0.205nb_mem_cont/mux_data/Mmux_DATA_OUT211nb_mem_cont/mux_data/Mmux_DATA_OUT218P34.Onet13.623nb_mem_cont/data_mux<2>P34.PADTioop2.381DATA_INOUT<2>DATA_INOUT_2_IOBUF/OBUFTDATA_INOUT<2>3.7079.62413.33127.872.2Fastest Paths: COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<2> (P34.PAD), 339 paths +3.315nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<2>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.024nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<2>16.667clk_mainDATA_INOUT<2>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<2>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P34.Tnet161.557nb_mem_cont/io_sel/OEbar_invP34.PADTiotp1.396DATA_INOUT<2>DATA_INOUT_2_IOBUF/OBUFTDATA_INOUT<2>1.7522.2724.02443.556.53.308nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<2>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14.020nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<2>16.667clk_mainDATA_INOUT<2>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<2>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P34.Tnet161.557nb_mem_cont/io_sel/OEbar_invP34.PADTiotp1.396DATA_INOUT<2>DATA_INOUT_2_IOBUF/OBUFTDATA_INOUT<2>1.7862.2344.02044.455.63.210nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<2>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd273.851nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<2>16.667clk_mainDATA_INOUT<2>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<2>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P34.Tnet161.557nb_mem_cont/io_sel/OEbar_invP34.PADTiotp1.396DATA_INOUT<2>DATA_INOUT_2_IOBUF/OBUFTDATA_INOUT<2>1.7902.0613.85146.553.5COMP "DATA_INOUT<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.790Paths for end point interfaz_spi/controlador_spi_principal/word_int_1 (SLICE_X8Y5.C4), 1 path +11.877DATA_INOUT<1>interfaz_spi/controlador_spi_principal/word_int_1-0.408clk_maininterfaz_spi/controlador_spi_principal/word_int<2>16.667DATA_INOUT<1>clk_main0.317DATA_INOUT<1>interfaz_spi/controlador_spi_principal/word_int_12P33.PADP33.ITiopi1.310DATA_INOUT<1>DATA_INOUT<1>DATA_INOUT_1_IOBUF/IBUFProtoComp55.IMUX.3SLICE_X8Y5.C4net12.466N56SLICE_X8Y5.CLKTas0.289interfaz_spi/controlador_spi_principal/word_int<2>interfaz_spi/data_mux<1>1interfaz_spi/controlador_spi_principal/word_int_11.5992.4664.065clk_u_proc_BUFG39.360.7clk_maininterfaz_spi/controlador_spi_principal/word_int_14P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y5.CLKnet2260.705clk_u_proc_BUFG-2.4562.048-0.408Hold Paths: COMP "DATA_INOUT<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_1 (SLICE_X8Y5.C4), 1 path +2.068DATA_INOUT<1>interfaz_spi/controlador_spi_principal/word_int_10.161clk_maininterfaz_spi/controlador_spi_principal/word_int<2>0.000DATA_INOUT<1>clk_main0.317DATA_INOUT<1>interfaz_spi/controlador_spi_principal/word_int_12P33.PADP33.ITiopi0.763DATA_INOUT<1>DATA_INOUT<1>DATA_INOUT_1_IOBUF/IBUFProtoComp55.IMUX.3SLICE_X8Y5.C4net11.586N56SLICE_X8Y5.CLKTah0.197interfaz_spi/controlador_spi_principal/word_int<2>interfaz_spi/data_mux<1>1interfaz_spi/controlador_spi_principal/word_int_10.9601.5862.546clk_u_proc_BUFG37.762.3clk_maininterfaz_spi/controlador_spi_principal/word_int_14P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y5.CLKnet2260.845clk_u_proc_BUFG-1.5821.7430.161COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";33900001013.456Paths for end point DATA_INOUT<1> (P33.PAD), 339 paths +3.211nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9DATA_INOUT<1>-0.311clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd613.450nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd6DATA_INOUT<1>16.667clk_mainDATA_INOUT<1>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd94P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X3Y16.CLKnet2261.126clk_u_proc_BUFG-3.0882.777-0.311nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9DATA_INOUT<1>5SLICE_X3Y16.CLKclk_u_proc_BUFGSLICE_X3Y16.CMUXTshcko0.461nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd6nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9SLICE_X5Y18.B6net50.902nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd9SLICE_X5Y18.BTilo0.259nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>11nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>2SLICE_X11Y25.D3net21.207nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>11SLICE_X11Y25.DTilo0.259nb_mem_cont/hb_lbbarnb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>3SLICE_X15Y42.D1net562.343nb_mem_cont/hb_lbbarSLICE_X15Y42.DMUXTilo0.313nb_mem_cont/nibble_pack_ch4/Mmux_resul_mem110nb_mem_cont/mux_data/Mmux_DATA_OUT187SLICE_X19Y21.A3net11.822nb_mem_cont/mux_data/Mmux_DATA_OUT186SLICE_X19Y21.ATilo0.259nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<19>nb_mem_cont/mux_data/Mmux_DATA_OUT188P33.Onet13.244nb_mem_cont/data_mux<1>P33.PADTioop2.381DATA_INOUT<1>DATA_INOUT_1_IOBUF/OBUFTDATA_INOUT<1>3.9329.51813.45029.270.83.244nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19DATA_INOUT<1>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1613.418nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<1>16.667clk_mainDATA_INOUT<1>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd194P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19DATA_INOUT<1>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19SLICE_X5Y18.B3net50.876nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd19SLICE_X5Y18.BTilo0.259nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>11nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>2SLICE_X11Y25.D3net21.207nb_mem_cont/ram_control/ram_contr_cur_state__n0327<10>11SLICE_X11Y25.DTilo0.259nb_mem_cont/hb_lbbarnb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>3SLICE_X15Y42.D1net562.343nb_mem_cont/hb_lbbarSLICE_X15Y42.DMUXTilo0.313nb_mem_cont/nibble_pack_ch4/Mmux_resul_mem110nb_mem_cont/mux_data/Mmux_DATA_OUT187SLICE_X19Y21.A3net11.822nb_mem_cont/mux_data/Mmux_DATA_OUT186SLICE_X19Y21.ATilo0.259nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<19>nb_mem_cont/mux_data/Mmux_DATA_OUT188P33.Onet13.244nb_mem_cont/data_mux<1>P33.PADTioop2.381DATA_INOUT<1>DATA_INOUT_1_IOBUF/OBUFTDATA_INOUT<1>3.9269.49213.41829.370.73.250nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37DATA_INOUT<1>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3413.419nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34DATA_INOUT<1>16.667clk_mainDATA_INOUT<1>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd374P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y16.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37DATA_INOUT<1>5SLICE_X6Y16.CLKclk_u_proc_BUFGSLICE_X6Y16.CMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37SLICE_X8Y24.B2net51.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37SLICE_X8Y24.BTilo0.203nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>1SLICE_X11Y25.D2net10.636nb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>SLICE_X11Y25.DTilo0.259nb_mem_cont/hb_lbbarnb_mem_cont/ram_control/ram_contr_cur_state__n0467<8>3SLICE_X15Y42.D1net562.343nb_mem_cont/hb_lbbarSLICE_X15Y42.DMUXTilo0.313nb_mem_cont/nibble_pack_ch4/Mmux_resul_mem110nb_mem_cont/mux_data/Mmux_DATA_OUT187SLICE_X19Y21.A3net11.822nb_mem_cont/mux_data/Mmux_DATA_OUT186SLICE_X19Y21.ATilo0.259nb_mem_cont/nibble_pack_ch5/resul_mem_internal_aux<19>nb_mem_cont/mux_data/Mmux_DATA_OUT188P33.Onet13.244nb_mem_cont/data_mux<1>P33.PADTioop2.381DATA_INOUT<1>DATA_INOUT_1_IOBUF/OBUFTDATA_INOUT<1>3.8709.54913.41928.871.2Fastest Paths: COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<1> (P33.PAD), 339 paths +3.293nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<1>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.002nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<1>16.667clk_mainDATA_INOUT<1>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<1>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P33.Tnet161.535nb_mem_cont/io_sel/OEbar_invP33.PADTiotp1.396DATA_INOUT<1>DATA_INOUT_1_IOBUF/OBUFTDATA_INOUT<1>1.7522.2504.00243.856.23.286nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<1>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13.998nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<1>16.667clk_mainDATA_INOUT<1>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<1>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P33.Tnet161.535nb_mem_cont/io_sel/OEbar_invP33.PADTiotp1.396DATA_INOUT<1>DATA_INOUT_1_IOBUF/OBUFTDATA_INOUT<1>1.7862.2123.99844.755.33.188nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<1>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd273.829nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<1>16.667clk_mainDATA_INOUT<1>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<1>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P33.Tnet161.535nb_mem_cont/io_sel/OEbar_invP33.PADTiotp1.396DATA_INOUT<1>DATA_INOUT_1_IOBUF/OBUFTDATA_INOUT<1>1.7902.0393.82946.753.3COMP "DATA_INOUT<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.440Paths for end point interfaz_spi/controlador_spi_principal/word_int_0 (SLICE_X8Y5.A5), 1 path +12.227DATA_INOUT<0>interfaz_spi/controlador_spi_principal/word_int_0-0.408clk_maininterfaz_spi/controlador_spi_principal/word_int<2>16.667DATA_INOUT<0>clk_main0.317DATA_INOUT<0>interfaz_spi/controlador_spi_principal/word_int_02P32.PADP32.ITiopi1.310DATA_INOUT<0>DATA_INOUT<0>DATA_INOUT_0_IOBUF/IBUFProtoComp55.IMUX.2SLICE_X8Y5.A5net12.116N57SLICE_X8Y5.CLKTas0.289interfaz_spi/controlador_spi_principal/word_int<2>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi11interfaz_spi/controlador_spi_principal/word_int_01.5992.1163.715clk_u_proc_BUFG43.057.0clk_maininterfaz_spi/controlador_spi_principal/word_int_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y5.CLKnet2260.705clk_u_proc_BUFG-2.4562.048-0.408Hold Paths: COMP "DATA_INOUT<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_principal/word_int_0 (SLICE_X8Y5.A5), 1 path +1.842DATA_INOUT<0>interfaz_spi/controlador_spi_principal/word_int_00.161clk_maininterfaz_spi/controlador_spi_principal/word_int<2>0.000DATA_INOUT<0>clk_main0.317DATA_INOUT<0>interfaz_spi/controlador_spi_principal/word_int_02P32.PADP32.ITiopi0.763DATA_INOUT<0>DATA_INOUT<0>DATA_INOUT_0_IOBUF/IBUFProtoComp55.IMUX.2SLICE_X8Y5.A5net11.360N57SLICE_X8Y5.CLKTah0.197interfaz_spi/controlador_spi_principal/word_int<2>interfaz_spi/multiplexor_ram_header/Mmux_data_tospi11interfaz_spi/controlador_spi_principal/word_int_00.9601.3602.320clk_u_proc_BUFG41.458.6clk_maininterfaz_spi/controlador_spi_principal/word_int_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y5.CLKnet2260.845clk_u_proc_BUFG-1.5821.7430.161COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";33900001013.530Paths for end point DATA_INOUT<0> (P32.PAD), 339 paths +3.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<0>-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd313.532nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<0>16.667clk_mainDATA_INOUT<0>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd314P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31DATA_INOUT<0>5SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.D2net51.137nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd31SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X23Y35.A5net241.663nb_mem_cont/mux_data/_n0040SLICE_X23Y35.ATilo0.259nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<23>nb_mem_cont/mux_data/Mmux_DATA_OUT36SLICE_X15Y24.B6net11.300nb_mem_cont/mux_data/Mmux_DATA_OUT37SLICE_X15Y24.BTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT35nb_mem_cont/mux_data/Mmux_DATA_OUT39P32.Onet13.418nb_mem_cont/data_mux<0>P32.PADTioop2.381DATA_INOUT<0>DATA_INOUT_0_IOBUF/OBUFTDATA_INOUT<0>3.8649.66813.53228.671.43.179nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<0>-0.330clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3013.501nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<0>16.667clk_mainDATA_INOUT<0>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd304P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X11Y18.CLKnet2261.107clk_u_proc_BUFG-3.0882.758-0.330nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30DATA_INOUT<0>5SLICE_X11Y18.CLKclk_u_proc_BUFGSLICE_X11Y18.CQTcko0.391nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.D4net141.170nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd30SLICE_X5Y14.DTilo0.259nb_mem_cont/oe_ch_vect<0>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<3>1SLICE_X14Y25.D1net92.150nb_mem_cont/oe_ch_vect<0>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X23Y35.A5net241.663nb_mem_cont/mux_data/_n0040SLICE_X23Y35.ATilo0.259nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<23>nb_mem_cont/mux_data/Mmux_DATA_OUT36SLICE_X15Y24.B6net11.300nb_mem_cont/mux_data/Mmux_DATA_OUT37SLICE_X15Y24.BTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT35nb_mem_cont/mux_data/Mmux_DATA_OUT39P32.Onet13.418nb_mem_cont/data_mux<0>P32.PADTioop2.381DATA_INOUT<0>DATA_INOUT_0_IOBUF/OBUFTDATA_INOUT<0>3.8009.70113.50128.171.93.185nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<0>-0.312clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1613.477nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<0>16.667clk_mainDATA_INOUT<0>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd164P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y17.CLKnet2261.125clk_u_proc_BUFG-3.0882.776-0.312nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16DATA_INOUT<0>5SLICE_X2Y17.CLKclk_u_proc_BUFGSLICE_X2Y17.CQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.B1net42.275nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd16SLICE_X13Y23.BTilo0.259nb_mem_cont/oe_ch_vect<2>nb_mem_cont/ram_control/ram_contr_cur_state__n0467<5>1SLICE_X14Y25.D2net111.004nb_mem_cont/oe_ch_vect<2>SLICE_X14Y25.DMUXTilo0.251nb_mem_cont/mux_data/_n0034nb_mem_cont/mux_data/_n0040<4>2SLICE_X23Y35.A5net241.663nb_mem_cont/mux_data/_n0040SLICE_X23Y35.ATilo0.259nb_mem_cont/nibble_pack_ch1/resul_mem_internal_aux<23>nb_mem_cont/mux_data/Mmux_DATA_OUT36SLICE_X15Y24.B6net11.300nb_mem_cont/mux_data/Mmux_DATA_OUT37SLICE_X15Y24.BTilo0.259nb_mem_cont/mux_data/Mmux_DATA_OUT35nb_mem_cont/mux_data/Mmux_DATA_OUT39P32.Onet13.418nb_mem_cont/data_mux<0>P32.PADTioop2.381DATA_INOUT<0>DATA_INOUT_0_IOBUF/OBUFTDATA_INOUT<0>3.8179.66013.47728.371.7Fastest Paths: COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point DATA_INOUT<0> (P32.PAD), 339 paths +3.293nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<0>-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.002nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<0>16.667clk_mainDATA_INOUT<0>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3DATA_INOUT<0>2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.A5net70.715nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P32.Tnet161.535nb_mem_cont/io_sel/OEbar_invP32.PADTiotp1.396DATA_INOUT<0>DATA_INOUT_0_IOBUF/OBUFTDATA_INOUT<0>1.7522.2504.00243.856.23.286nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<0>-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13.998nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<0>16.667clk_mainDATA_INOUT<0>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1DATA_INOUT<0>2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.A2net190.677nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P32.Tnet161.535nb_mem_cont/io_sel/OEbar_invP32.PADTiotp1.396DATA_INOUT<0>DATA_INOUT_0_IOBUF/OBUFTDATA_INOUT<0>1.7862.2123.99844.755.33.188nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<0>-0.324clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd273.829nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27DATA_INOUT<0>16.667clk_mainDATA_INOUT<0>0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2260.566clk_u_proc_BUFG-1.6981.374-0.324nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2DATA_INOUT<0>2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.A3net80.504nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X3Y20.ATilo0.156nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd21nb_mem_cont/io_sel/OEbar_inv1P32.Tnet161.535nb_mem_cont/io_sel/OEbar_invP32.PADTiotp1.396DATA_INOUT<0>DATA_INOUT_0_IOBUF/OBUFTDATA_INOUT<0>1.7902.0393.82946.753.3COMP "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000103.976Paths for end point i2c_comp/SDAoe (SLICE_X23Y26.D4), 1 path +12.691sda_rpii2c_comp/SDAoe-0.494clk_maini2c_comp/SDAoe16.667sda_rpiclk_main0.317sda_rpii2c_comp/SDAoe2P83.PADP83.ITiopi1.300sda_rpisda_rpisda_rpi_IOBUF/IBUFProtoComp55.IMUXSLICE_X23Y26.D4net11.543N59SLICE_X23Y26.CLKTas0.322i2c_comp/SDAoei2c_comp/SDAoe_rstpoti2c_comp/SDAoe1.6221.5433.165clk_u_proc_BUFG51.248.8clk_maini2c_comp/SDAoe4P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X23Y26.CLKnet2260.619clk_u_proc_BUFG-2.4561.962-0.494Hold Paths: COMP "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +Paths for end point i2c_comp/SDAoe (SLICE_X23Y26.D4), 1 path +1.530sda_rpii2c_comp/SDAoe0.075clk_maini2c_comp/SDAoe0.000sda_rpiclk_main0.317sda_rpii2c_comp/SDAoe2P83.PADP83.ITiopi0.754sda_rpisda_rpisda_rpi_IOBUF/IBUFProtoComp55.IMUXSLICE_X23Y26.D4net10.953N59SLICE_X23Y26.CLKTah0.215i2c_comp/SDAoei2c_comp/SDAoe_rstpoti2c_comp/SDAoe0.9690.9531.922clk_u_proc_BUFG50.449.6clk_maini2c_comp/SDAoe4P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X23Y26.CLKnet2260.759clk_u_proc_BUFG-1.5821.6570.075COMP "sda_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";100001013.152Paths for end point sda_rpi (P83.PAD), 1 path +3.515i2c_comp/SDAoesda_rpi-0.359clk_maini2c_comp/SDAoe13.194i2c_comp/SDAoesda_rpi16.667clk_mainsda_rpi0.317clk_maini2c_comp/SDAoe4P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X23Y26.CLKnet2261.078clk_u_proc_BUFG-3.0882.729-0.359i2c_comp/SDAoesda_rpi1SLICE_X23Y26.CLKclk_u_proc_BUFGSLICE_X23Y26.DQTcko0.391i2c_comp/SDAoei2c_comp/SDAoeP83.Tnet21.252i2c_comp/SDAoeP83.PADTiotp11.551sda_rpisda_rpi_IOBUF/OBUFTsda_rpi11.9421.25213.19490.59.5Fastest Paths: COMP "sda_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point sda_rpi (P83.PAD), 1 path +10.248i2c_comp/SDAoesda_rpi-0.432clk_maini2c_comp/SDAoe10.997i2c_comp/SDAoesda_rpi16.667clk_mainsda_rpi0.317clk_maini2c_comp/SDAoe4P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X23Y26.CLKnet2260.458clk_u_proc_BUFG-1.6981.266-0.432i2c_comp/SDAoesda_rpi1SLICE_X23Y26.CLKclk_u_proc_BUFGSLICE_X23Y26.DQTcko0.198i2c_comp/SDAoei2c_comp/SDAoeP83.Tnet20.768i2c_comp/SDAoeP83.PADTiotp10.031sda_rpisda_rpi_IOBUF/OBUFTsda_rpi10.2290.76810.99793.07.0COMP "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.954Paths for end point i2c_comp/flanco_SCL_0 (SLICE_X22Y8.AX), 1 path +11.713scl_rpii2c_comp/flanco_SCL_0-0.436clk_maini2c_comp/flanco_SCL<1>16.667scl_rpiclk_main0.317scl_rpii2c_comp/flanco_SCL_01P85.PADP85.ITiopi1.300scl_rpiscl_rpiscl_rpi_IOBUF/IBUFProtoComp55.IMUX.1SLICE_X22Y8.AXnet12.765N58SLICE_X22Y8.CLKTdick0.136i2c_comp/flanco_SCL<1>i2c_comp/flanco_SCL_01.4362.7654.201clk_u_proc_BUFG34.265.8clk_maini2c_comp/flanco_SCL_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y8.CLKnet2260.677clk_u_proc_BUFG-2.4562.020-0.436Hold Paths: COMP "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +Paths for end point i2c_comp/flanco_SCL_0 (SLICE_X22Y8.AX), 1 path +2.053scl_rpii2c_comp/flanco_SCL_00.133clk_maini2c_comp/flanco_SCL<1>0.000scl_rpiclk_main0.317scl_rpii2c_comp/flanco_SCL_01P85.PADP85.ITiopi0.754scl_rpiscl_rpiscl_rpi_IOBUF/IBUFProtoComp55.IMUX.1SLICE_X22Y8.AXnet11.701N58SLICE_X22Y8.CLKTckdi0.048i2c_comp/flanco_SCL<1>i2c_comp/flanco_SCL_00.8021.7012.503clk_u_proc_BUFG32.068.0clk_maini2c_comp/flanco_SCL_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y8.CLKnet2260.817clk_u_proc_BUFG-1.5821.7150.133COMP "scl_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";100001013.744Paths for end point scl_rpi (P85.PAD), 1 path +2.923i2c_comp/SCLoescl_rpi-0.361clk_maini2c_comp/SCLoe13.788i2c_comp/SCLoescl_rpi16.667clk_mainscl_rpi0.317clk_maini2c_comp/SCLoe4P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X23Y22.CLKnet2261.076clk_u_proc_BUFG-3.0882.727-0.361i2c_comp/SCLoescl_rpi1SLICE_X23Y22.CLKclk_u_proc_BUFGSLICE_X23Y22.AQTcko0.391i2c_comp/SCLoei2c_comp/SCLoeP85.Tnet21.846i2c_comp/SCLoeP85.PADTiotp11.551scl_rpiscl_rpi_IOBUF/OBUFTscl_rpi11.9421.84613.78886.613.4Fastest Paths: COMP "scl_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point scl_rpi (P85.PAD), 1 path +10.608i2c_comp/SCLoescl_rpi-0.434clk_maini2c_comp/SCLoe11.359i2c_comp/SCLoescl_rpi16.667clk_mainscl_rpi0.317clk_maini2c_comp/SCLoe4P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X23Y22.CLKnet2260.456clk_u_proc_BUFG-1.6981.264-0.434i2c_comp/SCLoescl_rpi1SLICE_X23Y22.CLKclk_u_proc_BUFGSLICE_X23Y22.AQTcko0.198i2c_comp/SCLoei2c_comp/SCLoeP85.Tnet21.130i2c_comp/SCLoeP85.PADTiotp10.031scl_rpiscl_rpi_IOBUF/OBUFTscl_rpi10.2291.13011.35990.19.9COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.505Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 (SLICE_X22Y52.B3), 1 path +12.162chn_bits_acq_ch1<3>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0-0.429clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>16.667chn_bits_acq_ch1<3>clk_main0.317chn_bits_acq_ch1<3>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_02P116.PADP116.ITiopi1.310chn_bits_acq_ch1<3>chn_bits_acq_ch1<3>chn_bits_acq_ch1_3_IBUFProtoComp52.IMUX.7SLICE_X22Y52.B3net12.236chn_bits_acq_ch1_3_IBUFSLICE_X22Y52.CLKTas0.213nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_01.5232.2363.759clk_u_proc_BUFG40.559.5clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y52.CLKnet2260.684clk_u_proc_BUFG-2.4562.027-0.429Hold Paths: COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0 (SLICE_X22Y52.B3), 1 path +1.822chn_bits_acq_ch1<3>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_00.140clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>0.000chn_bits_acq_ch1<3>clk_main0.317chn_bits_acq_ch1<3>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_02P116.PADP116.ITiopi0.763chn_bits_acq_ch1<3>chn_bits_acq_ch1<3>chn_bits_acq_ch1_3_IBUFProtoComp52.IMUX.7SLICE_X22Y52.B3net11.395chn_bits_acq_ch1_3_IBUFSLICE_X22Y52.CLKTah0.121nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_00.8841.3952.279clk_u_proc_BUFG38.861.2clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit3_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y52.CLKnet2260.824clk_u_proc_BUFG-1.5821.7220.140COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.386Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 (SLICE_X22Y52.A5), 1 path +12.281chn_bits_acq_ch1<2>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0-0.429clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>16.667chn_bits_acq_ch1<2>clk_main0.317chn_bits_acq_ch1<2>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_02P115.PADP115.ITiopi1.310chn_bits_acq_ch1<2>chn_bits_acq_ch1<2>chn_bits_acq_ch1_2_IBUFProtoComp52.IMUX.6SLICE_X22Y52.A5net12.117chn_bits_acq_ch1_2_IBUFSLICE_X22Y52.CLKTas0.213nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_01.5232.1173.640clk_u_proc_BUFG41.858.2clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y52.CLKnet2260.684clk_u_proc_BUFG-2.4562.027-0.429Hold Paths: COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0 (SLICE_X22Y52.A5), 1 path +1.744chn_bits_acq_ch1<2>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_00.140clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>0.000chn_bits_acq_ch1<2>clk_main0.317chn_bits_acq_ch1<2>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_02P115.PADP115.ITiopi0.763chn_bits_acq_ch1<2>chn_bits_acq_ch1<2>chn_bits_acq_ch1_2_IBUFProtoComp52.IMUX.6SLICE_X22Y52.A5net11.317chn_bits_acq_ch1_2_IBUFSLICE_X22Y52.CLKTah0.121nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_00.8841.3172.201clk_u_proc_BUFG40.259.8clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit2_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y52.CLKnet2260.824clk_u_proc_BUFG-1.5821.7220.140COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.578Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 (SLICE_X22Y52.D4), 1 path +12.089chn_bits_acq_ch1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0-0.429clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>16.667chn_bits_acq_ch1<1>clk_main0.317chn_bits_acq_ch1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_02P114.PADP114.ITiopi1.310chn_bits_acq_ch1<1>chn_bits_acq_ch1<1>chn_bits_acq_ch1_1_IBUFProtoComp52.IMUX.5SLICE_X22Y52.D4net12.309chn_bits_acq_ch1_1_IBUFSLICE_X22Y52.CLKTas0.213nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_01.5232.3093.832clk_u_proc_BUFG39.760.3clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y52.CLKnet2260.684clk_u_proc_BUFG-2.4562.027-0.429Hold Paths: COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0 (SLICE_X22Y52.D4), 1 path +1.907chn_bits_acq_ch1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_00.140clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>0.000chn_bits_acq_ch1<1>clk_main0.317chn_bits_acq_ch1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_02P114.PADP114.ITiopi0.763chn_bits_acq_ch1<1>chn_bits_acq_ch1<1>chn_bits_acq_ch1_1_IBUFProtoComp52.IMUX.5SLICE_X22Y52.D4net11.480chn_bits_acq_ch1_1_IBUFSLICE_X22Y52.CLKTah0.121nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_00.8841.4802.364clk_u_proc_BUFG37.462.6clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit1_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y52.CLKnet2260.824clk_u_proc_BUFG-1.5821.7220.140COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.341Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 (SLICE_X23Y52.A5), 1 path +12.326chn_bits_acq_ch1<0>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0-0.429clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0<1>16.667chn_bits_acq_ch1<0>clk_main0.317chn_bits_acq_ch1<0>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_02P112.PADP112.ITiopi1.310chn_bits_acq_ch1<0>chn_bits_acq_ch1<0>chn_bits_acq_ch1_0_IBUFProtoComp52.IMUX.4SLICE_X23Y52.A5net12.058chn_bits_acq_ch1_0_IBUFSLICE_X23Y52.CLKTas0.227nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_01.5372.0583.595clk_u_proc_BUFG42.857.2clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X23Y52.CLKnet2260.684clk_u_proc_BUFG-2.4562.027-0.429Hold Paths: COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0 (SLICE_X23Y52.A5), 1 path +1.731chn_bits_acq_ch1<0>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_00.140clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0<1>0.000chn_bits_acq_ch1<0>clk_main0.317chn_bits_acq_ch1<0>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_02P112.PADP112.ITiopi0.763chn_bits_acq_ch1<0>chn_bits_acq_ch1<0>chn_bits_acq_ch1_0_IBUFProtoComp52.IMUX.4SLICE_X23Y52.A5net11.270chn_bits_acq_ch1_0_IBUFSLICE_X23Y52.CLKTah0.155nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_00.9181.2702.188clk_u_proc_BUFG42.058.0clk_mainnb_mem_cont/nibble_pack_ch1/chn_bits_acq_bit0_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X23Y52.CLKnet2260.824clk_u_proc_BUFG-1.5821.7220.140COMP "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";10000104.465Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 (SLICE_X17Y55.D3), 1 path +795.535chn_bits_acq_ch2<3>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0-0.441clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3<1>800.000chn_bits_acq_ch2<3>clk_main0.317chn_bits_acq_ch2<3>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_02P121.PADP121.ITiopi1.310chn_bits_acq_ch2<3>chn_bits_acq_ch2<3>chn_bits_acq_ch2_3_IBUFProtoComp52.IMUX.11SLICE_X17Y55.D3net12.170chn_bits_acq_ch2_3_IBUFSLICE_X17Y55.CLKTas0.227nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_01.5372.1703.707clk_u_proc_BUFG41.558.5clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X17Y55.CLKnet2260.672clk_u_proc_BUFG-2.4562.015-0.441Hold Paths: COMP "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0 (SLICE_X17Y55.D3), 1 path +1.842chn_bits_acq_ch2<3>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_00.128clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3<1>0.000chn_bits_acq_ch2<3>clk_main0.317chn_bits_acq_ch2<3>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_02P121.PADP121.ITiopi0.763chn_bits_acq_ch2<3>chn_bits_acq_ch2<3>chn_bits_acq_ch2_3_IBUFProtoComp52.IMUX.11SLICE_X17Y55.D3net11.369chn_bits_acq_ch2_3_IBUFSLICE_X17Y55.CLKTah0.155nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_00.9181.3692.287clk_u_proc_BUFG40.159.9clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit3_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X17Y55.CLKnet2260.812clk_u_proc_BUFG-1.5821.7100.128COMP "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";10000104.911Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 (SLICE_X18Y44.D4), 1 path +795.089chn_bits_acq_ch2<2>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0-0.493clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2<1>800.000chn_bits_acq_ch2<2>clk_main0.317chn_bits_acq_ch2<2>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_02P120.PADP120.ITiopi1.310chn_bits_acq_ch2<2>chn_bits_acq_ch2<2>chn_bits_acq_ch2_2_IBUFProtoComp52.IMUX.10SLICE_X18Y44.D4net12.578chn_bits_acq_ch2_2_IBUFSLICE_X18Y44.CLKTas0.213nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_01.5232.5784.101clk_u_proc_BUFG37.162.9clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X18Y44.CLKnet2260.620clk_u_proc_BUFG-2.4561.963-0.493Hold Paths: COMP "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0 (SLICE_X18Y44.D4), 1 path +2.089chn_bits_acq_ch2<2>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_00.076clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2<1>0.000chn_bits_acq_ch2<2>clk_main0.317chn_bits_acq_ch2<2>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_02P120.PADP120.ITiopi0.763chn_bits_acq_ch2<2>chn_bits_acq_ch2<2>chn_bits_acq_ch2_2_IBUFProtoComp52.IMUX.10SLICE_X18Y44.D4net11.598chn_bits_acq_ch2_2_IBUFSLICE_X18Y44.CLKTah0.121nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_00.8841.5982.482clk_u_proc_BUFG35.664.4clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit2_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X18Y44.CLKnet2260.760clk_u_proc_BUFG-1.5821.6580.076COMP "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";10000104.579Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 (SLICE_X18Y55.A2), 1 path +795.421chn_bits_acq_ch2<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0-0.441clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1<1>800.000chn_bits_acq_ch2<1>clk_main0.317chn_bits_acq_ch2<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_02P119.PADP119.ITiopi1.310chn_bits_acq_ch2<1>chn_bits_acq_ch2<1>chn_bits_acq_ch2_1_IBUFProtoComp52.IMUX.9SLICE_X18Y55.A2net12.298chn_bits_acq_ch2_1_IBUFSLICE_X18Y55.CLKTas0.213nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_01.5232.2983.821clk_u_proc_BUFG39.960.1clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X18Y55.CLKnet2260.672clk_u_proc_BUFG-2.4562.015-0.441Hold Paths: COMP "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0 (SLICE_X18Y55.A2), 1 path +1.962chn_bits_acq_ch2<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_00.128clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1<1>0.000chn_bits_acq_ch2<1>clk_main0.317chn_bits_acq_ch2<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_02P119.PADP119.ITiopi0.763chn_bits_acq_ch2<1>chn_bits_acq_ch2<1>chn_bits_acq_ch2_1_IBUFProtoComp52.IMUX.9SLICE_X18Y55.A2net11.523chn_bits_acq_ch2_1_IBUFSLICE_X18Y55.CLKTah0.121nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_00.8841.5232.407clk_u_proc_BUFG36.763.3clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit1_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X18Y55.CLKnet2260.812clk_u_proc_BUFG-1.5821.7100.128COMP "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";10000104.569Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 (SLICE_X18Y57.A2), 1 path +795.431chn_bits_acq_ch2<0>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0-0.439clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0<1>800.000chn_bits_acq_ch2<0>clk_main0.317chn_bits_acq_ch2<0>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_02P118.PADP118.ITiopi1.310chn_bits_acq_ch2<0>chn_bits_acq_ch2<0>chn_bits_acq_ch2_0_IBUFProtoComp52.IMUX.8SLICE_X18Y57.A2net12.290chn_bits_acq_ch2_0_IBUFSLICE_X18Y57.CLKTas0.213nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_01.5232.2903.813clk_u_proc_BUFG39.960.1clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X18Y57.CLKnet2260.674clk_u_proc_BUFG-2.4562.017-0.439Hold Paths: COMP "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0 (SLICE_X18Y57.A2), 1 path +1.984chn_bits_acq_ch2<0>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_00.130clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0<1>0.000chn_bits_acq_ch2<0>clk_main0.317chn_bits_acq_ch2<0>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_02P118.PADP118.ITiopi0.763chn_bits_acq_ch2<0>chn_bits_acq_ch2<0>chn_bits_acq_ch2_0_IBUFProtoComp52.IMUX.8SLICE_X18Y57.A2net11.547chn_bits_acq_ch2_0_IBUFSLICE_X18Y57.CLKTah0.121nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_00.8841.5472.431clk_u_proc_BUFG36.463.6clk_mainnb_mem_cont/nibble_pack_ch2/chn_bits_acq_bit0_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X18Y57.CLKnet2260.814clk_u_proc_BUFG-1.5821.7120.130COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.085Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 (SLICE_X13Y46.D4), 1 path +11.582chn_bits_acq_ch3<3>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0-0.493clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3<1>16.667chn_bits_acq_ch3<3>clk_main0.317chn_bits_acq_ch3<3>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_02P131.PADP131.ITiopi1.310chn_bits_acq_ch3<3>chn_bits_acq_ch3<3>chn_bits_acq_ch3_3_IBUFProtoComp52.IMUX.15SLICE_X13Y46.D4net12.738chn_bits_acq_ch3_3_IBUFSLICE_X13Y46.CLKTas0.227nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_01.5372.7384.275clk_u_proc_BUFG36.064.0clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X13Y46.CLKnet2260.620clk_u_proc_BUFG-2.4561.963-0.493Hold Paths: COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0 (SLICE_X13Y46.D4), 1 path +2.309chn_bits_acq_ch3<3>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_00.076clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3<1>0.000chn_bits_acq_ch3<3>clk_main0.317chn_bits_acq_ch3<3>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_02P131.PADP131.ITiopi0.763chn_bits_acq_ch3<3>chn_bits_acq_ch3<3>chn_bits_acq_ch3_3_IBUFProtoComp52.IMUX.15SLICE_X13Y46.D4net11.784chn_bits_acq_ch3_3_IBUFSLICE_X13Y46.CLKTah0.155nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_00.9181.7842.702clk_u_proc_BUFG34.066.0clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit3_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X13Y46.CLKnet2260.760clk_u_proc_BUFG-1.5821.6580.076COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.509Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 (SLICE_X15Y39.D4), 1 path +11.158chn_bits_acq_ch3<2>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0-0.504clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>16.667chn_bits_acq_ch3<2>clk_main0.317chn_bits_acq_ch3<2>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_02P127.PADP127.ITiopi1.310chn_bits_acq_ch3<2>chn_bits_acq_ch3<2>chn_bits_acq_ch3_2_IBUFProtoComp52.IMUX.14SLICE_X15Y39.D4net13.151chn_bits_acq_ch3_2_IBUFSLICE_X15Y39.CLKTas0.227nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_01.5373.1514.688clk_u_proc_BUFG32.867.2clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y39.CLKnet2260.609clk_u_proc_BUFG-2.4561.952-0.504Hold Paths: COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0 (SLICE_X15Y39.D4), 1 path +2.506chn_bits_acq_ch3<2>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_00.065clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>0.000chn_bits_acq_ch3<2>clk_main0.317chn_bits_acq_ch3<2>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_02P127.PADP127.ITiopi0.763chn_bits_acq_ch3<2>chn_bits_acq_ch3<2>chn_bits_acq_ch3_2_IBUFProtoComp52.IMUX.14SLICE_X15Y39.D4net11.970chn_bits_acq_ch3_2_IBUFSLICE_X15Y39.CLKTah0.155nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_00.9181.9702.888clk_u_proc_BUFG31.868.2clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit2_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y39.CLKnet2260.749clk_u_proc_BUFG-1.5821.6470.065COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.807Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 (SLICE_X15Y48.A5), 1 path +11.860chn_bits_acq_ch3<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0-0.429clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1<1>16.667chn_bits_acq_ch3<1>clk_main0.317chn_bits_acq_ch3<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_02P126.PADP126.ITiopi1.310chn_bits_acq_ch3<1>chn_bits_acq_ch3<1>chn_bits_acq_ch3_1_IBUFProtoComp52.IMUX.13SLICE_X15Y48.A5net12.524chn_bits_acq_ch3_1_IBUFSLICE_X15Y48.CLKTas0.227nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_01.5372.5244.061clk_u_proc_BUFG37.862.2clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y48.CLKnet2260.684clk_u_proc_BUFG-2.4562.027-0.429Hold Paths: COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0 (SLICE_X15Y48.A5), 1 path +2.066chn_bits_acq_ch3<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_00.140clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1<1>0.000chn_bits_acq_ch3<1>clk_main0.317chn_bits_acq_ch3<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_02P126.PADP126.ITiopi0.763chn_bits_acq_ch3<1>chn_bits_acq_ch3<1>chn_bits_acq_ch3_1_IBUFProtoComp52.IMUX.13SLICE_X15Y48.A5net11.605chn_bits_acq_ch3_1_IBUFSLICE_X15Y48.CLKTah0.155nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_00.9181.6052.523clk_u_proc_BUFG36.463.6clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit1_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y48.CLKnet2260.824clk_u_proc_BUFG-1.5821.7220.140COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.465Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 (SLICE_X15Y50.D4), 1 path +12.202chn_bits_acq_ch3<0>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0-0.431clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0<1>16.667chn_bits_acq_ch3<0>clk_main0.317chn_bits_acq_ch3<0>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_02P124.PADP124.ITiopi1.310chn_bits_acq_ch3<0>chn_bits_acq_ch3<0>chn_bits_acq_ch3_0_IBUFProtoComp52.IMUX.12SLICE_X15Y50.D4net12.180chn_bits_acq_ch3_0_IBUFSLICE_X15Y50.CLKTas0.227nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_01.5372.1803.717clk_u_proc_BUFG41.458.6clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y50.CLKnet2260.682clk_u_proc_BUFG-2.4562.025-0.431Hold Paths: COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0 (SLICE_X15Y50.D4), 1 path +1.818chn_bits_acq_ch3<0>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_00.138clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0<1>0.000chn_bits_acq_ch3<0>clk_main0.317chn_bits_acq_ch3<0>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_02P124.PADP124.ITiopi0.763chn_bits_acq_ch3<0>chn_bits_acq_ch3<0>chn_bits_acq_ch3_0_IBUFProtoComp52.IMUX.12SLICE_X15Y50.D4net11.355chn_bits_acq_ch3_0_IBUFSLICE_X15Y50.CLKTah0.155nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_00.9181.3552.273clk_u_proc_BUFG40.459.6clk_mainnb_mem_cont/nibble_pack_ch3/chn_bits_acq_bit0_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y50.CLKnet2260.822clk_u_proc_BUFG-1.5821.7200.138COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.954Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 (SLICE_X7Y51.A3), 1 path +11.713chn_bits_acq_ch4<3>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0-0.396clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3<1>16.667chn_bits_acq_ch4<3>clk_main0.317chn_bits_acq_ch4<3>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_02P138.PADP138.ITiopi1.310chn_bits_acq_ch4<3>chn_bits_acq_ch4<3>chn_bits_acq_ch4_3_IBUFProtoComp52.IMUX.19SLICE_X7Y51.A3net12.704chn_bits_acq_ch4_3_IBUFSLICE_X7Y51.CLKTas0.227nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_01.5372.7044.241clk_u_proc_BUFG36.263.8clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X7Y51.CLKnet2260.717clk_u_proc_BUFG-2.4562.060-0.396Hold Paths: COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0 (SLICE_X7Y51.A3), 1 path +2.133chn_bits_acq_ch4<3>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_00.173clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3<1>0.000chn_bits_acq_ch4<3>clk_main0.317chn_bits_acq_ch4<3>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_02P138.PADP138.ITiopi0.763chn_bits_acq_ch4<3>chn_bits_acq_ch4<3>chn_bits_acq_ch4_3_IBUFProtoComp52.IMUX.19SLICE_X7Y51.A3net11.705chn_bits_acq_ch4_3_IBUFSLICE_X7Y51.CLKTah0.155nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_00.9181.7052.623clk_u_proc_BUFG35.065.0clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit3_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X7Y51.CLKnet2260.857clk_u_proc_BUFG-1.5821.7550.173COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.577Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 (SLICE_X5Y51.A5), 1 path +12.090chn_bits_acq_ch4<2>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0-0.396clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2<1>16.667chn_bits_acq_ch4<2>clk_main0.317chn_bits_acq_ch4<2>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_02P137.PADP137.ITiopi1.310chn_bits_acq_ch4<2>chn_bits_acq_ch4<2>chn_bits_acq_ch4_2_IBUFProtoComp52.IMUX.18SLICE_X5Y51.A5net12.327chn_bits_acq_ch4_2_IBUFSLICE_X5Y51.CLKTas0.227nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_01.5372.3273.864clk_u_proc_BUFG39.860.2clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X5Y51.CLKnet2260.717clk_u_proc_BUFG-2.4562.060-0.396Hold Paths: COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0 (SLICE_X5Y51.A5), 1 path +1.923chn_bits_acq_ch4<2>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_00.173clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2<1>0.000chn_bits_acq_ch4<2>clk_main0.317chn_bits_acq_ch4<2>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_02P137.PADP137.ITiopi0.763chn_bits_acq_ch4<2>chn_bits_acq_ch4<2>chn_bits_acq_ch4_2_IBUFProtoComp52.IMUX.18SLICE_X5Y51.A5net11.495chn_bits_acq_ch4_2_IBUFSLICE_X5Y51.CLKTah0.155nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_00.9181.4952.413clk_u_proc_BUFG38.062.0clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit2_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X5Y51.CLKnet2260.857clk_u_proc_BUFG-1.5821.7550.173COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.480Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 (SLICE_X8Y56.D3), 1 path +12.187chn_bits_acq_ch4<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0-0.413clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1<1>16.667chn_bits_acq_ch4<1>clk_main0.317chn_bits_acq_ch4<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_02P134.PADP134.ITiopi1.310chn_bits_acq_ch4<1>chn_bits_acq_ch4<1>chn_bits_acq_ch4_1_IBUFProtoComp52.IMUX.17SLICE_X8Y56.D3net12.286chn_bits_acq_ch4_1_IBUFSLICE_X8Y56.CLKTas0.154nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_01.4642.2863.750clk_u_proc_BUFG39.061.0clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y56.CLKnet2260.700clk_u_proc_BUFG-2.4562.043-0.413Hold Paths: COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0 (SLICE_X8Y56.D3), 1 path +1.888chn_bits_acq_ch4<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_00.156clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1<1>0.000chn_bits_acq_ch4<1>clk_main0.317chn_bits_acq_ch4<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_02P134.PADP134.ITiopi0.763chn_bits_acq_ch4<1>chn_bits_acq_ch4<1>chn_bits_acq_ch4_1_IBUFProtoComp52.IMUX.17SLICE_X8Y56.D3net11.467chn_bits_acq_ch4_1_IBUFSLICE_X8Y56.CLKTah0.131nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_00.8941.4672.361clk_u_proc_BUFG37.962.1clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit1_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y56.CLKnet2260.840clk_u_proc_BUFG-1.5821.7380.156COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.465Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 (SLICE_X9Y54.A4), 1 path +12.202chn_bits_acq_ch4<0>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0-0.411clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0<1>16.667chn_bits_acq_ch4<0>clk_main0.317chn_bits_acq_ch4<0>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_02P133.PADP133.ITiopi1.310chn_bits_acq_ch4<0>chn_bits_acq_ch4<0>chn_bits_acq_ch4_0_IBUFProtoComp52.IMUX.16SLICE_X9Y54.A4net12.200chn_bits_acq_ch4_0_IBUFSLICE_X9Y54.CLKTas0.227nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_01.5372.2003.737clk_u_proc_BUFG41.158.9clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y54.CLKnet2260.702clk_u_proc_BUFG-2.4562.045-0.411Hold Paths: COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0 (SLICE_X9Y54.A4), 1 path +1.843chn_bits_acq_ch4<0>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_00.158clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0<1>0.000chn_bits_acq_ch4<0>clk_main0.317chn_bits_acq_ch4<0>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_02P133.PADP133.ITiopi0.763chn_bits_acq_ch4<0>chn_bits_acq_ch4<0>chn_bits_acq_ch4_0_IBUFProtoComp52.IMUX.16SLICE_X9Y54.A4net11.400chn_bits_acq_ch4_0_IBUFSLICE_X9Y54.CLKTah0.155nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_00.9181.4002.318clk_u_proc_BUFG39.660.4clk_mainnb_mem_cont/nibble_pack_ch4/chn_bits_acq_bit0_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y54.CLKnet2260.842clk_u_proc_BUFG-1.5821.7400.158COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.088Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 (SLICE_X22Y4.D3), 1 path +12.579chn_bits_acq_ch5<3>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0-0.429clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3<1>16.667chn_bits_acq_ch5<3>clk_main0.317chn_bits_acq_ch5<3>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_02P62.PADP62.ITiopi1.310chn_bits_acq_ch5<3>chn_bits_acq_ch5<3>chn_bits_acq_ch5_3_IBUFProtoComp52.IMUX.23SLICE_X22Y4.D3net11.819chn_bits_acq_ch5_3_IBUFSLICE_X22Y4.CLKTas0.213nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_01.5231.8193.342clk_u_proc_BUFG45.654.4clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y4.CLKnet2260.684clk_u_proc_BUFG-2.4562.027-0.429Hold Paths: COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0 (SLICE_X22Y4.D3), 1 path +1.582chn_bits_acq_ch5<3>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_00.140clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3<1>0.000chn_bits_acq_ch5<3>clk_main0.317chn_bits_acq_ch5<3>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_02P62.PADP62.ITiopi0.763chn_bits_acq_ch5<3>chn_bits_acq_ch5<3>chn_bits_acq_ch5_3_IBUFProtoComp52.IMUX.23SLICE_X22Y4.D3net11.155chn_bits_acq_ch5_3_IBUFSLICE_X22Y4.CLKTah0.121nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_0_rstpotnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_00.8841.1552.039clk_u_proc_BUFG43.456.6clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit3_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y4.CLKnet2260.824clk_u_proc_BUFG-1.5821.7220.140COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.132Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 (SLICE_X19Y17.A1), 1 path +11.535chn_bits_acq_ch5<2>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0-0.490clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2<1>16.667chn_bits_acq_ch5<2>clk_main0.317chn_bits_acq_ch5<2>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_02P61.PADP61.ITiopi1.310chn_bits_acq_ch5<2>chn_bits_acq_ch5<2>chn_bits_acq_ch5_2_IBUFProtoComp52.IMUX.22SLICE_X19Y17.A1net12.788chn_bits_acq_ch5_2_IBUFSLICE_X19Y17.CLKTas0.227nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_01.5372.7884.325clk_u_proc_BUFG35.564.5clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X19Y17.CLKnet2260.623clk_u_proc_BUFG-2.4561.966-0.490Hold Paths: COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0 (SLICE_X19Y17.A1), 1 path +2.294chn_bits_acq_ch5<2>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_00.079clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2<1>0.000chn_bits_acq_ch5<2>clk_main0.317chn_bits_acq_ch5<2>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_02P61.PADP61.ITiopi0.763chn_bits_acq_ch5<2>chn_bits_acq_ch5<2>chn_bits_acq_ch5_2_IBUFProtoComp52.IMUX.22SLICE_X19Y17.A1net11.772chn_bits_acq_ch5_2_IBUFSLICE_X19Y17.CLKTah0.155nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_0_rstpotnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_00.9181.7722.690clk_u_proc_BUFG34.165.9clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit2_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X19Y17.CLKnet2260.763clk_u_proc_BUFG-1.5821.6610.079COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.238Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 (SLICE_X18Y7.D3), 1 path +12.429chn_bits_acq_ch5<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0-0.441clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1<1>16.667chn_bits_acq_ch5<1>clk_main0.317chn_bits_acq_ch5<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_02P59.PADP59.ITiopi1.310chn_bits_acq_ch5<1>chn_bits_acq_ch5<1>chn_bits_acq_ch5_1_IBUFProtoComp52.IMUX.21SLICE_X18Y7.D3net11.957chn_bits_acq_ch5_1_IBUFSLICE_X18Y7.CLKTas0.213nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_01.5231.9573.480clk_u_proc_BUFG43.856.2clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X18Y7.CLKnet2260.672clk_u_proc_BUFG-2.4562.015-0.441Hold Paths: COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0 (SLICE_X18Y7.D3), 1 path +1.687chn_bits_acq_ch5<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_00.128clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1<1>0.000chn_bits_acq_ch5<1>clk_main0.317chn_bits_acq_ch5<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_02P59.PADP59.ITiopi0.763chn_bits_acq_ch5<1>chn_bits_acq_ch5<1>chn_bits_acq_ch5_1_IBUFProtoComp52.IMUX.21SLICE_X18Y7.D3net11.248chn_bits_acq_ch5_1_IBUFSLICE_X18Y7.CLKTah0.121nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_0_rstpotnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_00.8841.2482.132clk_u_proc_BUFG41.558.5clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit1_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X18Y7.CLKnet2260.812clk_u_proc_BUFG-1.5821.7100.128COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.536Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 (SLICE_X22Y7.D2), 1 path +12.131chn_bits_acq_ch5<0>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0-0.436clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0<1>16.667chn_bits_acq_ch5<0>clk_main0.317chn_bits_acq_ch5<0>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_02P58.PADP58.ITiopi1.310chn_bits_acq_ch5<0>chn_bits_acq_ch5<0>chn_bits_acq_ch5_0_IBUFProtoComp52.IMUX.20SLICE_X22Y7.D2net12.260chn_bits_acq_ch5_0_IBUFSLICE_X22Y7.CLKTas0.213nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_01.5232.2603.783clk_u_proc_BUFG40.359.7clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y7.CLKnet2260.677clk_u_proc_BUFG-2.4562.020-0.436Hold Paths: COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0 (SLICE_X22Y7.D2), 1 path +1.894chn_bits_acq_ch5<0>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_00.133clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0<1>0.000chn_bits_acq_ch5<0>clk_main0.317chn_bits_acq_ch5<0>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_02P58.PADP58.ITiopi0.763chn_bits_acq_ch5<0>chn_bits_acq_ch5<0>chn_bits_acq_ch5_0_IBUFProtoComp52.IMUX.20SLICE_X22Y7.D2net11.460chn_bits_acq_ch5_0_IBUFSLICE_X22Y7.CLKTah0.121nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0<1>nb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_0_rstpotnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_00.8841.4602.344clk_u_proc_BUFG37.762.3clk_mainnb_mem_cont/nibble_pack_ch5/chn_bits_acq_bit0_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X22Y7.CLKnet2260.817clk_u_proc_BUFG-1.5821.7150.133COMP "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";50000506.085Paths for end point lock_rst_bar (SLICE_X14Y22.AX), 1 path +10.582locklock_rst_bar-0.502clk_mainlock_rst_bar16.667lockclk_main0.317locklock_rst_bar3P82.PADP82.ITiopi1.337locklocklock_IBUFProtoComp54.IINVProtoComp54.IMUXSLICE_X14Y27.D6net32.216lock_invSLICE_X14Y27.DTilo0.205lock_rst_bar_1PWR_5_o_reset_gen.cont_200MHz[15]_equal_1_o_inv3_SW0SLICE_X14Y27.C4net10.447N88SLICE_X14Y27.CTilo0.205lock_rst_bar_1lock_rst_bar_rstpotSLICE_X14Y22.AXnet10.720lock_rst_bar_rstpotSLICE_X14Y22.CLKTdick0.136lock_rst_barlock_rst_bar1.8833.3835.266clk_u_proc_BUFG35.864.2clk_mainlock_rst_bar4P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y22.CLKnet2260.611clk_u_proc_BUFG-2.4561.954-0.502Paths for end point lock_rst_bar_1 (SLICE_X14Y27.C4), 1 path +11.307locklock_rst_bar_1-0.497clk_mainlock_rst_bar_116.667lockclk_main0.317locklock_rst_bar_13P82.PADP82.ITiopi1.337locklocklock_IBUFProtoComp54.IINVProtoComp54.IMUXSLICE_X14Y27.D6net32.216lock_invSLICE_X14Y27.DTilo0.205lock_rst_bar_1PWR_5_o_reset_gen.cont_200MHz[15]_equal_1_o_inv3_SW0SLICE_X14Y27.C4net10.447N88SLICE_X14Y27.CLKTas0.341lock_rst_bar_1lock_rst_bar_rstpotlock_rst_bar_11.8832.6634.546clk_u_proc_BUFG41.458.6clk_mainlock_rst_bar_14P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y27.CLKnet2260.616clk_u_proc_BUFG-2.4561.959-0.497Paths for end point pps_rst (SLICE_X12Y26.SR), 1 path +11.817lockpps_rst-0.500clk_mainpps_rst16.667lockclk_main0.317lockpps_rst1P82.PADP82.ITiopi1.337locklocklock_IBUFProtoComp54.IINVProtoComp54.IMUXSLICE_X12Y26.SRnet32.257lock_invSLICE_X12Y26.CLKTsrck0.439pps_rstpps_rst1.7762.2574.033clk_u_proc_BUFG44.056.0clk_mainpps_rst4P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X12Y26.CLKnet2260.613clk_u_proc_BUFG-2.4561.956-0.500Hold Paths: COMP "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +Paths for end point pps_r_0 (SLICE_X14Y26.SR), 1 path +1.602lockpps_r_00.070clk_mainpps_r<1>0.000lockclk_main0.317lockpps_r_01P82.PADP82.ITiopi0.773locklocklock_IBUFProtoComp54.IINVProtoComp54.IMUXSLICE_X14Y26.SRnet31.191lock_invSLICE_X14Y26.CLKTcksr0.025pps_r<1>pps_r_00.7981.1911.989clk_u_proc_BUFG40.159.9clk_mainpps_r_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y26.CLKnet2260.754clk_u_proc_BUFG-1.5821.6520.070Paths for end point pps_r_1 (SLICE_X14Y26.SR), 1 path +1.623lockpps_r_10.070clk_mainpps_r<1>0.000lockclk_main0.317lockpps_r_11P82.PADP82.ITiopi0.773locklocklock_IBUFProtoComp54.IINVProtoComp54.IMUXSLICE_X14Y26.SRnet31.191lock_invSLICE_X14Y26.CLKTcksr0.046pps_r<1>pps_r_10.8191.1912.010clk_u_proc_BUFG40.759.3clk_mainpps_r_14P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y26.CLKnet2260.754clk_u_proc_BUFG-1.5821.6520.070Paths for end point pps_rst (SLICE_X12Y26.SR), 1 path +1.859lockpps_rst0.069clk_mainpps_rst0.000lockclk_main0.317lockpps_rst1P82.PADP82.ITiopi0.773locklocklock_IBUFProtoComp54.IINVProtoComp54.IMUXSLICE_X12Y26.SRnet31.445lock_invSLICE_X12Y26.CLKTcksr0.027pps_rstpps_rst0.8001.4452.245clk_u_proc_BUFG35.664.4clk_mainpps_rst4P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X12Y26.CLKnet2260.753clk_u_proc_BUFG-1.5821.6510.069COMP "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.685Paths for end point pps_r_0 (SLICE_X14Y26.AX), 1 path +11.982ppspps_r_0-0.499clk_mainpps_r<1>16.667ppsclk_main0.317ppspps_r_01P78.PADP78.ITiopi1.310ppsppspps_IBUFProtoComp52.IMUX.2SLICE_X14Y26.AXnet12.423pps_IBUFSLICE_X14Y26.CLKTdick0.136pps_r<1>pps_r_01.4462.4233.869clk_u_proc_BUFG37.462.6clk_mainpps_r_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y26.CLKnet2260.614clk_u_proc_BUFG-2.4561.957-0.499Hold Paths: COMP "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" + "RISING"; +Paths for end point pps_r_0 (SLICE_X14Y26.AX), 1 path +1.955ppspps_r_00.070clk_mainpps_r<1>0.000ppsclk_main0.317ppspps_r_01P78.PADP78.ITiopi0.763ppsppspps_IBUFProtoComp52.IMUX.2SLICE_X14Y26.AXnet11.531pps_IBUFSLICE_X14Y26.CLKTckdi0.048pps_r<1>pps_r_00.8111.5312.342clk_u_proc_BUFG34.665.4clk_mainpps_r_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X14Y26.CLKnet2260.754clk_u_proc_BUFG-1.5821.6520.070COMP "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";10000104.828Paths for end point nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 (SLICE_X16Y47.B5), 1 path +795.172clk_acq_ch1nb_mem_cont/nibble_pack_ch1/clk_acq_r_0-0.490clk_mainnb_mem_cont/nibble_pack_ch1/clk_acq_r<2>800.000clk_acq_ch1clk_main0.317clk_acq_ch1nb_mem_cont/nibble_pack_ch1/clk_acq_r_02P111.PADP111.ITiopi1.310clk_acq_ch1clk_acq_ch1clk_acq_ch1_IBUFProtoComp52.IMUX.25SLICE_X16Y47.B5net12.557clk_acq_ch1_IBUFSLICE_X16Y47.CLKTas0.154nb_mem_cont/nibble_pack_ch1/clk_acq_r<2>nb_mem_cont/nibble_pack_ch1/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch1/clk_acq_r_01.4642.5574.021clk_u_proc_BUFG36.463.6clk_mainnb_mem_cont/nibble_pack_ch1/clk_acq_r_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y47.CLKnet2260.623clk_u_proc_BUFG-2.4561.966-0.490Hold Paths: COMP "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" + "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch1/clk_acq_r_0 (SLICE_X16Y47.B5), 1 path +2.085clk_acq_ch1nb_mem_cont/nibble_pack_ch1/clk_acq_r_00.079clk_mainnb_mem_cont/nibble_pack_ch1/clk_acq_r<2>0.000clk_acq_ch1clk_main0.317clk_acq_ch1nb_mem_cont/nibble_pack_ch1/clk_acq_r_02P111.PADP111.ITiopi0.763clk_acq_ch1clk_acq_ch1clk_acq_ch1_IBUFProtoComp52.IMUX.25SLICE_X16Y47.B5net11.587clk_acq_ch1_IBUFSLICE_X16Y47.CLKTah0.131nb_mem_cont/nibble_pack_ch1/clk_acq_r<2>nb_mem_cont/nibble_pack_ch1/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch1/clk_acq_r_00.8941.5872.481clk_u_proc_BUFG36.064.0clk_mainnb_mem_cont/nibble_pack_ch1/clk_acq_r_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y47.CLKnet2260.763clk_u_proc_BUFG-1.5821.6610.079COMP "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.021Paths for end point nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 (SLICE_X16Y46.A3), 1 path +11.646clk_acq_ch2nb_mem_cont/nibble_pack_ch2/clk_acq_r_0-0.490clk_mainnb_mem_cont/nibble_pack_ch3/clk_acq_r<1>16.667clk_acq_ch2clk_main0.317clk_acq_ch2nb_mem_cont/nibble_pack_ch2/clk_acq_r_02P117.PADP117.ITiopi1.310clk_acq_ch2clk_acq_ch2clk_acq_ch2_IBUFProtoComp52.IMUX.26SLICE_X16Y46.A3net12.750clk_acq_ch2_IBUFSLICE_X16Y46.CLKTas0.154nb_mem_cont/nibble_pack_ch3/clk_acq_r<1>nb_mem_cont/nibble_pack_ch2/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch2/clk_acq_r_01.4642.7504.214clk_u_proc_BUFG34.765.3clk_mainnb_mem_cont/nibble_pack_ch2/clk_acq_r_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y46.CLKnet2260.623clk_u_proc_BUFG-2.4561.966-0.490Hold Paths: COMP "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch2/clk_acq_r_0 (SLICE_X16Y46.A3), 1 path +2.226clk_acq_ch2nb_mem_cont/nibble_pack_ch2/clk_acq_r_00.079clk_mainnb_mem_cont/nibble_pack_ch3/clk_acq_r<1>0.000clk_acq_ch2clk_main0.317clk_acq_ch2nb_mem_cont/nibble_pack_ch2/clk_acq_r_02P117.PADP117.ITiopi0.763clk_acq_ch2clk_acq_ch2clk_acq_ch2_IBUFProtoComp52.IMUX.26SLICE_X16Y46.A3net11.728clk_acq_ch2_IBUFSLICE_X16Y46.CLKTah0.131nb_mem_cont/nibble_pack_ch3/clk_acq_r<1>nb_mem_cont/nibble_pack_ch2/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch2/clk_acq_r_00.8941.7282.622clk_u_proc_BUFG34.165.9clk_mainnb_mem_cont/nibble_pack_ch2/clk_acq_r_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y46.CLKnet2260.763clk_u_proc_BUFG-1.5821.6610.079COMP "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000104.711Paths for end point nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 (SLICE_X16Y46.C3), 1 path +11.956clk_acq_ch3nb_mem_cont/nibble_pack_ch3/clk_acq_r_0-0.490clk_mainnb_mem_cont/nibble_pack_ch3/clk_acq_r<1>16.667clk_acq_ch3clk_main0.317clk_acq_ch3nb_mem_cont/nibble_pack_ch3/clk_acq_r_02P123.PADP123.ITiopi1.310clk_acq_ch3clk_acq_ch3clk_acq_ch3_IBUFProtoComp52.IMUX.27SLICE_X16Y46.C3net12.440clk_acq_ch3_IBUFSLICE_X16Y46.CLKTas0.154nb_mem_cont/nibble_pack_ch3/clk_acq_r<1>nb_mem_cont/nibble_pack_ch3/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch3/clk_acq_r_01.4642.4403.904clk_u_proc_BUFG37.562.5clk_mainnb_mem_cont/nibble_pack_ch3/clk_acq_r_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y46.CLKnet2260.623clk_u_proc_BUFG-2.4561.966-0.490Hold Paths: COMP "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch3/clk_acq_r_0 (SLICE_X16Y46.C3), 1 path +2.027clk_acq_ch3nb_mem_cont/nibble_pack_ch3/clk_acq_r_00.079clk_mainnb_mem_cont/nibble_pack_ch3/clk_acq_r<1>0.000clk_acq_ch3clk_main0.317clk_acq_ch3nb_mem_cont/nibble_pack_ch3/clk_acq_r_02P123.PADP123.ITiopi0.763clk_acq_ch3clk_acq_ch3clk_acq_ch3_IBUFProtoComp52.IMUX.27SLICE_X16Y46.C3net11.529clk_acq_ch3_IBUFSLICE_X16Y46.CLKTah0.131nb_mem_cont/nibble_pack_ch3/clk_acq_r<1>nb_mem_cont/nibble_pack_ch3/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch3/clk_acq_r_00.8941.5292.423clk_u_proc_BUFG36.963.1clk_mainnb_mem_cont/nibble_pack_ch3/clk_acq_r_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y46.CLKnet2260.763clk_u_proc_BUFG-1.5821.6610.079COMP "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.877Paths for end point nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 (SLICE_X15Y35.A1), 1 path +10.790clk_acq_ch4nb_mem_cont/nibble_pack_ch4/clk_acq_r_0-0.494clk_mainnb_mem_cont/nibble_pack_ch5/clk_acq_r<1>16.667clk_acq_ch4clk_main0.317clk_acq_ch4nb_mem_cont/nibble_pack_ch4/clk_acq_r_02P132.PADP132.ITiopi1.310clk_acq_ch4clk_acq_ch4clk_acq_ch4_IBUFProtoComp52.IMUX.28SLICE_X15Y35.A1net13.529clk_acq_ch4_IBUFSLICE_X15Y35.CLKTas0.227nb_mem_cont/nibble_pack_ch5/clk_acq_r<1>nb_mem_cont/nibble_pack_ch4/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch4/clk_acq_r_01.5373.5295.066clk_u_proc_BUFG30.369.7clk_mainnb_mem_cont/nibble_pack_ch4/clk_acq_r_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y35.CLKnet2260.619clk_u_proc_BUFG-2.4561.962-0.494Hold Paths: COMP "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch4/clk_acq_r_0 (SLICE_X15Y35.A1), 1 path +2.755clk_acq_ch4nb_mem_cont/nibble_pack_ch4/clk_acq_r_00.075clk_mainnb_mem_cont/nibble_pack_ch5/clk_acq_r<1>0.000clk_acq_ch4clk_main0.317clk_acq_ch4nb_mem_cont/nibble_pack_ch4/clk_acq_r_02P132.PADP132.ITiopi0.763clk_acq_ch4clk_acq_ch4clk_acq_ch4_IBUFProtoComp52.IMUX.28SLICE_X15Y35.A1net12.229clk_acq_ch4_IBUFSLICE_X15Y35.CLKTah0.155nb_mem_cont/nibble_pack_ch5/clk_acq_r<1>nb_mem_cont/nibble_pack_ch4/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch4/clk_acq_r_00.9182.2293.147clk_u_proc_BUFG29.270.8clk_mainnb_mem_cont/nibble_pack_ch4/clk_acq_r_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y35.CLKnet2260.759clk_u_proc_BUFG-1.5821.6570.075COMP "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";10000105.861Paths for end point nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 (SLICE_X15Y35.C3), 1 path +10.806clk_acq_ch5nb_mem_cont/nibble_pack_ch5/clk_acq_r_0-0.494clk_mainnb_mem_cont/nibble_pack_ch5/clk_acq_r<1>16.667clk_acq_ch5clk_main0.317clk_acq_ch5nb_mem_cont/nibble_pack_ch5/clk_acq_r_02P57.PADP57.ITiopi1.310clk_acq_ch5clk_acq_ch5clk_acq_ch5_IBUFProtoComp52.IMUX.29SLICE_X15Y35.C3net13.513clk_acq_ch5_IBUFSLICE_X15Y35.CLKTas0.227nb_mem_cont/nibble_pack_ch5/clk_acq_r<1>nb_mem_cont/nibble_pack_ch5/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch5/clk_acq_r_01.5373.5135.050clk_u_proc_BUFG30.469.6clk_mainnb_mem_cont/nibble_pack_ch5/clk_acq_r_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y35.CLKnet2260.619clk_u_proc_BUFG-2.4561.962-0.494Hold Paths: COMP "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP + "clk_main" "RISING"; +Paths for end point nb_mem_cont/nibble_pack_ch5/clk_acq_r_0 (SLICE_X15Y35.C3), 1 path +2.688clk_acq_ch5nb_mem_cont/nibble_pack_ch5/clk_acq_r_00.075clk_mainnb_mem_cont/nibble_pack_ch5/clk_acq_r<1>0.000clk_acq_ch5clk_main0.317clk_acq_ch5nb_mem_cont/nibble_pack_ch5/clk_acq_r_02P57.PADP57.ITiopi0.763clk_acq_ch5clk_acq_ch5clk_acq_ch5_IBUFProtoComp52.IMUX.29SLICE_X15Y35.C3net12.162clk_acq_ch5_IBUFSLICE_X15Y35.CLKTah0.155nb_mem_cont/nibble_pack_ch5/clk_acq_r<1>nb_mem_cont/nibble_pack_ch5/clk_acq_r_0_rstpotnb_mem_cont/nibble_pack_ch5/clk_acq_r_00.9182.1623.080clk_u_proc_BUFG29.870.2clk_mainnb_mem_cont/nibble_pack_ch5/clk_acq_r_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X15Y35.CLKnet2260.759clk_u_proc_BUFG-1.5821.6570.075COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING";10000107.179Paths for end point interfaz_spi/controlador_spi_esclavo/MOSIr_0 (SLICE_X16Y11.AX), 1 path +4.821MOSIinterfaz_spi/controlador_spi_esclavo/MOSIr_0-0.434clk_maininterfaz_spi/controlador_spi_esclavo/MOSIr<1>12.000MOSIclk_main0.317MOSIinterfaz_spi/controlador_spi_esclavo/MOSIr_01P99.PADP99.ITiopi1.310MOSIMOSIMOSI_IBUFProtoComp52.IMUX.3SLICE_X16Y11.AXnet15.032MOSI_IBUFSLICE_X16Y11.CLKTdick0.086interfaz_spi/controlador_spi_esclavo/MOSIr<1>interfaz_spi/controlador_spi_esclavo/MOSIr_01.3965.0326.428clk_u_proc_BUFG21.778.3clk_maininterfaz_spi/controlador_spi_esclavo/MOSIr_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y11.CLKnet2260.679clk_u_proc_BUFG-2.4562.022-0.434Hold Paths: COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_esclavo/MOSIr_0 (SLICE_X16Y11.AX), 1 path +3.457MOSIinterfaz_spi/controlador_spi_esclavo/MOSIr_00.135clk_maininterfaz_spi/controlador_spi_esclavo/MOSIr<1>0.000MOSIclk_main0.317MOSIinterfaz_spi/controlador_spi_esclavo/MOSIr_01P99.PADP99.ITiopi0.763MOSIMOSIMOSI_IBUFProtoComp52.IMUX.3SLICE_X16Y11.AXnet13.105MOSI_IBUFSLICE_X16Y11.CLKTckdi0.041interfaz_spi/controlador_spi_esclavo/MOSIr<1>interfaz_spi/controlador_spi_esclavo/MOSIr_00.8043.1053.909clk_u_proc_BUFG20.679.4clk_maininterfaz_spi/controlador_spi_esclavo/MOSIr_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X16Y11.CLKnet2260.819clk_u_proc_BUFG-1.5821.7170.135COMP "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE COMP "clk_main" "RISING";10000105.534Paths for end point interfaz_spi/controlador_spi_esclavo/SCKr_0 (SLICE_X20Y8.D4), 1 path +19.466SCKinterfaz_spi/controlador_spi_esclavo/SCKr_0-0.436clk_maininterfaz_spi/controlador_spi_esclavo/SCKr<1>25.000SCKclk_main0.317SCKinterfaz_spi/controlador_spi_esclavo/SCKr_02P95.PADP95.ITiopi1.310SCKSCKSCK_IBUFProtoComp52.IMUX.1SLICE_X20Y8.D4net13.317SCK_IBUFSLICE_X20Y8.CLKTas0.154interfaz_spi/controlador_spi_esclavo/SCKr<1>interfaz_spi/controlador_spi_esclavo/SCKr_0_glue_setinterfaz_spi/controlador_spi_esclavo/SCKr_01.4643.3174.781clk_u_proc_BUFG30.669.4clk_maininterfaz_spi/controlador_spi_esclavo/SCKr_04P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X20Y8.CLKnet2260.677clk_u_proc_BUFG-2.4562.020-0.436Hold Paths: COMP "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE COMP "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_esclavo/SCKr_0 (SLICE_X20Y8.D4), 1 path +2.480SCKinterfaz_spi/controlador_spi_esclavo/SCKr_00.133clk_maininterfaz_spi/controlador_spi_esclavo/SCKr<1>0.000SCKclk_main0.317SCKinterfaz_spi/controlador_spi_esclavo/SCKr_02P95.PADP95.ITiopi0.763SCKSCKSCK_IBUFProtoComp52.IMUX.1SLICE_X20Y8.D4net12.036SCK_IBUFSLICE_X20Y8.CLKTah0.131interfaz_spi/controlador_spi_esclavo/SCKr<1>interfaz_spi/controlador_spi_esclavo/SCKr_0_glue_setinterfaz_spi/controlador_spi_esclavo/SCKr_00.8942.0362.930clk_u_proc_BUFG30.569.5clk_maininterfaz_spi/controlador_spi_esclavo/SCKr_04P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X20Y8.CLKnet2260.817clk_u_proc_BUFG-1.5821.7150.133COMP "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING";10000106.292Paths for end point interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 (SLICE_X20Y7.AI), 1 path +5.708CSinterfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1-0.436clk_maininterfaz_spi/controlador_spi_esclavo/CSr<1>12.000CSclk_main0.317CSinterfaz_spi/controlador_spi_esclavo/Mshreg_CSr_11P97.PADP97.ITiopi1.310CSCSCS_IBUFProtoComp52.IMUXSLICE_X20Y7.AInet14.191CS_IBUFSLICE_X20Y7.CLKTds0.038interfaz_spi/controlador_spi_esclavo/CSr<1>interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_11.3484.1915.539clk_u_proc_BUFG24.375.7clk_maininterfaz_spi/controlador_spi_esclavo/Mshreg_CSr_14P51.PADP51.ITiopi1.126clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.278clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.105SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.562clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-3.884clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.503clk_u_procBUFGMUX_X2Y4.OTgi0o0.197clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X20Y7.CLKnet2260.677clk_u_proc_BUFG-2.4562.020-0.436Hold Paths: COMP "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING"; +Paths for end point interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_1 (SLICE_X20Y7.AI), 1 path +2.894CSinterfaz_spi/controlador_spi_esclavo/Mshreg_CSr_10.133clk_maininterfaz_spi/controlador_spi_esclavo/CSr<1>0.000CSclk_main0.317CSinterfaz_spi/controlador_spi_esclavo/Mshreg_CSr_11P97.PADP97.ITiopi0.763CSCSCS_IBUFProtoComp52.IMUXSLICE_X20Y7.AInet12.551CS_IBUFSLICE_X20Y7.CLKTdh0.030interfaz_spi/controlador_spi_esclavo/CSr<1>interfaz_spi/controlador_spi_esclavo/Mshreg_CSr_10.7932.5513.344clk_u_proc_BUFG23.776.3clk_maininterfaz_spi/controlador_spi_esclavo/Mshreg_CSr_14P51.PADP51.ITiopi0.887clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.245clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.391clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.662clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.262clk_u_procBUFGMUX_X2Y4.OTgi0o0.063clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X20Y7.CLKnet2260.817clk_u_proc_BUFG-1.5821.7150.133COMP "we_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";90000108.269Paths for end point we_bar (P43.PAD), 9 paths +8.398nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25we_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd428.283nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42we_bar16.667clk_mainwe_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd254P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y28.CLKnet2261.106clk_u_proc_BUFG-3.0882.757-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25we_bar3SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.CQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25SLICE_X2Y23.D1net51.741nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25SLICE_X2Y23.DMUXTilo0.251lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>_SW0SLICE_X2Y23.C4net10.374N22SLICE_X2Y23.CTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>P43.Onet12.884we_bar_OBUFP43.PADTioop2.381we_barwe_bar_OBUFwe_bar3.2844.9998.28339.660.48.776nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42we_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd427.905nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42we_bar16.667clk_mainwe_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd424P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y28.CLKnet2261.106clk_u_proc_BUFG-3.0882.757-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42we_bar3SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.DQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X2Y23.D3net511.363nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X2Y23.DMUXTilo0.251lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>_SW0SLICE_X2Y23.C4net10.374N22SLICE_X2Y23.CTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>P43.Onet12.884we_bar_OBUFP43.PADTioop2.381we_barwe_bar_OBUFwe_bar3.2844.6217.90541.558.58.868nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38we_bar-0.258clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd367.740nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36we_bar16.667clk_mainwe_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd384P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y15.CLKnet2261.179clk_u_proc_BUFG-3.0882.830-0.258nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38we_bar3SLICE_X6Y15.CLKclk_u_proc_BUFGSLICE_X6Y15.CMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.D4net51.190nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.DMUXTilo0.251lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>_SW0SLICE_X2Y23.C4net10.374N22SLICE_X2Y23.CTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>P43.Onet12.884we_bar_OBUFP43.PADTioop2.381we_barwe_bar_OBUFwe_bar3.2924.4487.74042.557.5Fastest Paths: COMP "we_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point we_bar (P43.PAD), 9 paths +3.484nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4we_bar-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd44.196nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4we_bar16.667clk_mainwe_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd44P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X1Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4we_bar3SLICE_X1Y25.CLKclk_u_proc_BUFGSLICE_X1Y25.CQTcko0.198nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4SLICE_X2Y23.D5net40.352nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4SLICE_X2Y23.DMUXTilo0.183lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>_SW0SLICE_X2Y23.C4net10.115N22SLICE_X2Y23.CTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>P43.Onet11.810we_bar_OBUFP43.PADTioop1.396we_barwe_bar_OBUFwe_bar1.9192.2774.19645.754.33.438nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29we_bar-0.396clk_mainnb_mem_cont/ram_control/addr_erase_aux_int<5>4.151nb_mem_cont/ram_control/addr_erase_aux_int<5>we_bar16.667clk_mainwe_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd294P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X5Y20.CLKnet2260.494clk_u_proc_BUFG-1.6981.302-0.396nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29we_bar2SLICE_X5Y20.CLKclk_u_proc_BUFGSLICE_X5Y20.AQTcko0.198nb_mem_cont/ram_control/addr_erase_aux_int<5>nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29SLICE_X2Y23.C5net70.605nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29SLICE_X2Y23.CTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>P43.Onet11.810we_bar_OBUFP43.PADTioop1.396we_barwe_bar_OBUFwe_bar1.7362.4154.15141.858.23.177nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1we_bar-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd13.889nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1we_bar16.667clk_mainwe_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1we_bar2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X2Y23.C6net190.307nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X2Y23.CTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<2>P43.Onet11.810we_bar_OBUFP43.PADTioop1.396we_barwe_bar_OBUFwe_bar1.7722.1173.88945.654.4COMP "ub_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";50000108.621Paths for end point ub_bar (P6.PAD), 5 paths +8.046nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25ub_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd428.635nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42ub_bar16.667clk_mainub_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd254P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y28.CLKnet2261.106clk_u_proc_BUFG-3.0882.757-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25ub_bar2SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.CQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25SLICE_X2Y23.D1net51.741nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25SLICE_X2Y23.DTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P6.Onet23.861lb_bar_OBUFP6.PADTioop2.381ub_barub_bar_OBUFub_bar3.0335.6028.63535.164.98.424nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42ub_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd428.257nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42ub_bar16.667clk_mainub_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd424P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y28.CLKnet2261.106clk_u_proc_BUFG-3.0882.757-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42ub_bar2SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.DQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X2Y23.D3net511.363nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X2Y23.DTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P6.Onet23.861lb_bar_OBUFP6.PADTioop2.381ub_barub_bar_OBUFub_bar3.0335.2248.25736.763.38.516nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38ub_bar-0.258clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd368.092nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36ub_bar16.667clk_mainub_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd384P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y15.CLKnet2261.179clk_u_proc_BUFG-3.0882.830-0.258nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38ub_bar2SLICE_X6Y15.CLKclk_u_proc_BUFGSLICE_X6Y15.CMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.D4net51.190nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.DTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P6.Onet23.861lb_bar_OBUFP6.PADTioop2.381ub_barub_bar_OBUFub_bar3.0415.0518.09237.662.4Fastest Paths: COMP "ub_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point ub_bar (P6.PAD), 5 paths +4.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38ub_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd364.882nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36ub_bar16.667clk_mainub_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd384P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y15.CLKnet2260.559clk_u_proc_BUFG-1.6981.367-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38ub_bar2SLICE_X6Y15.CLKclk_u_proc_BUFGSLICE_X6Y15.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.D4net50.701nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.DTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P6.Onet22.405lb_bar_OBUFP6.PADTioop1.396ub_barub_bar_OBUFub_bar1.7763.1064.88236.463.64.224nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29ub_bar-0.396clk_mainnb_mem_cont/ram_control/addr_erase_aux_int<5>4.937nb_mem_cont/ram_control/addr_erase_aux_int<5>ub_bar16.667clk_mainub_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd294P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X5Y20.CLKnet2260.494clk_u_proc_BUFG-1.6981.302-0.396nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29ub_bar2SLICE_X5Y20.CLKclk_u_proc_BUFGSLICE_X5Y20.AQTcko0.198nb_mem_cont/ram_control/addr_erase_aux_int<5>nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29SLICE_X2Y23.D2net70.796nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29SLICE_X2Y23.DTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P6.Onet22.405lb_bar_OBUFP6.PADTioop1.396ub_barub_bar_OBUFub_bar1.7363.2014.93735.264.83.781nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4ub_bar-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd44.493nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4ub_bar16.667clk_mainub_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd44P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X1Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4ub_bar2SLICE_X1Y25.CLKclk_u_proc_BUFGSLICE_X1Y25.CQTcko0.198nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4SLICE_X2Y23.D5net40.352nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4SLICE_X2Y23.DTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P6.Onet22.405lb_bar_OBUFP6.PADTioop1.396ub_barub_bar_OBUFub_bar1.7362.7574.49338.661.4COMP "lb_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";50000108.246Paths for end point lb_bar (P7.PAD), 5 paths +8.421nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25lb_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd428.260nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42lb_bar16.667clk_mainlb_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd254P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y28.CLKnet2261.106clk_u_proc_BUFG-3.0882.757-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25lb_bar2SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.CQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25SLICE_X2Y23.D1net51.741nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd25SLICE_X2Y23.DTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P7.Onet23.486lb_bar_OBUFP7.PADTioop2.381lb_barlb_bar_OBUFlb_bar3.0335.2278.26036.763.38.799nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42lb_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd427.882nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42lb_bar16.667clk_mainlb_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd424P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X8Y28.CLKnet2261.106clk_u_proc_BUFG-3.0882.757-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42lb_bar2SLICE_X8Y28.CLKclk_u_proc_BUFGSLICE_X8Y28.DQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X2Y23.D3net511.363nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd42SLICE_X2Y23.DTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P7.Onet23.486lb_bar_OBUFP7.PADTioop2.381lb_barlb_bar_OBUFlb_bar3.0334.8497.88238.561.58.891nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38lb_bar-0.258clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd367.717nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36lb_bar16.667clk_mainlb_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd384P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y15.CLKnet2261.179clk_u_proc_BUFG-3.0882.830-0.258nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38lb_bar2SLICE_X6Y15.CLKclk_u_proc_BUFGSLICE_X6Y15.CMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.D4net51.190nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.DTilo0.205lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P7.Onet23.486lb_bar_OBUFP7.PADTioop2.381lb_barlb_bar_OBUFlb_bar3.0414.6767.71739.460.6Fastest Paths: COMP "lb_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point lb_bar (P7.PAD), 5 paths +3.987nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38lb_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd364.635nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36lb_bar16.667clk_mainlb_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd384P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y15.CLKnet2260.559clk_u_proc_BUFG-1.6981.367-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38lb_bar2SLICE_X6Y15.CLKclk_u_proc_BUFGSLICE_X6Y15.CMUXTshcko0.238nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd36nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.D4net50.701nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd38SLICE_X2Y23.DTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P7.Onet22.158lb_bar_OBUFP7.PADTioop1.396lb_barlb_bar_OBUFlb_bar1.7762.8594.63538.361.73.977nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29lb_bar-0.396clk_mainnb_mem_cont/ram_control/addr_erase_aux_int<5>4.690nb_mem_cont/ram_control/addr_erase_aux_int<5>lb_bar16.667clk_mainlb_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd294P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X5Y20.CLKnet2260.494clk_u_proc_BUFG-1.6981.302-0.396nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29lb_bar2SLICE_X5Y20.CLKclk_u_proc_BUFGSLICE_X5Y20.AQTcko0.198nb_mem_cont/ram_control/addr_erase_aux_int<5>nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29SLICE_X2Y23.D2net70.796nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd29SLICE_X2Y23.DTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P7.Onet22.158lb_bar_OBUFP7.PADTioop1.396lb_barlb_bar_OBUFlb_bar1.7362.9544.69037.063.03.534nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4lb_bar-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd44.246nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4lb_bar16.667clk_mainlb_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd44P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X1Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4lb_bar2SLICE_X1Y25.CLKclk_u_proc_BUFGSLICE_X1Y25.CQTcko0.198nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4SLICE_X2Y23.D5net40.352nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd4SLICE_X2Y23.DTilo0.142lb_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state__n0467<0>1P7.Onet22.158lb_bar_OBUFP7.PADTioop1.396lb_barlb_bar_OBUFlb_bar1.7362.5104.24640.959.1COMP "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";40000108.489Paths for end point oe_ram_bar (P5.PAD), 4 paths +8.178nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2oe_ram_bar-0.251clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd278.423nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27oe_ram_bar16.667clk_mainoe_ram_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd24P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X2Y14.CLKnet2261.186clk_u_proc_BUFG-3.0882.837-0.251nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2oe_ram_bar2SLICE_X2Y14.CLKclk_u_proc_BUFGSLICE_X2Y14.CMUXTshcko0.455nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd27nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X0Y29.D2net82.148nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd2SLICE_X0Y29.DTilo0.203oe_ram_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1P5.Onet13.236oe_ram_bar_OBUFP5.PADTioop2.381oe_ram_baroe_ram_bar_OBUFoe_ram_bar3.0395.3848.42336.163.98.403nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32oe_ram_bar-0.258clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd328.205nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32oe_ram_bar16.667clk_mainoe_ram_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd324P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X4Y14.CLKnet2261.179clk_u_proc_BUFG-3.0882.830-0.258nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32oe_ram_bar2SLICE_X4Y14.CLKclk_u_proc_BUFGSLICE_X4Y14.AQTcko0.447nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32SLICE_X0Y29.D4net51.938nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32SLICE_X0Y29.DTilo0.203oe_ram_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1P5.Onet13.236oe_ram_bar_OBUFP5.PADTioop2.381oe_ram_baroe_ram_bar_OBUFoe_ram_bar3.0315.1748.20536.963.18.917nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3oe_ram_bar-0.319clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd37.752nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3oe_ram_bar16.667clk_mainoe_ram_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2261.118clk_u_proc_BUFG-3.0882.769-0.319nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3oe_ram_bar2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.408nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X0Y29.D5net71.524nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X0Y29.DTilo0.203oe_ram_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1P5.Onet13.236oe_ram_bar_OBUFP5.PADTioop2.381oe_ram_baroe_ram_bar_OBUFoe_ram_bar2.9924.7607.75238.661.4Fastest Paths: COMP "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main"; +Paths for end point oe_ram_bar (P5.PAD), 4 paths +4.313nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32oe_ram_bar-0.331clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd324.961nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32oe_ram_bar16.667clk_mainoe_ram_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd324P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X4Y14.CLKnet2260.559clk_u_proc_BUFG-1.6981.367-0.331nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32oe_ram_bar2SLICE_X4Y14.CLKclk_u_proc_BUFGSLICE_X4Y14.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32SLICE_X0Y29.D4net51.165nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd32SLICE_X0Y29.DTilo0.156oe_ram_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1P5.Onet12.010oe_ram_bar_OBUFP5.PADTioop1.396oe_ram_baroe_ram_bar_OBUFoe_ram_bar1.7863.1754.96136.064.03.961nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3oe_ram_bar-0.392clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34.670nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3oe_ram_bar16.667clk_mainoe_ram_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd34P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X6Y17.CLKnet2260.498clk_u_proc_BUFG-1.6981.306-0.392nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3oe_ram_bar2SLICE_X6Y17.CLKclk_u_proc_BUFGSLICE_X6Y17.DQTcko0.200nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X0Y29.D5net70.908nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd3SLICE_X0Y29.DTilo0.156oe_ram_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1P5.Onet12.010oe_ram_bar_OBUFP5.PADTioop1.396oe_ram_baroe_ram_bar_OBUFoe_ram_bar1.7522.9184.67037.562.53.521nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1oe_ram_bar-0.395clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14.233nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1oe_ram_bar16.667clk_mainoe_ram_bar0.317clk_mainnb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd14P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X0Y25.CLKnet2260.495clk_u_proc_BUFG-1.6981.303-0.395nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1oe_ram_bar2SLICE_X0Y25.CLKclk_u_proc_BUFGSLICE_X0Y25.AQTcko0.234nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X0Y29.D3net190.437nb_mem_cont/ram_control/ram_contr_cur_state_FSM_FFd1SLICE_X0Y29.DTilo0.156oe_ram_bar_OBUFnb_mem_cont/ram_control/ram_contr_cur_state_oe_ram_bar1P5.Onet12.010oe_ram_bar_OBUFP5.PADTioop1.396oe_ram_baroe_ram_bar_OBUFoe_ram_bar1.7862.4474.23342.257.8COMP "MISO" OFFSET = OUT 10 ns AFTER COMP "clk_main";10000107.518Paths for end point MISO (P98.PAD), 1 path +2.482interfaz_spi/controlador_spi_esclavo/buffer_out_7MISO-0.278clk_maininterfaz_spi/controlador_spi_esclavo/buffer_out<7>7.479interfaz_spi/controlador_spi_esclavo/buffer_out<7>MISO10.000clk_mainMISO0.317clk_maininterfaz_spi/controlador_spi_esclavo/buffer_out_74P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.428clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.646clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-4.718clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.577clk_u_procBUFGMUX_X2Y4.OTgi0o0.209clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y8.CLKnet2261.159clk_u_proc_BUFG-3.0882.810-0.278interfaz_spi/controlador_spi_esclavo/buffer_out_7MISO1SLICE_X9Y8.CLKclk_u_proc_BUFGSLICE_X9Y8.CQTcko0.391interfaz_spi/controlador_spi_esclavo/buffer_out<7>interfaz_spi/controlador_spi_esclavo/buffer_out_7P98.Onet14.707interfaz_spi/controlador_spi_esclavo/buffer_out<7>P98.PADTioop2.381MISOMISO_OBUFMISO2.7724.7077.47937.162.9Fastest Paths: COMP "MISO" OFFSET = OUT 10 ns AFTER COMP "clk_main"; +Paths for end point MISO (P98.PAD), 1 path +3.912interfaz_spi/controlador_spi_esclavo/buffer_out_7MISO-0.351clk_maininterfaz_spi/controlador_spi_esclavo/buffer_out<7>4.580interfaz_spi/controlador_spi_esclavo/buffer_out<7>MISO10.000clk_mainMISO0.317clk_maininterfaz_spi/controlador_spi_esclavo/buffer_out_74P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X3Y0.Inet20.235clk_main_ioBUFIO2_X3Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_1SP6_BUFIO_INSERT_ML_BUFIO2_1DCM_X0Y0.CLKINnet10.342clk_mang/DCM_SP_inst_int_ML_NEW_DIVCLKDCM_X0Y0.CLKFXTdmcko_CLKFX-2.642clk_mang/DCM_SP_inst_intclk_mang/DCM_SP_inst_intBUFGMUX_X2Y4.I0net10.231clk_u_procBUFGMUX_X2Y4.OTgi0o0.059clk_u_proc_BUFGclk_u_proc_BUFGSLICE_X9Y8.CLKnet2260.539clk_u_proc_BUFG-1.6981.347-0.351interfaz_spi/controlador_spi_esclavo/buffer_out_7MISO1SLICE_X9Y8.CLKclk_u_proc_BUFGSLICE_X9Y8.CQTcko0.198interfaz_spi/controlador_spi_esclavo/buffer_out<7>interfaz_spi/controlador_spi_esclavo/buffer_out_7P98.Onet12.986interfaz_spi/controlador_spi_esclavo/buffer_out<7>P98.PADTioop1.396MISOMISO_OBUFMISO1.5942.9864.58034.865.2COMP "clk_to_acq" OFFSET = OUT 40 ns AFTER COMP "clk_main";200001035.651Paths for end point clk_to_acq (P80.PAD), 2 paths +4.349clk_mang/ODDR2_instclk_to_acq0.165clk_mainclk_to_acq_OBUF3.336clk_to_acq_OBUFclk_to_acq40.000clk_mainclk_to_acq0.900clk_mainclk_mang/ODDR2_inst4P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X1Y0.Inet20.428clk_main_ioBUFIO2_X1Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_0SP6_BUFIO_INSERT_ML_BUFIO2_0DCM_X0Y1.CLKINnet10.646clk_mang/DCM_SP_inst_ML_NEW_DIVCLKDCM_X0Y1.CLKFXTdmcko_CLKFX-4.659clk_mang/DCM_SP_instclk_mang/DCM_SP_instBUFGMUX_X2Y2.I0net10.577clk_mang/c_16MHzBUFGMUX_X2Y2.OTgi0o0.209clk_mang/c_16MHz_BUFGclk_mang/c_16MHz_BUFGOLOGIC_X12Y22.CLK1net21.543clk_mang/c_16MHz_BUFG-3.0293.1940.165clk_mang/ODDR2_instclk_to_acq1OLOGIC_X12Y22.CLK1clk_mang/c_16MHz_BUFGOLOGIC_X12Y22.OQTockq0.722clk_to_acq_OBUFclk_mang/ODDR2_instP80.Onet10.233clk_to_acq_OBUFP80.PADTioop2.381clk_to_acqclk_to_acq_OBUFclk_to_acq3.1030.2333.33693.07.035.575clk_mang/ODDR2_instclk_to_acq0.169clk_mainclk_to_acq_OBUF3.356clk_to_acq_OBUFclk_to_acq40.000clk_mainclk_to_acq0.900clk_mainclk_mang/ODDR2_inst4P51.PADP51.ITiopi1.310clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X1Y0.Inet20.428clk_main_ioBUFIO2_X1Y0.DIVCLKTbufcko_DIVCLK0.111SP6_BUFIO_INSERT_ML_BUFIO2_0SP6_BUFIO_INSERT_ML_BUFIO2_0DCM_X0Y1.CLKINnet10.646clk_mang/DCM_SP_inst_ML_NEW_DIVCLKDCM_X0Y1.CLKFXTdmcko_CLKFX-4.659clk_mang/DCM_SP_instclk_mang/DCM_SP_instBUFGMUX_X2Y2.I0net10.577clk_mang/c_16MHzBUFGMUX_X2Y2.OTgi0o0.209clk_mang/c_16MHz_BUFGclk_mang/c_16MHz_BUFGOLOGIC_X12Y22.CLK0net21.547clk_mang/c_16MHz_BUFG-3.0293.1980.169clk_mang/ODDR2_instclk_to_acq1OLOGIC_X12Y22.CLK0clk_mang/c_16MHz_BUFGOLOGIC_X12Y22.OQTockq0.742clk_to_acq_OBUFclk_mang/ODDR2_instP80.Onet10.233clk_to_acq_OBUFP80.PADTioop2.381clk_to_acqclk_to_acq_OBUFclk_to_acq3.1230.2333.35693.16.9Fastest Paths: COMP "clk_to_acq" OFFSET = OUT 40 ns AFTER COMP "clk_main"; +Paths for end point clk_to_acq (P80.PAD), 2 paths +32.071clk_mang/ODDR2_instclk_to_acq-0.290clk_mainclk_to_acq_OBUF2.011clk_to_acq_OBUFclk_to_acq40.000clk_mainclk_to_acq0.900clk_mainclk_mang/ODDR2_inst4P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X1Y0.Inet20.235clk_main_ioBUFIO2_X1Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_0SP6_BUFIO_INSERT_ML_BUFIO2_0DCM_X0Y1.CLKINnet10.342clk_mang/DCM_SP_inst_ML_NEW_DIVCLKDCM_X0Y1.CLKFXTdmcko_CLKFX-2.650clk_mang/DCM_SP_instclk_mang/DCM_SP_instBUFGMUX_X2Y2.I0net10.231clk_mang/c_16MHzBUFGMUX_X2Y2.OTgi0o0.059clk_mang/c_16MHz_BUFGclk_mang/c_16MHz_BUFGOLOGIC_X12Y22.CLK1net20.608clk_mang/c_16MHz_BUFG-1.7061.416-0.290clk_mang/ODDR2_instclk_to_acq1OLOGIC_X12Y22.CLK1clk_mang/c_16MHz_BUFGOLOGIC_X12Y22.OQTockq0.425clk_to_acq_OBUFclk_mang/ODDR2_instP80.Onet10.190clk_to_acq_OBUFP80.PADTioop1.396clk_to_acqclk_to_acq_OBUFclk_to_acq1.8210.1902.01190.69.40.886clk_mang/ODDR2_instclk_to_acq-0.219clk_mainclk_to_acq_OBUF2.005clk_to_acq_OBUFclk_to_acq40.000clk_mainclk_to_acq0.900clk_mainclk_mang/ODDR2_inst4P51.PADP51.ITiopi0.763clk_mainclk_mainIBUFG_instProtoComp52.IMUX.24BUFIO2_X1Y0.Inet20.235clk_main_ioBUFIO2_X1Y0.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO_INSERT_ML_BUFIO2_0SP6_BUFIO_INSERT_ML_BUFIO2_0DCM_X0Y1.CLKINnet10.342clk_mang/DCM_SP_inst_ML_NEW_DIVCLKDCM_X0Y1.CLKFXTdmcko_CLKFX-2.650clk_mang/DCM_SP_instclk_mang/DCM_SP_instBUFGMUX_X2Y2.I0net10.231clk_mang/c_16MHzBUFGMUX_X2Y2.OTgi0o0.059clk_mang/c_16MHz_BUFGclk_mang/c_16MHz_BUFGOLOGIC_X12Y22.CLK0net20.679clk_mang/c_16MHz_BUFG-1.7061.487-0.219clk_mang/ODDR2_instclk_to_acq1OLOGIC_X12Y22.CLK0clk_mang/c_16MHz_BUFGOLOGIC_X12Y22.OQTockq0.419clk_to_acq_OBUFclk_mang/ODDR2_instP80.Onet10.190clk_to_acq_OBUFP80.PADTioop1.396clk_to_acqclk_to_acq_OBUFclk_to_acq1.8150.1902.00590.59.50clk_mainCS6.292-2.894DATA_INOUT<0>4.440-1.842DATA_INOUT<1>4.790-2.068DATA_INOUT<2>4.645-1.974DATA_INOUT<3>4.773-2.073DATA_INOUT<4>4.834-2.135DATA_INOUT<5>4.683-2.004DATA_INOUT<6>5.011-2.222DATA_INOUT<7>4.791-2.009DATA_INOUT<8>5.916-2.696DATA_INOUT<9>6.074-2.753DATA_INOUT<10>5.982-2.754DATA_INOUT<11>7.691-3.780DATA_INOUT<12>7.196-3.503DATA_INOUT<13>7.201-3.481DATA_INOUT<14>7.132-3.461DATA_INOUT<15>7.503-3.702MOSI7.179-3.457SCK5.534-2.480chn_bits_acq_ch1<0>4.341-1.731chn_bits_acq_ch1<1>4.578-1.907chn_bits_acq_ch1<2>4.386-1.744chn_bits_acq_ch1<3>4.505-1.822chn_bits_acq_ch2<0>4.569-1.984chn_bits_acq_ch2<1>4.579-1.962chn_bits_acq_ch2<2>4.911-2.089chn_bits_acq_ch2<3>4.465-1.842chn_bits_acq_ch3<0>4.465-1.818chn_bits_acq_ch3<1>4.807-2.066chn_bits_acq_ch3<2>5.509-2.506chn_bits_acq_ch3<3>5.085-2.309chn_bits_acq_ch4<0>4.465-1.843chn_bits_acq_ch4<1>4.480-1.888chn_bits_acq_ch4<2>4.577-1.923chn_bits_acq_ch4<3>4.954-2.133chn_bits_acq_ch5<0>4.536-1.894chn_bits_acq_ch5<1>4.238-1.687chn_bits_acq_ch5<2>5.132-2.294chn_bits_acq_ch5<3>4.088-1.582clk_acq_ch14.828-2.085clk_acq_ch25.021-2.226clk_acq_ch34.711-2.027clk_acq_ch45.877-2.755clk_acq_ch55.861-2.688lock6.085-1.602pps4.685-1.955scl_rpi4.954-2.053sda_rpi3.976-1.530clk_mainclk_mainclk_main3.803COMP "DATA_INOUT<15>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<15>7.503-3.702COMP "DATA_INOUT<14>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<14>7.132-3.461COMP "DATA_INOUT<13>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<13>7.201-3.481COMP "DATA_INOUT<12>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<12>7.196-3.503COMP "DATA_INOUT<11>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<11>7.691-3.780COMP "DATA_INOUT<10>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<10>5.982-2.754COMP "DATA_INOUT<9>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<9>6.074-2.753COMP "DATA_INOUT<8>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<8>5.916-2.696COMP "DATA_INOUT<7>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<7>4.791-2.009COMP "DATA_INOUT<6>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<6>5.011-2.222COMP "DATA_INOUT<5>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<5>4.683-2.004COMP "DATA_INOUT<4>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<4>4.834-2.135COMP "DATA_INOUT<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<3>4.773-2.073COMP "DATA_INOUT<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<2>4.645-1.974COMP "DATA_INOUT<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<1>4.790-2.068COMP "DATA_INOUT<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";DATA_INOUT<0>4.440-1.842COMP "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";sda_rpi3.976-1.530COMP "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";scl_rpi4.954-2.053COMP "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch1<3>4.505-1.822COMP "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch1<2>4.386-1.744COMP "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch1<1>4.578-1.907COMP "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch1<0>4.341-1.731COMP "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch2<3>4.465-1.842COMP "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch2<2>4.911-2.089COMP "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch2<1>4.579-1.962COMP "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch2<0>4.569-1.984COMP "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch3<3>5.085-2.309COMP "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch3<2>5.509-2.506COMP "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch3<1>4.807-2.066COMP "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch3<0>4.465-1.818COMP "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch4<3>4.954-2.133COMP "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch4<2>4.577-1.923COMP "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch4<1>4.480-1.888COMP "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch4<0>4.465-1.843COMP "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch5<3>4.088-1.582COMP "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch5<2>5.132-2.294COMP "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch5<1>4.238-1.687COMP "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";chn_bits_acq_ch5<0>4.536-1.894COMP "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";lock6.085-1.602COMP "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";pps4.685-1.955COMP "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE COMP "clk_main" "RISING";clk_acq_ch14.828-2.085COMP "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";clk_acq_ch25.021-2.226COMP "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";clk_acq_ch34.711-2.027COMP "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";clk_acq_ch45.877-2.755COMP "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE COMP "clk_main" "RISING";clk_acq_ch55.861-2.688COMP "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING";MOSI7.179-3.457COMP "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE COMP "clk_main" "RISING";SCK5.534-2.480COMP "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "clk_main" "RISING";CS6.292-2.894COMP "DATA_INOUT<15>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<14>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<13>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<12>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<11>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<10>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<9>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<8>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<7>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<6>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<5>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<4>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<3>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<2>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<1>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "DATA_INOUT<0>" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "sda_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "scl_rpi" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "we_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "ub_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "lb_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER COMP "clk_main";COMP "MISO" OFFSET = OUT 10 ns AFTER COMP "clk_main";COMP "clk_to_acq" OFFSET = OUT 40 ns AFTER COMP "clk_main";000010930038478.000125.0007.69135.651Mon May 23 12:38:55 2016 TraceTrace Settings + +Peak Memory Usage: 242 MB + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ucf =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ucf b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ucf new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ucf (revision 224) @@ -0,0 +1,247 @@ + +NET "addr[18]" IOSTANDARD = LVCMOS33; +NET "addr[17]" IOSTANDARD = LVCMOS33; +NET "addr[16]" IOSTANDARD = LVCMOS33; +NET "addr[15]" IOSTANDARD = LVCMOS33; +NET "addr[14]" IOSTANDARD = LVCMOS33; +NET "addr[13]" IOSTANDARD = LVCMOS33; +NET "addr[12]" IOSTANDARD = LVCMOS33; +NET "addr[11]" IOSTANDARD = LVCMOS33; +NET "addr[10]" IOSTANDARD = LVCMOS33; +NET "addr[9]" IOSTANDARD = LVCMOS33; +NET "addr[8]" IOSTANDARD = LVCMOS33; +NET "addr[7]" IOSTANDARD = LVCMOS33; +NET "addr[6]" IOSTANDARD = LVCMOS33; +NET "addr[5]" IOSTANDARD = LVCMOS33; +NET "addr[4]" IOSTANDARD = LVCMOS33; +NET "addr[3]" IOSTANDARD = LVCMOS33; +NET "addr[2]" IOSTANDARD = LVCMOS33; +NET "addr[1]" IOSTANDARD = LVCMOS33; +NET "addr[0]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch1[3]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch1[2]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch1[1]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch1[0]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch2[3]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch2[2]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch2[1]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch2[0]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch3[3]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch3[2]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch3[1]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch3[0]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch4[3]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch4[2]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch4[1]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch4[0]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch5[3]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch5[2]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch5[1]" IOSTANDARD = LVCMOS33; +NET "chn_bits_acq_ch5[0]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[15]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[14]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[13]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[12]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[11]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[10]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[9]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[8]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[7]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[6]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[5]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[4]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[3]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[2]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[1]" IOSTANDARD = LVCMOS33; +NET "DATA_INOUT[0]" IOSTANDARD = LVCMOS33; +NET "clk_acq_ch1" IOSTANDARD = LVCMOS33; +NET "clk_acq_ch2" IOSTANDARD = LVCMOS33; +NET "clk_acq_ch3" IOSTANDARD = LVCMOS33; +NET "clk_acq_ch4" IOSTANDARD = LVCMOS33; +NET "clk_acq_ch5" IOSTANDARD = LVCMOS33; +NET "clk_main" IOSTANDARD = LVCMOS33; +NET "clk_to_acq" IOSTANDARD = LVCMOS33; +NET "CS" IOSTANDARD = LVCMOS33; +#NET "cs1_bar" IOSTANDARD = LVCMOS33; +#NET "cs2" IOSTANDARD = LVCMOS33; +NET "lb_bar" IOSTANDARD = LVCMOS33; +NET "lock" IOSTANDARD = LVCMOS33; +NET "MISO" IOSTANDARD = LVCMOS33; +NET "MOSI" IOSTANDARD = LVCMOS33; +NET "oe_ram_bar" IOSTANDARD = LVCMOS33; +NET "pps" IOSTANDARD = LVCMOS33; +NET "SCK" IOSTANDARD = LVCMOS33; +NET "ub_bar" IOSTANDARD = LVCMOS33; +NET "we_bar" IOSTANDARD = LVCMOS33; +NET "MISO" SLEW = SLOW; +NET "oe_ram_bar" SLEW = SLOW; +NET "sda_rpi" IOSTANDARD = I2C; +NET "scl_rpi" IOSTANDARD = I2C; +NET "clk_to_acq" SLEW = SLOW; + +NET "clk_main" LOC = P51; +NET "clk_to_acq" LOC = P80; +NET "clk_acq_ch1" LOC = P111; +NET "clk_acq_ch2" LOC = P117; +NET "clk_acq_ch3" LOC = P123; +NET "CS" LOC = P97; +NET "lb_bar" LOC = P7; +NET "lock" LOC = P82; +NET "MISO" LOC = P98; +NET "MOSI" LOC = P99; +NET "oe_ram_bar" LOC = P5; +NET "pps" LOC = P78; +NET "SCK" LOC = P95; +NET "sda_rpi" LOC = P83; +NET "scl_rpi" LOC = P85; +NET "ub_bar" LOC = P6; +NET "we_bar" LOC = P43; +NET "DATA_INOUT[0]" LOC = P32; +NET "DATA_INOUT[1]" LOC = P33; +NET "DATA_INOUT[2]" LOC = P34; +NET "DATA_INOUT[3]" LOC = P35; +NET "DATA_INOUT[4]" LOC = P38; +NET "DATA_INOUT[5]" LOC = P39; +NET "DATA_INOUT[6]" LOC = P40; +NET "DATA_INOUT[7]" LOC = P41; +NET "DATA_INOUT[9]" LOC = P15; +NET "DATA_INOUT[8]" LOC = P16; +NET "DATA_INOUT[10]" LOC = P14; +NET "DATA_INOUT[11]" LOC = P12; +NET "DATA_INOUT[12]" LOC = P11; +NET "DATA_INOUT[13]" LOC = P10; +NET "DATA_INOUT[14]" LOC = P9; +NET "DATA_INOUT[15]" LOC = P8; +NET "chn_bits_acq_ch1[0]" LOC = P112; +NET "chn_bits_acq_ch1[1]" LOC = P114; +NET "chn_bits_acq_ch1[2]" LOC = P115; +NET "chn_bits_acq_ch1[3]" LOC = P116; +NET "chn_bits_acq_ch2[0]" LOC = P118; +NET "chn_bits_acq_ch2[1]" LOC = P119; +NET "chn_bits_acq_ch2[2]" LOC = P120; +NET "chn_bits_acq_ch2[3]" LOC = P121; +NET "chn_bits_acq_ch3[0]" LOC = P124; +NET "chn_bits_acq_ch3[1]" LOC = P126; +NET "chn_bits_acq_ch3[2]" LOC = P127; +NET "chn_bits_acq_ch3[3]" LOC = P131; +NET "addr[1]" LOC = P142; +NET "addr[0]" LOC = P143; +NET "addr[2]" LOC = P141; +NET "addr[3]" LOC = P140; +NET "addr[4]" LOC = P139; +NET "addr[5]" LOC = P144; +NET "addr[6]" LOC = P1; +NET "addr[7]" LOC = P2; +NET "addr[8]" LOC = P21; +NET "addr[9]" LOC = P22; +NET "addr[10]" LOC = P23; +NET "addr[11]" LOC = P24; +NET "addr[12]" LOC = P48; +NET "addr[13]" LOC = P47; +NET "addr[14]" LOC = P46; +NET "addr[15]" LOC = P45; +NET "addr[16]" LOC = P44; +NET "addr[17]" LOC = P26; +NET "addr[18]" LOC = P17; +NET "clk_acq_ch4" LOC = P132; +NET "clk_acq_ch5" LOC = P57; +NET "chn_bits_acq_ch5[0]" LOC = P58; +NET "chn_bits_acq_ch5[1]" LOC = P59; +NET "chn_bits_acq_ch5[2]" LOC = P61; +NET "chn_bits_acq_ch5[3]" LOC = P62; +NET "chn_bits_acq_ch4[0]" LOC = P133; +NET "chn_bits_acq_ch4[1]" LOC = P134; +NET "chn_bits_acq_ch4[2]" LOC = P137; +NET "chn_bits_acq_ch4[3]" LOC = P138; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +NET "clk_main" TNM_NET = "clk_main"; +TIMESPEC TS_clk_main = PERIOD "clk_main" 16.667 ns HIGH 50 %; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/23 +NET "clk_acq_ch1" OFFSET = IN 800 ns VALID 800 ns BEFORE "clk_main" RISING; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/20 +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/20 +NET "clk_acq_ch2" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "clk_acq_ch3" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "clk_acq_ch4" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "clk_acq_ch5" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "CS" OFFSET = IN 12 ns VALID 12 ns BEFORE "clk_main" RISING; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +NET "DATA_INOUT[0]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[1]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[2]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[3]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[4]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[5]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[6]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[7]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[8]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[9]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[10]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[11]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[12]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[13]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[14]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "DATA_INOUT[15]" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +NET "lock" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "MOSI" OFFSET = IN 12 ns VALID 12 ns BEFORE "clk_main" RISING; +NET "pps" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +NET "SCK" OFFSET = IN 25 ns VALID 25 ns BEFORE "clk_main" RISING; +NET "scl_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "sda_rpi" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +NET "clk_to_acq" OFFSET = OUT 40 ns AFTER "clk_main"; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +NET "DATA_INOUT[0]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[1]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[2]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[3]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[4]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[5]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[6]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[7]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[8]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[9]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[10]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[11]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[12]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[13]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[14]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "DATA_INOUT[15]" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "lb_bar" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "oe_ram_bar" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "scl_rpi" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "sda_rpi" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "ub_bar" OFFSET = OUT 16.667 ns AFTER "clk_main"; +NET "we_bar" OFFSET = OUT 16.667 ns AFTER "clk_main"; + +# PlanAhead Generated IO constraints +NET "pps" IOSTANDARD = LVCMOS33; + +# PlanAhead Generated physical constraints +NET "pps" LOC = P78; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +NET "chn_bits_acq_ch1<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch1<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch1<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch1<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch2<0>" OFFSET = IN 800 ns VALID 800 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch2<1>" OFFSET = IN 800 ns VALID 800 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch2<2>" OFFSET = IN 800 ns VALID 800 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch2<3>" OFFSET = IN 800 ns VALID 800 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch3<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch3<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch3<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch3<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch4<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch4<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch4<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch4<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch5<0>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch5<1>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch5<2>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +NET "chn_bits_acq_ch5<3>" OFFSET = IN 16.667 ns VALID 16.667 ns BEFORE "clk_main" RISING; +#Created by Constraints Editor (xc6slx9-tqg144-3) - 2016/05/18 +NET "MISO" OFFSET = OUT 10 ns AFTER "clk_main"; Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.unroutes =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.unroutes b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.unroutes new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.unroutes (revision 224) @@ -0,0 +1,9 @@ +Release 14.7 - par P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Mon May 23 12:38:47 2016 + +All signals are completely routed. + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ut =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ut b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ut new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.ut (revision 224) @@ -0,0 +1,30 @@ +-w +-g DebugBitstream:No +-g Binary:no +-g CRC:Enable +-g Reset_on_err:No +-g ConfigRate:2 +-g ProgPin:PullUp +-g TckPin:PullUp +-g TdiPin:PullUp +-g TdoPin:PullUp +-g TmsPin:PullUp +-g UnusedPin:PullDown +-g UserID:0xFFFFFFFF +-g ExtMasterCclk_en:No +-g SPI_buswidth:1 +-g TIMER_CFG:0xFFFF +-g multipin_wakeup:No +-g StartUpClk:CClk +-g DONE_cycle:4 +-g GTS_cycle:5 +-g GWE_cycle:6 +-g LCK_cycle:NoWait +-g Security:None +-g DonePipe:Yes +-g DriveDone:No +-g en_sw_gsr:No +-g drive_awake:No +-g sw_clk:Startupclk +-g sw_gwe_cycle:5 +-g sw_gts_cycle:4 Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.vhd (revision 224) @@ -0,0 +1,504 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 09:45:49 05/18/2016 +-- Design Name: +-- Module Name: chn5_mem_spi_joint - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity chn5_mem_spi_joint is +GENERIC( + --Tamanho de palabras de entradas y resultado hacia el multipllexor + NIBBLE_SZ: INTEGER :=4; + RESUL_NP_SZ: INTEGER :=24; + RESUL_MUX_SZ: INTEGER :=16; + + --Tamanho de la palabra hacia o desde la RAM + RESUL_RAM_SZ: INTEGER :=16; + CHAN_NUM: INTEGER :=5; + ADDR_LENGTH: INTEGER :=19; + SPI_NIBL_COUNT_WIDTH: INTEGER := 3; + + --Constantes del multiplexor + HEADER_CONST_SZ: INTEGER :=4; + HEADER_CH_SZ: INTEGER :=4; + WORD_INT_MUX_SZ: INTEGER := 32; + WORD_OUT_MUX_SZ: INTEGER := 16; + + --Constantes hacia el bloque selector de entrada salida + RESUL_IOSEL_SZ: INTEGER := 16; + + --Tamanho de palabra de datos desde RAM + DATA_MUX_SZ: INTEGER := 16; + + --Constantes de bloque de memoria con datos de cabecera + COUNT_HEADER_SZ: INTEGER := 3; + HEADER_SZ: INTEGER :=8; + DATA_HEADER_SZ: INTEGER := 16; + + --Constantes del bloque de controlador SPI + WORD_IN_SZ: INTEGER := 16; + WORD_INT_SZ: INTEGER := 16; + WORD_OUT_SZ: INTEGER := 8; + WORD_SPIIN_SZ: INTEGER := 8; + + POS_WORD1: INTEGER := 16; + POS_WORD2: INTEGER := 8; + + --Constantes del bloque spi esclavo + SPI_DATA_WIDTH: INTEGER :=8; + SPI_BIT_COUNT_WIDTH: INTEGER :=3 + +); +PORT( + --Reset del sistema + lock: IN STD_LOGIC; + + --Pulso por segundo + pps: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq_ch1: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch1: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + clk_acq_ch2: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch2: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch3: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch3: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch4: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch4: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch5: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch5: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + + --Senhales de control de memoria RAM + we_bar: OUT std_logic; + ub_bar: OUT std_logic; + lb_bar: OUT std_logic; + --cs1_bar: OUT std_logic; + --cs2: OUT std_logic; + oe_ram_bar: OUT std_logic; + + --Direccion de escritura/lectura + addr: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); + + --Dato desde/hacia la memoria RAM externa + DATA_INOUT: INOUT std_logic_vector((RESUL_RAM_SZ-1) downto 0); + + --Senhales interfaz SPI esclavo + MOSI : IN std_logic; + SCK : IN std_logic; + CS : IN std_logic; + MISO : OUT std_logic; + + --Senhal de reloj hacia el bloque de adquisicion + clk_to_acq: OUT std_logic; + + --Pines para siguiente implementacion de I2C + scl_rpi: INOUT std_logic; + sda_rpi: INOUT std_logic + +-- Senhales de interlazado entre interfaz SPI con bloque memoria + --Senhal de requerimiento de lectura de dato + --Desde el SPI_Controller + --rd_req: IN std_logic; + --Hacia el SPI_Controller + --ld_ram: OUT std_logic; + + --Senhales del bloque de memoria con el controlador SPI + --Senhal request hacia bloque controlador RAM + --req_ram: OUT std_logic; + + --Senhal desde bloque controlador RAM + --ld_ram: IN std_logic; + + --Dato desde la RAM + --data_ram_in: IN std_logic_vector((DATA_MUX_SZ-1) downto 0) + + --Dato leído de la RAM hacia el SPI Controller o cache + --DATA_R : OUT std_logic_vector((RESUL_RAM_SZ-1) downto 0); + +); +end chn5_mem_spi_joint; + +architecture Behavioral of chn5_mem_spi_joint is + +COMPONENT i2c_inst IS +PORT( + clk_main: IN std_logic; + rst_bar: IN std_logic; + SDA: INOUT std_logic; + SCL: INOUT std_logic +); +END COMPONENT; + +COMPONENT u_np_mux_memcon_seliobar is +GENERIC( + --Tamanho de palabras de entradas y resultado hacia el multipllexor + NIBBLE_SZ: INTEGER :=4; + RESUL_NP_SZ: INTEGER :=24; + RESUL_MUX_SZ: INTEGER :=16; + + --Tamanho de la palabra hacia o desde la RAM + RESUL_RAM_SZ: INTEGER :=16; + CHAN_NUM: INTEGER :=5; + ADDR_LENGTH: INTEGER :=19; + SPI_NIBL_COUNT_WIDTH: INTEGER := 3; + + --Constantes del multiplexor + HEADER_CONST_SZ: INTEGER :=4; + HEADER_CH_SZ: INTEGER :=4; + WORD_INT_MUX_SZ: INTEGER := 32; + WORD_OUT_MUX_SZ: INTEGER := 16; + + --Constantes hacia el bloque selector de entrada salida + RESUL_IOSEL_SZ: INTEGER := 16 + +); +PORT( + --Reset del sistema + rst_bar_int: IN STD_LOGIC; + + --Pulso por segundo + --pps: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq_ch1: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch1: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + clk_acq_ch2: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch2: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch3: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch3: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch4: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch4: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch5: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch5: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + + --Senhal de requerimiento de lectura de dato + --Desde el SPI_Controller + rd_req: IN std_logic; + --Hacia el SPI_Controller + ld_ram: OUT std_logic; + + --Senhales de control de memoria RAM + we_bar: OUT std_logic; + ub_bar: OUT std_logic; + lb_bar: OUT std_logic; + cs1_bar: OUT std_logic; + cs2: OUT std_logic; + oe_ram_bar: OUT std_logic; + + --Direccion de escritura/lectura + addr: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); + + --Dato desde/hacia la memoria RAM externa + DATA_INOUT: INOUT std_logic_vector((RESUL_RAM_SZ-1) downto 0); + + --Dato leído de la RAM hacia el SPI Controller o cache + DATA_R : OUT std_logic_vector((RESUL_RAM_SZ-1) downto 0) +); + +end COMPONENT; + +COMPONENT header_spi_interface is +GENERIC( + + --Tamanho de palabra de datos desde RAM + DATA_MUX_SZ: INTEGER := 16; + + --Constantes de bloque de memoria con datos de cabecera + COUNT_HEADER_SZ: INTEGER := 3; + HEADER_SZ: INTEGER :=8; + DATA_HEADER_SZ: INTEGER := 16; + + --Constantes del bloque de controlador SPI + WORD_IN_SZ: INTEGER := 16; + WORD_INT_SZ: INTEGER := 16; + WORD_OUT_SZ: INTEGER := 8; + WORD_SPIIN_SZ: INTEGER := 8; + + POS_WORD1: INTEGER := 16; + POS_WORD2: INTEGER := 8; + + --Constantes del bloque spi esclavo + SPI_DATA_WIDTH: INTEGER :=8; + SPI_BIT_COUNT_WIDTH: INTEGER :=3 + +); +PORT( + + --Senhal de reset general + rst_bar: IN std_logic; + + --Senhal de reloj principal + clk_main: IN std_logic; + + --Senhales interfaz SPI esclavo + MOSI : IN std_logic; + SCK : IN std_logic; + CS : IN std_logic; + MISO : OUT std_logic; + + --Senhales del bloque de memoria con el controlador SPI + --Senhal request hacia bloque controlador RAM + req_ram: OUT std_logic; + + --Senhal desde bloque controlador RAM + ld_ram: IN std_logic; + + --Dato desde la RAM + data_ram_in: IN std_logic_vector((DATA_MUX_SZ-1) downto 0) + +); +end COMPONENT; + +COMPONENT DCM_fwd_int IS +PORT( + clk_main_io: IN std_logic; + c_16MHz_io: OUT std_logic; + c_200MHz_in: OUT std_logic +); +END COMPONENT; + +--Senhales para DCM +SIGNAL clk_u_proc: std_logic := '0'; +SIGNAL lock_rst_bar: std_logic := '0'; + +SIGNAL clk_main_io: std_logic :='1'; + +--Senhal de requerimiento de lectura de dato +--Desde el SPI_Controller +SIGNAL rd_req: std_logic := '0'; +--Hacia el SPI_Controller +SIGNAL ld_ram: std_logic := '0'; + +--Dato leído de la RAM hacia el SPI Controller o cache +SIGNAL DATA_R : std_logic_vector((RESUL_RAM_SZ-1) downto 0) := (OTHERS => '0'); + +-------------------------------------------- +-------------------------------------------- +--Registro para detección de flanco +SIGNAL pps_r: std_logic_vector(1 downto 0) :="00"; +SIGNAL pps_rst: std_logic :='0'; + +--Senhal de reset interna formada de rst_bar y pps_r +SIGNAL rst_bar_aux: std_logic :='0'; + + +begin + +IBUFG_inst : IBUFG + generic map ( + IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards + IOSTANDARD => "DEFAULT") + port map ( + O => clk_main_io, -- Clock buffer output + I => clk_main -- Clock buffer input (connect directly to top-level port) + ); + +--Generación de relojes +clk_mang: DCM_fwd_int PORT MAP( + clk_main_io => clk_main_io, + c_16MHz_io => clk_to_acq, + c_200MHz_in => clk_u_proc +); + +--Generación de reset +reset_gen: PROCESS(clk_u_proc) + VARIABLE cont_200MHz: std_logic_vector(15 downto 0) :="0000000000000000"; --"0000 0000 0000 0000": 16 bits a 200MHz 5ns*65535=327.675u + BEGIN + IF(rising_edge(clk_u_proc)) THEN + IF (cont_200MHz = "1111111111111111") THEN --"1111 1111 1111 1111" + lock_rst_bar <= lock; + ELSE + cont_200MHz := std_logic_vector(unsigned(cont_200MHz)+1); + lock_rst_bar <= '0'; + END IF; + END IF; + END PROCESS; + + +--Deteccion de flanco de pps +pps_bloco: PROCESS(clk_u_proc) + BEGIN + IF (rising_edge(clk_u_proc)) THEN + IF(lock = '0') THEN + pps_rst <= '0'; + pps_r <= (OTHERS=>'0'); + pps_rst <= '0'; + ELSE + pps_r <= pps_r(0) & pps; + IF(pps_r(1 downto 0) = "01") THEN + pps_rst <= '0'; + ELSE + pps_rst <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + +--Generacion de reset a partir del resetbar y flanco de subida del pps +rst_bar_aux <= lock_rst_bar AND (pps_rst); + + +------------------------------------------ + +i2c_comp: i2c_inst PORT MAP( + clk_main => clk_u_proc, + rst_bar => lock_rst_bar, + sda => sda_rpi, + scl => scl_rpi +); + +------------------------------------------ +------------------------------------------ + +nb_mem_cont: u_np_mux_memcon_seliobar +GENERIC MAP( + --Tamanho de palabras de entradas y resultado hacia el multipllexor + NIBBLE_SZ => NIBBLE_SZ, + RESUL_NP_SZ => RESUL_NP_SZ, + RESUL_MUX_SZ => RESUL_MUX_SZ, + + --Tamanho de la palabra hacia o desde la RAM + RESUL_RAM_SZ => RESUL_RAM_SZ, + CHAN_NUM => CHAN_NUM, + ADDR_LENGTH => ADDR_LENGTH, + SPI_NIBL_COUNT_WIDTH => SPI_NIBL_COUNT_WIDTH, + + --Constantes del multiplexor + HEADER_CONST_SZ => HEADER_CONST_SZ, + HEADER_CH_SZ => HEADER_CH_SZ, + WORD_INT_MUX_SZ => WORD_INT_MUX_SZ, + WORD_OUT_MUX_SZ => WORD_OUT_MUX_SZ, + + --Constantes hacia el bloque selector de entrada salida + RESUL_IOSEL_SZ => RESUL_IOSEL_SZ + +) +PORT MAP( + --Reset del sistema + rst_bar_int => rst_bar_aux, + + --Pulso por segundo + --pps => , + + --Reloj principal + clk_main => clk_u_proc, --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq_ch1 => clk_acq_ch1, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch1 => chn_bits_acq_ch1, --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + clk_acq_ch2 => clk_acq_ch2, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch2 => chn_bits_acq_ch2, --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch3 => clk_acq_ch3, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch3 => chn_bits_acq_ch3, --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch4 => clk_acq_ch4, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch4 => chn_bits_acq_ch4, --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch5 => clk_acq_ch5, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch5 => chn_bits_acq_ch5, --Nibble de datos provenientes del XMEGA por canal + + --Senhal de requerimiento de lectura de dato + --Desde el SPI_Controller + rd_req => rd_req, + --Hacia el SPI_Controller + ld_ram => ld_ram, + + --Senhales de control de memoria RAM + we_bar => we_bar, + ub_bar => ub_bar, + lb_bar => lb_bar, + cs1_bar => open, + cs2 => open, + oe_ram_bar => oe_ram_bar, + + --Direccion de escritura/lectura + addr => addr, + + --Dato desde/hacia la memoria RAM externa + DATA_INOUT => DATA_INOUT, + + --Dato leído de la RAM hacia el SPI Controller o cache + DATA_R => DATA_R +); + +interfaz_spi: header_spi_interface +GENERIC MAP( + + --Tamanho de palabra de datos desde RAM + DATA_MUX_SZ => DATA_MUX_SZ, + + --Constantes de bloque de memoria con datos de cabecera + COUNT_HEADER_SZ => COUNT_HEADER_SZ, + HEADER_SZ => HEADER_SZ, + DATA_HEADER_SZ => DATA_HEADER_SZ, + + --Constantes del bloque de controlador SPI + WORD_IN_SZ => WORD_IN_SZ, + WORD_INT_SZ => WORD_INT_SZ, + WORD_OUT_SZ => WORD_OUT_SZ, + WORD_SPIIN_SZ => WORD_SPIIN_SZ, + + POS_WORD1 => POS_WORD1, + POS_WORD2 => POS_WORD2, + + --Constantes del bloque spi esclavo + SPI_DATA_WIDTH => SPI_DATA_WIDTH, + SPI_BIT_COUNT_WIDTH => SPI_BIT_COUNT_WIDTH + +) +PORT MAP( + + --Senhal de reset general + rst_bar => lock_rst_bar, + + --Senhal de reloj principal + clk_main => clk_u_proc, + + --Senhales interfaz SPI esclavo + MOSI => MOSI, + SCK => SCK, + CS => CS, + MISO => MISO, + + --Senhales del bloque de memoria con el controlador SPI + --Senhal request hacia bloque controlador RAM + req_ram => rd_req, + + --Senhal desde bloque controlador RAM + ld_ram => ld_ram, + + --Dato desde la RAM + data_ram_in => DATA_R + +); + +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xise =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xise b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xise new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xise (revision 224) @@ -0,0 +1,420 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xpi =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xpi b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xpi new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xpi (revision 224) @@ -0,0 +1,3 @@ +PROGRAM=PAR +STATE=ROUTED +TIMESPECS_MET=YES Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xst =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xst b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xst new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint.xst (revision 224) @@ -0,0 +1,52 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn chn5_mem_spi_joint.prj +-ofn chn5_mem_spi_joint +-ofmt NGC +-p xc6slx9-3-tqg144 +-top chn5_mem_spi_joint +-opt_mode Speed +-opt_level 1 +-power NO +-iuc NO +-keep_hierarchy No +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-dsp_utilization_ratio 100 +-lc Auto +-reduce_control_sets Auto +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-shreg_extract YES +-rom_style Auto +-auto_bram_packing NO +-resource_sharing YES +-async_to_sync NO +-shreg_min_size 2 +-use_dsp48 Auto +-iobuf YES +-max_fanout 100000 +-bufg 16 +-register_duplication YES +-register_balancing No +-optimize_primitives NO +-use_clock_enable Auto +-use_sync_set Auto +-use_sync_reset Auto +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_bitgen.xwbt =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_bitgen.xwbt b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_bitgen.xwbt new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_bitgen.xwbt (revision 224) @@ -0,0 +1,8 @@ +INTSTYLE=ise +INFILE=C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.ncd +OUTFILE=C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint\chn5_mem_spi_joint.bit +FAMILY=Spartan6 +PART=xc6slx9-3tqg144 +WORKINGDIR=C:\Users\Francisco\Documents\Francisco_ROJ\ProcessingEngine\Projects\chn5_mem_spi_joint +LICENSE=WebPack +USER_INFO=211109259_0_0_038 Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_envsettings.html =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_envsettings.html b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_envsettings.html new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_envsettings.html (revision 224) @@ -0,0 +1,538 @@ +Xilinx System Settings Report + +
System Settings

+

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Environment Settings
Environment Variablexstngdbuildmappar
PATHEXT.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC;
.PY;
.PYW
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC;
.PY;
.PYW
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC;
.PY;
.PYW
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC;
.PY;
.PYW
PathC:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\WinAVR\bin;
C:\WinAVR\utils\bin;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files\doxygen\bin;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\Program Files (x86)\Microchip\xc8\v1.35\bin;
C:\Program Files (x86)\Microchip\xc16\v1.24\bin;
C:\Program Files (x86)\Skype\Phone\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\Scripts\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\;
c:\MinGW\bin;
c:\MinGW\include;
c:\MinGW\lib;
c:\MinGW\MSYS\1.0\bin
C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\WinAVR\bin;
C:\WinAVR\utils\bin;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files\doxygen\bin;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\Program Files (x86)\Microchip\xc8\v1.35\bin;
C:\Program Files (x86)\Microchip\xc16\v1.24\bin;
C:\Program Files (x86)\Skype\Phone\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\Scripts\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\;
c:\MinGW\bin;
c:\MinGW\include;
c:\MinGW\lib;
c:\MinGW\MSYS\1.0\bin
C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\WinAVR\bin;
C:\WinAVR\utils\bin;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files\doxygen\bin;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\Program Files (x86)\Microchip\xc8\v1.35\bin;
C:\Program Files (x86)\Microchip\xc16\v1.24\bin;
C:\Program Files (x86)\Skype\Phone\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\Scripts\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\;
c:\MinGW\bin;
c:\MinGW\include;
c:\MinGW\lib;
c:\MinGW\MSYS\1.0\bin
C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\WinAVR\bin;
C:\WinAVR\utils\bin;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files\doxygen\bin;
C:\Program Files (x86)\MiKTeX 2.9\miktex\bin\;
C:\Program Files (x86)\Microchip\xc8\v1.35\bin;
C:\Program Files (x86)\Microchip\xc16\v1.24\bin;
C:\Program Files (x86)\Skype\Phone\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\Scripts\;
C:\Users\Francisco\AppData\Local\Programs\Python\Python35-32\;
c:\MinGW\bin;
c:\MinGW\include;
c:\MinGW\lib;
c:\MinGW\MSYS\1.0\bin
XILINXC:\Xilinx\14.7\ISE_DS\ISE\C:\Xilinx\14.7\ISE_DS\ISE\C:\Xilinx\14.7\ISE_DS\ISE\C:\Xilinx\14.7\ISE_DS\ISE\
XILINX_DSPC:\Xilinx\14.7\ISE_DS\ISEC:\Xilinx\14.7\ISE_DS\ISEC:\Xilinx\14.7\ISE_DS\ISEC:\Xilinx\14.7\ISE_DS\ISE
XILINX_EDKC:\Xilinx\14.7\ISE_DS\EDKC:\Xilinx\14.7\ISE_DS\EDKC:\Xilinx\14.7\ISE_DS\EDKC:\Xilinx\14.7\ISE_DS\EDK
XILINX_PLANAHEADC:\Xilinx\14.7\ISE_DS\PlanAheadC:\Xilinx\14.7\ISE_DS\PlanAheadC:\Xilinx\14.7\ISE_DS\PlanAheadC:\Xilinx\14.7\ISE_DS\PlanAhead
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn chn5_mem_spi_joint.prj 
-ofn chn5_mem_spi_joint 
-ofmt NGCNGC
-p xc6slx9-3-tqg144 
-top chn5_mem_spi_joint 
-opt_modeOptimization GoalSpeedSpeed
-opt_levelOptimization Effort11
-powerPower ReductionNONo
-iucUse synthesis Constraints FileNONo
-keep_hierarchyKeep HierarchyNoNo
-netlist_hierarchyNetlist HierarchyAs_OptimizedAs_Optimized
-rtlviewGenerate RTL SchematicYesNo
-glob_optGlobal Optimization GoalAllClockNetsAllClockNets
-read_coresRead CoresYESYes
-write_timing_constraintsWrite Timing ConstraintsNONo
-cross_clock_analysisCross Clock AnalysisNONo
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100
-bram_utilization_ratioBRAM Utilization Ratio100100
-dsp_utilization_ratioDSP Utilization Ratio100100
-reduce_control_sets AutoAuto
-fsm_extract YESYes
-fsm_encoding AutoAuto
-safe_implementation NoNo
-fsm_style LUTLUT
-ram_extract YesYes
-ram_style AutoAuto
-rom_extract YesYes
-shreg_extract YESYes
-rom_style AutoAuto
-auto_bram_packing NONo
-resource_sharing YESYes
-async_to_sync NONo
-use_dsp48 AutoAuto
-iobuf YESYes
-max_fanout 100000100000
-bufg 1616
-register_duplication YESYes
-register_balancing NoNo
-optimize_primitives NONo
-use_clock_enable AutoAuto
-use_sync_set AutoAuto
-use_sync_reset AutoAuto
-iob AutoAuto
-equivalent_register_removal YESYes
-slice_utilization_ratio_maxmargin 50
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc6slx9-tqg144-3None
-uc chn5_mem_spi_joint.ucfNone
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Map Property Settings
Switch NameProperty NameValueDefault Value
-olPlace & Route Effort Level (Overall)highhigh
-xtExtra Cost Tables00
-irUse RLOC ConstraintsOFFOFF
-tStarting Placer Cost Table (1-100) Map10
-rRegister Ordering44
-intstyle iseNone
-lcLUT Combiningoffoff
-o chn5_mem_spi_joint_map.ncdNone
-w truefalse
-prPack I/O Registers/Latches into IOBsoffoff
-p xc6slx9-tqg144-3None
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Place and Route Property Settings
Switch NameProperty NameValueDefault Value
-intstyle ise 
-mtEnable Multi-Threadingoffoff
-olPlace & Route Effort Level (Overall)highstd
-w truefalse
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedIntel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHzIntel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHzIntel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHzIntel(R) Core(TM) i5-4210U CPU @ 1.70GHz/2394 MHz
HostLenovo-PCLenovo-PCLenovo-PCLenovo-PC
OS NameMicrosoft , 64-bitMicrosoft , 64-bitMicrosoft , 64-bitMicrosoft , 64-bit
OS Releasemajor release (build 9200)major release (build 9200)major release (build 9200)major release (build 9200)
+ \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_guide.ncd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_guide.ncd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_guide.ncd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_guide.ncd (revision 224) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###5304:XlxV32DM 3ff1 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###3684:XlxV32DM 3fe3 e4ceNq1W+ly2sgWfpV5gUvUmySGW65CC7YqbBeBQyo11SVA2Mxg5AGcyszT325tLZmjGEnkh1Onz/adT31a3RLK1PH51Bvzvu9792M+6k8R601Tpbucu2PHdfj869RF61j/6Llf+P3Y4ZY3drzxPX90bT5zBwgp8/je4f7XsV0MebTtixBWMLsz35uIOmb2AyKX+tkg6MmSrIXvOctVJo/7I1cYPnN7MprO+/erRB778+FijqgcOW7MbHw/4v5kMbPdRD2Y9e99Pp9wyx3yoefPt1IrvObeyPXn/dE0zjXt25/nD7MFMnvTIbdmbv9z3xq63O7PZl+5N54KmC5kmizmwiYY+vbws0D03bFI4Xtj23FtLMC+zDjjET+Gp/DMn8JDZx0dzhxr2ujh32+I/cHDv9+CPUfCZ3f4TrS6EYgYtUP4a7D+i+P6YLg+GE7AUH0wQmjtEO5/0WSLPv9zmhz2/9wfNh1HT3vkGJ0jO3p5pVqnz4SuM2Hv1BastjM1K6u/emNvLtYIMmB9R6u0oHcQDoSM4DoRXCdSdZbVYG4M58ZwbgznJnASAichVUnAAimcm8K5KZybwrkZnJvBuRncAHpFA+ilBigmMuH8Jly7mXRuZ6KX1F249i6cuwvX3q2ovVtZexe8kgxeSgxeSkwDmTK4/Rnc/gxuf1Zof62gxh1vtFgifKESS5AASg3Uwr4Y1BJQS0EtA7U6qDVArQlquxBhsFwMEsYgYQxnAAljkDAGCWOQMAYJY5AwBgkTSEkhJYOUOqQ0IKUJKbul9kyV4rhQak/a8bzxY8kzUUGespHpherCk122PINanoEtz8CWZ2DLM7DlGdjyDGx5BrY8y1seIIEhJYGUFFIySKlDShDdhJTlqWZqWopqo+PYo444JWrFW7FSD8pTJjaLxeC+M5HHzlzZlUpvgjuO9yhCkK5supbaBpYI6v2P9Gb+vNubhae3/fm/2l2gRJTLqKBHqCDjgkwKMi3I7C5PXwy9Q7m6kAXfoVVBVj5EZaFKLOTWlWgo0VRi9w73fE38ievnY/FHSM+3P5vyH+6Ji9Lt+UPPdoef+kiJWIlEiVSJbK1EsbEog64McoMO8tFAIVkKyVJIlkKyFJKlkKwSkqWQrBKSVUCyFZKtkGyFZCskWyHZJSRbIdkSaZOP5EMOzYHtwUDcarJBdlTI0ziqFkfV4qhaHFWLo2pxSrU4qhanxNoZDPK6BoYl1ljqOCpNxKgwEUs15Us15Us15Us15UJkKyUOBms1UPUt1fwvS7DLEqylYC0FaylYS8FaCtYqwlolWEvBWiVYqwBrK1hbwdoK1lawtoK1i7B2CdZWsHYJ1i7AOgrWUbCOgnUUrKNgnSKsU4J1FKxTghVNgIRpqvP4bicezX13NuejYTLGXPu5GckbhDALA8/ul1zcgZWLGONrnIjwmRk9/zl4DbmWCSgTcCaQTKCoNw97c0fkHE7ErUm+bdnG4/TdRfz6hb7X8IWgIG5tifpRXIX4bUX/Pg9OdTOxbIuKkViqhbE/dV0nVcnXKt5oKi6pN0+yzJ1R9hImxYrfy8QFDD3LJ735zBO1zRbuqicq4s5kYQ3dGe59cVFvGfSWzkPCyzdjWTCwE63/0J+6qVbmW8VSBrbpLb2hN17+Z+lYv2kd9Js/XyRC37c9T+8tNyvubUTQ5oVbu8Nmd3gSLORoFPwZHR/D42kXHTLV7qBUva9GL9hsjmLLMxMBKQnlEs4lkks0l1gu6blkiH0tkczfVUbzLoVDmYAzgWQCzQSWCXomGJmQ5+nerWKBa3wi2m6dDFB5hEojXBqR0oiWRqw00ksjozQy41FaCioOcHFAigNaHLDiQC8OjOKghNONB6IV188Hxl/CF3563fE/o93hLI4vl0r+Erx2Dk8v4hwpjHy1O594sP6br5+RPPEAWgRqxdmEXWrJ73AOcifW+3utmC556oAsqNKCKy0ksVygY5AXBnlhkBeu4IVBXriSF67khSt54UpeBORFQF4E5EUqeBGQF6nkRSp5kUpepJIXBXlRkBcFedEKXhTkRSt50UpetJIXreTFQF4M5MVAXqyCFwN5sUperJIXq+TFUl6r3nr/V7bmxGZSGF3YccmOL+ykZL/MT0t2emFnJTuL7WaseQl2h1Uu8V0kjgvJ6PD0SR5Q/CnfHU5ncX6B1PL8Mha7evr0uPmp0wSZsF3cbbFeaSpjYPSh40ROfOYzcZwZjl3SaxBr12KDGj38KzvnnUoex+7FiQ/Up788FPNLYbviwdsPuYtcatNfFtD2J8YE0wQ9pClJfI7k/G0LcryjJcY3/nqM1tuCHEeK7nzZ7HeHkPaed0/P4ii9w2u+Fk/3n+RPcWInjvh2HxzWEfft4TeU/fph8AhvrveVS1MwzP1H6+hNzIdYFTyWwiP/HuzF1H3ggRAOfurzI5JnL3FE8caPXMP0Q2dZmNjzczf1yuJSie5CpRMUo1Cs7rKCP+3fQn4Kz6Jz3lmS1ihmcPoiA3unyFroQn88nV+jc+Ei8oOmkS5Cl5piWQXCklVYZclwP3AgVblRZW6UhtKqUFwZivOydNBBPHtVGCojMNpUGNIrLNYZaF+LO8S22pR0XBFVrQV54TcVBoBgwY7uio2gDFyr0JeaeXdYJzWiLqDMfpNd9XaSxTb4Vx5uP62DVbgOj4E87UbHXZCtnNfzMT4Al5cYYfWj5ZoTT+ofxB2DF74JzgF/DoNNeBSPDyj97adRbPojTqPY9MfIRrHpj42NYmkLvqwFX70FX6NFrNm8Zoya42LcApc0nyNMm/cGZi346i34Gi34mi34pr8rE7N+LGlOl2gtYknzKSK0BS5rPkVEbz5FpMXSJy2WPkk/UGjSGrR5ydk3NI1iW9ytaIu7FW1xt6JtrlWL3YjqLWo2WsyR2YJv+nFMk5ZkzUtmLQ5IDLXAbXFAYqT5pdKbL3yjOarZfCdKv2n6+ND8LjQjatSN47hxZHqroPSDSPnBJNbEs38SyNdvR346B+dQvQHAPKKrm6RJnlnYh3TeJ+EDf8QHgw0iYdPI7HGpeYLk+bJx7c2h8y9imydIamd63QTXTSvCHy2m9PlRPHni7dWu6kPgmhGoNgiuHUFqR7DaEcbV1xVdf11R7euaR2i1QXDtCFI7gtaO0K++rvj665q/7KodQWpHsNoRXWxcF8G1qz3R1Z4Yv98/osP5GO2DTXSMf5INT+t98D36ZPvy/RLBdbyzyx5cHcQR1a5zlm/GKRH3v1wYLZbiyR+JLdGomaLwyvEbzu+eCNhcG2eS72JpeKts6YW9dUImf3q4JuFo4nvyZSWh9fyzhqgFI+6g6xr+YpnUckfXtsvo5e0H/0nboavnI870bi7yfNImP/5YzG+eEN86IUF0Wyfh23YrjirR2/mb8UdyKN4dpCiNuBHnjzNihm+cUP7PpFtXSW5dJfkVVdJbV0l/RZXs1lWyX1Glfusq9V9RpXHrKo1fUaV56ypNWSVBVyY9PR/DJx4fX67d2uQH7dtgv792B8380+fFOjDH3SmsAyP9s2fyumFpdeurw+JTBKnlnh0i6oCIM8Tqeneu1SAuv+nMPk6oBYJqgSAFcuWWKz9aMHH6X5FrRqBaIHptEL02SBfVBUki0LXdVf4ogwRNorJl0yKYinr/D7cuGfQ=###1524:XlxV32DM 3fdb 5dceNq1m91u4zYQhZ9oEQ9nSEroQleLFLnILtDtz0UQEIokA0a9VqDIbbZPXym2ZQRIYvFoeKfEPh/P0MekSNGbXd906/K/8PS4uaraXd+127Juu/Hv0DxV2/Kf9uph04eq3Y9v/UwFl79s4lXhsaz+DrxEbJnjxQbya45NOokX35n78OvXL0E4tOHH/jmQCd/++P3zqlCEkSZs6CMTDQsrRESIyDDNFO3X6+H9m93Q2ZzFaw4fOtAcAc2dYi3xzRmguSnS8c0x0Bwfm/MsgLTr410K4FKOLinepWAuLeDSTqOnAFLEpQNcummYFkCKuPQFryI1w6gVLaF4iYmXcLxE4iU2XuLiJX72KH+QtPt+HLFzQHTIXAY0SAUgMojL09CbAw0y4lIQl7LApUVcOsSlW+DSF/IQK7rz96Eu+3KI9Xg53jWZ6X5OkUaqNKNKY1WaqNKsKs2p0ob5iWJpwwQVryFAYwANAxoBNBbQOEDjeeanPV5X++F/fdk34fr7bbi+rombJepPNzteLwSQmCWE03pnSS+YRRbM6c62QiDjX+uuaWavKsfrrinrn6HcP8uF+5rHbrOrNo/l9upl0SzDonm6+PbbEJ7QCi1GkOQ446nZNtXw/fczdxhiWHRpKIyCkSVF2jG4CZg2AdMnYGYJmLlmfIxmfAxZo0g7LvpXmn14GskSMF0CZpaAqZgfunx3HQUjRWukaY0KxQmAFCcAVWNG0ZjRNMaKxljTmCgaE01jVtGY1TTmFI05TWNe0ZjXNJYpGss0jeWKxvKCLM+F3ZZ1fXjsNM5od0T3Z+z40uGBWah+DpOeFX3qMFOlMEtJqCYJlZNQJQnVJqG6JFSfhJoloeb6X67ty9MCWybBhpuvf4aVuufnths92wRYSsWlIkk3JMKaNFhOg5U0WJsG69JgfRpslgabFzx7rXg7PjqoftRh1zz3hx1OurRD/LE6fP9rxS6K8G/b1ePeOBF7UEhcg8rToz3QskEtm4tb+e8qT1tJaM3TBvgy/cVjNmf9mJFpC31+Ol/JTqdmbIz6HEyefc8wfcvG8wEPiGr6gCRePcySlx5MvCM7thqRyNfyrof8EtRJRNMxqGqJmhY1LlBf0UtfAXkCu+pcq4PUmFkDmTXnGJa42iOVGrRShirlKcBIpTydXHOQGKtUCsSrTIdUF4gZKVTQQi1UqF0SP4t6dZBXN52tXSDOkEIdWqiHCvVL0ueL6Pu7V2Ks0AwqNJv7g4WPxNB4kqGF5lCh+RRdB4m7XuLH6/eWTOMJhkqPRsq4mAd/M3CqNKNKY1WaqNKsKs2p0rwqLVOl5RfP6L5Bu/wrn7dEBIxf4xINURFi0CAiRkSCiCwicojII6IMEeUye0H7cshvfHX7xplF8SqYTzc7ybRIEUflPkQdFzGqNKNKE1WaU6V5VVqmk1ejk1ejllejl1ejmlejmlejmlejmtfTnq1OwlgnYayWMNZLGKsmjFUzwaqZYNVMyJCJ/wGkBS3Y###2052:XlxV32DM 3fe1 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###1560:XlxV32DM 3fdc 600eNq1m1uP2kgQhX/SuKrv2hWPI+VhdqXsZl+iqGUMo6AwTAImO/n3sQdsyEW461j1NgP1ndNUVbe7bdgt89P6KTfPu/Zut1kut+v8uW4+5eYj3T08HV/y+cXm+bhr1/v3/CHv14fj9pXa9C/t6u17Nh/y/5vVOvcEufz3u38pkWv+2GnJc6UrT7ryrCtvdOUtuaWivNNUN6pj182MU1X3qupBVT2qqifi1bT6qEVU8VoWT8bKgNNbzO4m9rYn2j+rRVkcFcbxgvlmXN5VXQ7YFwR1YsSpIPD0fywQZdNV8yuHwkAqkQylkqFU0lCh5CmQTHUzsvm4y8tNe8h186X/o+qqboIYOb0rN+ta51GG5Mp4KZH3h/bzcyu2IrEVna2EiSB51mnMehCbibNO4qwTmnUSZ53QrLM86zxm3YnNxFlncdYZzTqLs85o1o0862bMuhebibNuxFk3aNaNOOtmzDrH2+D20yvzWG+3U6O6CpWJ7/stwmNx6OlVUyxO5eJ0FrfF4t1mJJSF5qlt2VXkkL9SaSqWJqk0F0uzsOqbw7q0MF3osOUUEOd1xdwkVnVb5+a4z4e2btf5/p+HfH+/IlMj1LB/mQHbObAD4RmZYsjyvJ+eBbs5sAfhc4vfPpD9eNLrL1RWFj/0EYQxhhkMsxjmMMxjWMCwiGFJ1h4kbA/C6kxYnQkrGGEFIyzzhGWehZlnbGIyVjDGCsbYxGSsYIzNsAFLLgiw8ntm3Vx0UUeZFKVJT5r1pI2etNWTdnrSXk866ElHPem00JrnejOG9eY5K45ab56zUasiqynrjdmqKTs1Za+mHNSUo5pyWnAjUM6VLJxk4TxxS2a/+vb6Zn18mbglcxU53CsrB863Aug28EuC+0NulDPDbp+laLcLmzjB/x46b+AZcCTEkcYjA+DIiON4SKkAR4M4msGRAEeLONo5dXSIo5tTR484+jl1DIhjmFPHiDjGOXVMiGO61FG8xHULQJIzeBUZWeO4muOIrHFMM/qGkTWOeUbfMLLGsZnRNwz0zdUnFPsZwG/8fFbuZ4FLvx3vG4ntHGA3LN9JbueBbPrLjBD7BcAvzOjOCPjFyxoj9kuAX7rksxKyuZIj8qohmUC6A1kPkK5A1oHuWAUwHmACwESASfLWAcrDQOsw0DqMjM3IUwAgVo44OeLlSJAjUY4k46RId1Tvj9wNxg0XQ4/g/dEbA7vd5QoEh90CZsyo8eWpGGZs0FRZFHQo6FEwoGBEwW4LAU0YuP8u2x1owIzOmP48hoGMggbMLYOcAWtyddMJ8rXg4mnH70NAtg60deNCBNl6sDoB5CLIpalvZPye6zb5EIb1Tr8OYBzqxyBnQM6CnAM5D3IB5CLIJazNwPIx2GYMthmj4zRYWkDMYpjDMI9hAcMihqWpZ4eHNi/r/etPvmJp5NSXyq9Ch3uVQsBNDPvQ1vv29IB0QvoqsmwsvwBGAJyekdpb5zy+ezjF/vSU9+W5/54oUX7z13/dVasGJaiTgGFeTPy+nGf++L7qfw/7HTpt2Ms=###1476:XlxV32DM 3fff 5aceNq1mt2O2kgQhR9pXNX/ymouR8rFZKXNbm6iqGUMo6AwTAJmd/L2aQ+YYZMIdx2r7hDUd05zqrtx22wX+XH1mLunbX+zXS8Wm1X+2nZfcveZb+4fD8/59Gb3dNj2q91H/pR3q/1h80Kth7e27eYjm0/5v/VylQeCXP7zn7+JXPdmq6euK8+68kZX3urKO115rysfdOWjrnxSledGV1531bLuqmXdVctl1S4U5Z2mulEdu24yTlXdq6oHVfWoqp6Il9PqZy2ihleyejJWBhw/suyuYn8NRP9Hc1tXR5V1fMt8tS5vm5IB+4qiIkYVhWxKh/7lUFlYJRlqJUOtpKFKyWMhmeZqZfd5mxfrfp/b7tvwoimdNEGMHD+Vm5Xp8CBDcmO8lMi7ff/1qRdbkdiKTlbCIEieOsGpkzx1EqdOaOokTp3Q1FmeOsOpszx1FqfOaOosTp3R1I08dQOnbuSpG3HqBk3diFM359Q5Xgc3X16Yh3azmRrVRalMfDf87D9Ulx7fddXiVC9OJ3FfLV4uMEJdaZ661LqoHPOrlaZqaZJKc7U0C7u+3q9qG1NKTwtXTLCEOO1E5iqxbPs2d4dd3vdtv8p37+/z3d2STItQ4340A7YgPOPLMmTJp47MgR0In6bm9cPR/09dww+MldWP3YQwxjCDYRbDHIZ5DAsYFjEsyaYHCacHYX0mrM+ENYywhhGWPGHJszB5xhYmYw1jrGGMLUzGGsbYChux5IIAq79/VdaiizrKpChNetKsJ230pK2etNOT9nrSQU866kmnW611rrdiWG+ds+Ko9dY5G7Uuspqy3pitmrJTU/ZqykFNOaopp1vuBMq5kZWTrJwnbqXslt9fPmwPzxO3Ui4qx8M5XQd+yWs4s0Y5M168sxQtF1UTB/LfQ+PdHMCREEc6nwAAR0Ycz2eOBnA0iKMZHQlwtIijndNHhzi6OX30iKOf08eAOIY5fYyIY5zTx4Q4ptc+ire4sgEkOYN3kZE9jps5jsgexzRj3jCyxzHPmDeM7HFsZswbBubNxTcU+xnA7/z9rNzPAj/99nwbSGznALtx+05yOw+k6V9XhNgvAH5hxuyMgF983WPEfgnwS695NkI2N3JE3jUkCWR2IPsBMiuQfaCckgDGA0wAmAgwST51gPYwMHUYmDqMjM3IIwAQK0ecHPFyJMiRKEeScVKkHNWHI7dHOIJBQsFyCbQEwfGZFmZs0BFbdMT2/PwTM3aosTs/kMOMPRpVQMGIguUaAlox6PxldMUw7MgoaMBsGORQPwtyDuQ8yAWQiyCXpv5j8HuuXOdCGEGjHK53MQ71Y5AzIGdBzoGcB7kAchHkEjbNwPYxOM0YnGaMjtNgsYCYxTCHYR7DAoZFDEsTT8P2fbvrj8/OJp6GXVSO9+CEgBEAx+dt9tqZwdzcH2t/egD4/DT8hZAov333oWz/7Q8Ujeep###1456:XlxV32DM 3feb 598eNq1mktv20gQhH9S2DWcB+FAR9+CBfZxCoIBRcmIEFnOSlTi/PuQtih5d7PSdBF9s6X+qkb9GM9Qvtst8+P6MXdPu/7dbrNcbtf5a9t9yd1n9+5D93Tc9fn08ssv631+ftq/l4WIa+84GAPsu+vw4/H5X+hHfMr79eG4faE240u7dvsR7lP+vlmt80iIz7/99adU4peG8raLN5aHrbyzla9t5b2tfLCVj7byyVa+MZVHZStvO7WwnVrYTi1q0/0Y3lLdma7dNjPeVD2YqkdT9WSq3ghWt9XPWiIV1rp4gb8K/D7G9u+rRVmcFMZhAVyNy7tq+DAIBUHjMbAgEG5I9TfEwsAiyVgqGUslnRRKvgaKq65Gdp93ebnpD7nt/h5/qIZKuqhGXt/Vmw3t8KBDcuWClsj7Q//1qVdbidpKTlbKRIg+60JnXfRZF3XWhc26qLMubNahzzrOWa/VZuqsQ511sFmHOutgs+70WXd0rzt91p06647NulNn3Z2zjnQd3H55YR7a7fbWqt6E6sT345/9h+LQ11djsbiUi8tJPBWLDweMWBaab52Z3kRO+SuVlmJp0UqjWBrKqm8O69LCDKGvr0JDnPYVd5VYtX2bu+M+H/q2X+f7Pz7k+/vVrWeF/0NNuwsHz1gvKEvMWe8JZp1PvXL92vHP+8y449e6+OkDUhg4zHFYzWGewwKHRQ5LHNbo2kOU7SFcnYWrs3AFE65gwmVeuMxDmXlwgwmuYOAKBm4wwRUM3IRNWOOjAit/MjTMok82ymIoLXbSsJN2dtK1nbS3kw520tFOOtlJNwurObebGNjNOQxXbTfncGZVhJmy3ZprM2VvphzMlKOZcjJTbhboFMq50oWLLhw3nm3sVz9e3myPzzeebbyJnC7nch34T77GO2vSM9PhHVp0OFTduJD/Gjqdx+E8A+97YqXCrFTONwfCEYzj+a5SEY6OcXSToxCONeNYX+qvd/SMo59Tx8A4hjl1jIxjnFPHxDimOXVsGMfmUkf11jhsAI2e4asIZm9ENceR2eMgM/oGzB4HzOgbMHsc3Iy+AdE3bz6h2s8RfufPV+v9auLIUJ8fH6ntPGE3bd+N3i4Q2QyXiVD7RcIvzujORPilyx6j9msIv+aSz0rJ5kqP6KvGZILpDmY/YLqC2QeG2xXBBIKJBJMIptG3DlEeEK0DonXArM3pU0AgtR7xeiTokahHkh5p1LfY8Yo/XtUDw4137hUJTl8xccbCrhgs6FiwZkHPgoGtyvm0QRpHdsWJBYczANXxQ/90HDerb8EODGYODNiBGa9VKxKcvvbnjB1ZWpAc61eTnCe5QHKR5BLJNbf+NeLX3HDMpjChVjketzmO9QPJOZKrSc6TXCC5SHKJ5BquzcjygWwzkG0Gdp2OSwuJ1RzmOSxwWOSwxGEN4t1PMmvgYA==###1472:XlxV32DM 3ff7 5a8eNq1mktv2zAQhH9StMun0CLHAD2kBfq6BAUhP4IYcZzUltv035eyLTttU5k7wt5ah98MPbukSMGrSXqYP6Tp46q9WC0mk+U8PTXT+zS9Mxebtlm3+U/bVcvzN6uykfsPSQoYAbDetE+Pra0GAHtxvR97+Hj3n/k6PT+u31aXROnd+6+pMg0oQVkChjnDbjoMP2yf/0Jv+Ftazzfb5Y5adB+tmuUNm2/p52I2Tx1BLn348pkqchNFed3JK8uzrrzRlbe68k5X3uvKB135qCtfq8pzpSuvu2pZd9Wy7qplq7ofs9NUN6pz103Gqap7VfWgqh5V1Wvi2Xn1oxZRNXj4e2U8sRsEPnZj23zSKxtHheP4knlwXFpV+cuwLxjUHQMLBrLJUf/gUDiwSDKUSoZSSUOFkvuBZIbP7tO7VZos2k1qpt+7f1S5kiaIkcNVRGyW2+FWhuSrhJcSh2uM2IrEVnSwEgZB8tTpmLoVm4lTJ3HqhKZO4tQJTZ3lqTPc6yxPncWpM5o6i1NnNHUjT93AqRt56kacukFTN+LUzTF1jsPg8n7H3DbL5blZvRgqE+9e8BSKr48ldMXiVC5OB3FfLJ4PGKFsaDp3Znoxss+vVJqKpUkqzcXSLKz6YjMvLUweuv+UxYSREIedyAwSs6Zt0nS7Tpu2aefp6tN1urqanXu7+B+qf/Zi8Ij5MmTJhzKMgR0IH7pr+KLy5w2oe0ZY2fj+AQFhjGEGwyyGOQzzGBYwLGJYLWsPErYHYXUmrM6EFYywghGWPGHJszB5xhYmYwVjrGCMLUzGCsbYCuux2gUBVv4uKa9FF3WUSVGa9KRZT9roSVs9aacn7fWkg5501JOuL7XWud6KYb11zoqz1lvnbNSqyGrKenO2aspOTdmrKQc15aimXF/yVKCcKtlwkg3nM29D1rNfuz822+czb0NejOwv5zQM/JNXd2eNcqY/vLMUzYeqMxfy16H+Z2OAIyGOdLwBAI6MOB7vHBXgaBBH0zsS4GgRRzumjg5xdGPq6BFHP6aOAXEMY+oYEcc4po414lif6ije4vIGUMsZvIqM7HFcjXFE9jimEX3DyB7HPKJvGNnj2IzoGwb65sU3FPsZwO/4/azczwKPfnt8DSS2c4Bdv33XcjsPpOlPK0LsFwC/MKI7I+AXT3uM2K8G/OpTnpWQTZUckVcNSQLpDmQ/QLoC2QfyLQlgPMAEgIkAU8tbBygPA63DQOswMjcjjwBArBxxcsTLkSBHohypjZMi+areXbk9whEMEgoyChoUtCiYjxczEOwfwpixR439SOOARhVRMJ8BoI5H+6+7Sc1AcFS2DM8YXTHd9QbKlkEO9bMg50DOg1wAuQhy9bnfGLzO5XMuhBE0y+68i3GoH4OcATkLcg7kPMgFkIsgV2NtBpaPwTZjsM0YnafBYgExi2EOwzyGdb/w+Q2CmOZr###1500:XlxV32DM 3fe2 5c4eNq1m91u2zgQhR8pmiEpkthFLgP0ortA9+emKAhZVlGjjtLK8m727ZeKLcdFW5lzhLlr4/nOoc6QNKmm/SY9do+pferHu3632ey79KVpP6f2k70busNx//Lxrh+7oW/2qTk+p2DsL70ci+wXscPYDGP+6NiP3JVWnn5IUsAIgOEwfnkabbUAuLu3p9rzj1/+0g3p+Wn4tbonSm9++ztVpgElKEvAMGfYtcvwY27Pt+h7/pC+7+N7Nh/Sv7ttlyaCXPr9rz+pIrdRlNcdvLI868obXXmrK+905Wtdea8rH3Tlo6o8V7ryuquWdVct665atqr7MTtNdaM6dt1knKp6raruVdWDqnok3t5Wv2gRVYuHvx/UE7tF4N1UO+aTXlkdFdbxPfNiXeqr/DBcFxRNx8CCQjY56n8WD+rXhUWSvlTSl0oaKpQ8FZJZPru3n/q02Y2H1LRfpz9UuZPGi5HzVURslqfDRxmSrxK1lDhfY8RWJLais5UwCJKnTnDqJE+dxKkTmjqJUyc0dZanznDqLE+dxakzmjqLU2c0dSNP3cCpG3nqRpy6QVM34tTNJXUOy+D+8wvzsdnvb43qqlQmPr3gKRQfLi30xeJULk5n8VAsng8Yvqw03TozXVXO+ZVKU7E0SaW5WJqFXd8dutLG5NLTT1lCnPcVs0hsm7FJ7XFIh7EZu/Twx9v08LC99a7wJ9SpwILwivEyZMnnUNfAbg1cg/B5oi3fWb69DE1fF1ZWP39XQBhjmMEwi2EOw2oM8xgWMCzKpgcJpwdhfSasz4Q1jLCGEZY8YcmzMHnGFiZjDWOsYYwtTMYaxtgKm7HovAArf62U16ILOsqkKE160qwnbfSkrZ6005Ou9aS9nnTQk473Wutcb8Ww3jpnxVHrrXM2al1kNWW9MVs1ZaemXKspezXloKYc77kVKKdKVk6ycr7xYmTY/vfyYXN8vvFi5KpyvtnTMvBdXtOdNciZ+fDOUjQfqm5cyH8Mzb9BBjgS4kiXGwDgyIjj5c5RAY4GcTSzIwGOFnG0a/roEEe3po814liv6aNHHP2aPgbEMazpY0Qc42sfxVtc3gCinMG7yMgex9UaR2SPY1oxbxjZ45hXzBtG9jg2K+YNA/Pm6gnFfgbwuzyflftZ4KvfXl4Die0cYDdv31FuVwNp1q8rQuznAT+/YnYGwC+87jFivwj4xdc8KyGbKjki7xqSBDI7kP0AmRXIPpBvSQBTA4wHmAAwUT51gPYwMHUYmDqMjM3IIwAQK0ecHKnliJcjQY5E46RIvqpPV+4a4QgGCQUZBfNZZguC81ciZmxRY3v5xzvM2KFR1Sjo0Uf1Kx81oCPOZwhoxaDzl9EVw7AjumKm6w2UDYMc6mdBzoFcXh4txs0bCWTrweEGcLhh3XAjaBsLf2XhJ/9buMIw7CGnwzrGoX4McgbkLMg5kKtBzoNcALmITTOwfQxOMwanGU/j/B/2Ht5/###1832:XlxV32DM 3fe3 710eNqtm1uP2zYQhX9SNDO8ooGBLAoUfWhaFL08BAXhtRU0qNdOZW+S/vtKlukmrS3yTPmwwMI63+EhRY0lit4/pqf+KW0O+9OL/bvHx12f3q83f6TN7/bF0B+fd+fD7/anftivd2n9/CmxiPlqj3NKzOgwq8OcDvM6LOiwyH4RO57Ww2k89Lw/cV+rnD8kFBAAGI6n94cTxS+AQz/q0od+c3rZrbi7f+wS8D5OCzhlvCzh+y3wQgtcbiFL5H4LstCClFvIEi5LzP0QZmW2Xxwb1vM/w2H34rv1dpvGvyH1w/rYnyfkODvfEP2Wvnn99Vge0mE6niSk73/+KW3+Gs+d6Vv6Udc4IDX248Z+0tiv9Qm2jf1cYz/f2C809ostL5Dd81QtrWlsmL59/UvqGub8dBimyvC2qSG1d6RV4043N+TWhtLa0LQ2tK0NXWtD39owtDYcq05cNhz6XXp/OI43BnR2C/8ys91nFfuxnReR7dq5zfc7tr6zfNPSkaazZS+ks2W3yx1mfWflpqVnTWfLXkT1ycxNtyCaZGUvJJm96RaNJlnZC5kgZbfL1cALtWR+WjtXk2GbSwm/BQGSDiU6HCE4F8OEwISBCQsTDiY8TASYiGJAYn5CFKvAxrs9VXOkw1iHiQ4zOszqMKfDvA4LOiwqpsn89CJOw5EaJGVSLcdKTpScUXJWyTkl55VcUHKaGTo/COMT7fK8qwRJmVTLsZITJWeUnFVyTsl5JReUXFzxAvc0KieKuvHOytXpiDd1wrw2DcmlMi5X6aa0NTqu1EmlzlTqbKXOVep8pS5U6uKoM2VdqJNNbtuyLH0czlP41XSaIX3d3LzqDSa3mNyBcob66piWnulu6UkEAvIFifTCY50OmDwSP9bLHyDzB2x8HnLBAhthTC7CiDyXXGSUsESMjRKrRonBTNgosWaUoMvgQbD+CpbFQOZYlRvNoSwWMsdK6GgOZXGQOVafR3Moi4fMsbI5mkNZAmSO1eTRHMoSIfOIZYksrl4+HNOr6U3n03q/JRikDHYgOD4ZeRiZS1aAGxsfpwKM5JINt2bwrpncGtyYxRuzeTkcbszhjeW19wg35vGT5q/DCLcW8K4F9UmLKxB5mNZKUIRWQhAyr0kzykzLMXBDmnCsYETBGAVjFYxTMF7BBAUT0YlwWXLGIdJArIFEAxkNZDWQ00BeAwUUuqzWCgxRp2mKNBBrINFARgNZDeQ0kNdAqikRV7bwpXT9IG2eh3Q8rU/9G/vZi/qP77Z9moTk7fS6npbf+2ssub2ltLc0ROb+zfcPv/6YyMwbG27tdur/fF7vpm1Oh/PWPd/IiBY2X4FOeT9Sq2i8sBkVc5qTOSOVfv+8WvjMjPPQmxYutLCTBrHJ+6KahGKJZZv5Fcxx9/GNZJ6MTwfZ6tmxSJPp9Pxl67886izsdLl2svk/NPH94pr2nbCfvik5Vojysl3ZkGoM8/yPFYZcY8hXQypqVxzKmmo7rrDj6/gV7aTCTurtTMXgXZcBqOxnK+LZ+niuIp4D4vmKeL4+Xqiwy8/jUraLFb2N//R2+dIQN1bMDywVIio0O6vyqNRrBdA6QFuqCtafux4rRJcqXDB0tsJwFtUZejkbLosC1YhiRbRZlH9s1i9pLcWCwMuywHVx4f31LMg/71s28qFg5ENeDr3/Rf7fu62Xi1sVb+ovS7wLb3VvYLS4lfI2kNfuCOWGExiO0HB0XegmlBvDQSeIwBNEFWvwtzE0GYPJuGI19jaGJhPwfF5fJXRYNMGjGXDQ8g0HY8kMnsyCyazudNpLsr8BbZvICg==###1636:XlxV32DM 3feb 64ceNqtWsuOI0UQ/CK0XZn16BIrn9AgDsBhQRxWqOQXYsWOvfJ4NPv52KarPQimuyKyb5YckRGVlfXIsg+b8rh/LNvj4fzutP7nw+n4+d16tzuV/Wn9tC/r56/l0+H8Pq40fHtA8OXLevtXcZ12IO90lh1CSSv1GH6whjlLuLMeTFpPJq3HrWUwaZlLWr4680gSPjr3e/n+p++KaDmWy/dF+/Lzr7+871Y+LhHHLRbIrZYZ2VJxZKE4ulAcv1CcsFCcuFCctFCcfqE4eSVbIE7pVCF4OT2dvxzPmIiD9qPrlucxfHWFyThQxg0yWMoclTLBRIQSUUxEKRGPiXhKJGAigRKJmEikRBImkiiRHhPpKZGMieQqsp5mnXbjjaJbqQPAw61FNs2cy/1gbhD/Rtc7G6LhVioIug6jPU8OyVMVCICAIAIyCHhAQBEBJQQ8Mgn+Ps/tCgFRCFUBmeaIKIxNmQAKCVG491aAQo9MdO2REsHpAVMZMVW7oyy5lVNme7zX2LpPtocHiuh6+XIAGN60r9cuQGC8c7UnyOEJEiC84OEVCK94eA+E93j4AIQPePgIhI94+ASET3j4Hgjf4+EzEH68QM28aLycrpTLfUhSE/ByrWkM6VqB0grUVqBvBYZWYGwFplZg3wrMU8fs9s/bx7J9PpWn8/q8Lw8ffiwPDzs38cD4NumbHw4aOZ7THUes9wLNBL+S1zy3s5BNysEwZDFwLan2Bq5lvNHATRx3uGsQi0+YqhBLSVUyVRZiKCkxlJQYSkoMZSGGshi4vYGbOS5fjsqcBUqeBcqeBXo/C6iKUMNZULnRICwGrhq4iePy5eSZsvBsWdyIdaMghamp8YZ68uPdghe2mFYDNxi40cBNBm7muEOL9PYPP9vj84X59Pnl2iBtmmDz78r/RQuEVgjtIXRqSoZrS4aDkuGgZDgoGRUdm4YnbcMTaHgCDU+g4cn4jNkyPG0bnkLDU2h4ChWnjj9C6Dy6TD3Dv0LVxd8S0jWFdEhIaQopSEhtCllPfvf2WXzoOh+km/7++oDhZyHlw29dG8xNGwpp2lBIs4ZukHlDFTZjKOZpQzHPGrpB5g1V2Iyh3k0b6t2soRtk3lCFuTnYsMinfWed9p111vcNMu+7wpx/e9cZP9/vCx/Dq3/XvHza7cvj89fiUhj+fRZkyWjDQbWkRbeoxfHkXdKiLGqxnodhSYu6qMXxBJz429H/BG153p2idbrleLUZMtHFRg/koJ32HO/6BJJpqtM/aG5tp7h8ufpIxdKHxwEu3ewsi23QYnOtpKzaVobWbYBz7cnS9nxpe0Np+7G0A5mv8bGApZuKhHUdbPtfsO1/kSySyBdJNBRJNO9/0bb/RVuRJDJn6ZazNc9l96Bk28KSLV3sLPU2171t483kmsr8msqGNZXNG2+2bbz1/yi6oeh1ri1sMbHVxPYse8ga1SSIJop2LdENy7y24bqn2UOuqUUpZHcjtu5GbN2NdKaFWemJzBnZHAnfHImhORJzcyS25khszZGwRSK2ErU1R0I2R2JrjmRsjkz0nhw02VsJ31uJobcSc28ltt5KbL2VsK6DbT0Hm2t2F4q2lRFtR068F8rfqRAC5w==###1432:XlxV32DM 3fd7 580eNq1mk2P2zYURX9REZGPn2gwywm6KLpIgy6KgvA4DhIkYw88TtGfX1kibaHpQjwP2g0GOL7ke5dXfLKPT+X58Fz2p+PlzXk3/3E+fbv/Xfbfz+X1srscyuP7X8vj40cbystu/7VE8T8fAR4lMe6nX46SMWrkE2bnDTvZs0+Y8YDx8+vl5XSB5U5QNs2rNhhXrTpD2axbdW6rfkL4LC4q2lO6rtwRWiQi7HokEyWNHCjaThQylwzMHTKozNVwp8MT3LSBjTI4dicUxu7EtgPB6mXaY4rimgATC2WtTlZgl4V3eT7KO84aN3C4eYSv3UKH3nMoykf2CTVPaB6J6mIx4iqrOWg1x63mFIHiFo8NVi834xnjqnJ7WG7Py+0V5fa3csMLqHhdub2u3AGWO/ByB0W5w63c9METdLeToCs3HAqFD4WiGAolLrKf1SvqDoduKJQEy514udNUbs5aBSuwzenWZnrnT20kpLiqzTQ7b1M0GkWlWRvSmkHWsUq5gR0IN+ADMaEwfyZW5Sw3qIarEdcY08Fh1PFh1CmGUWe0T1dnVDHScIdxVbfopq2uZlbncNtu+yiGnCoCnSrE4CtAr1qyb83S0LqVR1auwF5eBvzyMvCXl2ExhaJSBdXDNah8GZlobN2FtGbJsE5JdZRSS2sNndiGMzsMGR+GzA9DXrzjRaXKKmfdvuzpq1g5DmLjWzM89N5iFqQC7X1fuURbxmNx4eLtbScXd502W6DNKLTVFpJm3Dcj7UgGRFIQKwoFHQU9BQMFIwUTBTOyjo/ly/FvcsgqacAhq2g74HTVvXfPBVne/zGoaKOibec3QP+hy6OSfwdqHjx1SiWJUyraLkh01cQplYROudNGRROnLGjklCVPnBKFOqWSxCkV5ZkyfwBxSiWhU+60UdHEKQsaOWXJE6ckQ51SSeKUinKnzB9AnFJJ6JQ7bVQ0ccqCRk5Z8sgpGTslc6dkrVMydkpWOSWrnJJVTslKp+SlU7qvwi7Et9fp0RPQPnR+W3DjpkahxaJp6Ao6CnoKBgpG2pD0wAQTXWkaR3cIithe0JvcrzZCzG0zCDK0guNxxug7ulxLQdKOKCIA6v05Y6Xac4VIWkSB7YUhgxWGmHqfl6dDuf77aXc2oe8HnufDt/Jyei37z9dLxuXP9Nf//ev5+z/F2/Lbh9+vb66C21ziekiD2VZmfHiYYLfXMCFtL1Kn/K2777bvvpu6b7feid9+J37eif+0rUx4CLK1wnQeN+7IeO/AEvZHCbuUCKIOr/USqvBaKaMKrw4NHl4dIvWrsq2777bvvja8Vsr47XeiDa+VMorwWq2gCq+VKprwkh8lZCkRnTq81kuowmuljCq8OjR4eHWI1Elk6+677buvDa+VMn77nWjDa6XMFF7/AvMX+C0=###1472:XlxV32DM 3fae 5a8eNq1m9tuG0cQRD8pnO6eCxGDv5AH28hDYCxkQUGCWBdQNJDPjyyRa63EAHOKO2+CwOqu6tlt8nClu6/T7c3tdH1/d/hlf/Xyw/7+28+fp+vv++nxcHW4mfY336aH+8fp+i+f/r47/NG+nPvV7fd/pxrTb58/fSi76ePvm7L59W5kl7ZLcot43yJet2j5uYU9tYjhLZ6Hlca28V1Kxcb3SKWNbzI9XF3/M+XRpx/jTz+eT99GJ8njk+SXJPnPsW3KrvjoDhctr84ulyyv/L5Fft1iWy5eXv0tLlpenW0uWl6gh768QJOX5RWjTz/Gn/6ly6uzTR6f5NLl1dnmguXV3eGi5dXZ5Wl52dX/t5i16Yf2w2bnCbz45f5wQVOAqURMpVMDQbMFpoyYsmOD5MY1G+DKiSs/djBBk4Gp2IHYMcdWRCTKSRSChsTP5EzyfKVwjQmaImgaCF9I+CKEL0L4kyYLmgrCVxK+CpdWIw2aMN2TxuJrr2b5lpRevyVlO34Szb5mtWOstKbHWNXjvJ98TY95l23Nasd38zUtllUtlhEW26oWTzeM27a36LTxTf9rp/3j4eH+0H8Pz5Kniw9rfnzS9spleAYJzCDxGaR5BhVreBgDYezYBZR3UN75rFyYlS9mFSBMgDDBZ5VB+czLF1C+8PIVlK/8pKtw0lU+6QbCtNOsej4LGUFXW2CoCZoCTCViKiEgMQFdjaCrCehqCroaQVcT0HWpcWCqE11NQVdT0NUEdDUBXY2gqwnoutS4oMmCpgiaKmgaGHIhQy7CkIswsCIMrAgDK8L+qmRgVbjsG2nQhBM5aaILZOw9ethr9ChOsLq7GsLqzqqxqkeI1Z1VO7G6u9pxI6xpsaw6xnmnbNb02FYdY5tv421v0U6uNs7VJnC1aVxtMlcb4GrjXG0CV9sZrk4gjIEwiKsNcLVxrjaBq+0MVxsIEyBM8FllUD7z8gWUL7x8BeUrP+kqnLTC1Qa42jhX+4mrDbx4RqCERSZoHCTphHEXYNwFGHcC4/4GxrnGgCknplw4PheOL4ipQF9b+Ft+541M0ARIn0n6LFwnWQjC8N0FfHcBq51gtQtY7cKTZxew2oUnz04Q2ReITNZWIw2aMF325Lnzz9Ctj0m6q5HHkZ1FY1WLMcJiXtViHmGxrGqxjLDYVrXInjs74GPnfOwCH7vGx36GjyuYQQIzSHwGjI9d5mMHfOycjx3wsXM+doGPXeZjB3zsnI8d8LFzPnbAx8752AEfO+djF/jYz/BxA2EaCIP4OMhz5xBQN4Q/sw7hWXUQPA4Bj5caYsqIKYbHS40JmgqCOAnigilHXLXUNBAkSJAQTiSE8CFcjoFYbKnZgoFlMrCMvrd4o0lCIxM0LmgymFghEyvCxAr7picE3l9qyMqrJH0VxttIgybcwYzdu//5Otua1QjSdf+H8JPF/wDX5e0r###3904:XlxV32DM 3ff0 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###4492:XlxV32DM 3fff 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###4416:XlxV32DM 3fff 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###4044:XlxV32DM 3fff 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###4204:XlxV32DM 3fff 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###4400:XlxV32DM 3fff 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###4432:XlxV32DM 3fff 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###4784:XlxV32DM 3fff 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###4636:XlxV32DM 3fff 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###4336:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 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###4676:XlxV32DM 3fff 122ceNq9W8my5KYS/Rl/ALOkq/CvOEJIENEbe9FLh//9MeZAiRqu+3nRfas4AkECJw+ZVFz2P41yX0bZ/Tcj45fUwe2/WaW+ZNz2H/ISX+Ir/5Xtr0p/fzNq/dJ22XOBboBBYC2AxQK7738ZWZr4x0tx5GeX9E/8nV4avqyQtRvqkr0bXj10g7X+tD/4Wt4xN3ZMDx0L6ZOmHVtrx6zTvWPG946p/YcSR+mHUlv++5c5jt6Wz68xtK2ttuWE6G05sPXax1Q7ZsLeBzeMSZUeC+hxNqOlb/GtxzG2t5j0YmrKm0aXuaG4fdRon7PbR4F9lv6266l91jgsAmlrWzqG3tZ1zRaB2bnB2lwrNrV8kOvL1bCQ1fBzMbkx9ffvpaup4v6HUiEB8YwMsBmICZBiEwRJLWVky21ZyaroDFwZcIYBpYYvbZW1iIjIyJEQe+TX6wykR5KB99/LxORPfygRStcPVlflumdtVXckrZw6KGEK4qEnqdk0TwmRDbEEyQP6vZSlVVibXEiTedCtP2Xk6aMDWPV2GwJ2TK3VsSciSN2/tj7CAqi9dzcPRKhcWYWTPaPhmWxboesLsOOmToe8sgnj4QlQ3iyvbJ/oDwaoDFxlzi0DdAayqUNcGGAyUBfDGhiSlokM2WIhAOBVb6t22EuGlMbaHAiG5MbSfwXRBKmDPI86fMU6IHIdXzoQWWNllMWqwlmGlCp1lr1jSDFZnix1VgMko1uYg9SzvMU39yXTt7TLZfz7T6PTFxWWvWx36da6t5Va979SlxsrTf2NiY7vaBMl39EmMTLb0SZmfk9z3tryHbCJgnPBiU/6UnBhwVEKAhZcpSBiwZYLgsCCc8+MJ/EtrhRUwk2LEoGlAAYLdCmw7clQyDLtv/a3kmcj0USmB1bMjiJRBhZcpeBsT8KArJQFCFhgSkHEgmSUPGsrJ2YTm0hQB3jnQ70rEtbPnfIyOOVjpha2CGpBv1YLM0dh9lfeUI89dEMP16lssJ/KhuOaygb1UjZY+aZs2PxENjgh/4Vs0PeyYXNT2WA+lQ2FCO9lA6yGdX1bNuj93bGtzxYB88pr98pp3MQrp+/gXRLCXSG6BEGdPSN+wSQFypNSBVQI0RoFAN1CVEEB7kRIAYhcWBxDiDxBqZPmhni39N0ThHjd9H0D5Jo4/AIUh5+W6MThl2c0PEMc/gbOuCBE3RwnvHrl6qZsuI40dZPKurrZPGmyqZs8r2USIkqYYgUQEo0TEAHnm2qDFRLTEodNNEYCiC4gqqQA4K+JjikAOOUQN/b2W1VSqhAhsTmGgO8nEqcAoEqiv9hbiFo6GQBqSZ0rEwtllppY8FksmPwPpAJRCFUzaJHd+dp260a95Q8rszF236SKoQ6s0bFc8BS3vqab3uQgf9rWTf2oiCdIXhYJ2YARAEAeCPEgQHrH/kf+LxkhJscuv65ElQFssHW5dKAxUofFKDJkM8b3xcYoMs5RKaCWEBG0xI2EiNaPHrt7FwM+7Jwe7dzD+f5bbifaY+J1nNSfep2oBn/ZvY6RV28rigd/+VoDPfMpUQAhREW5X4rgCELIn5wtoqLkTzR/VJT8ozkZAOQP6zcbkizfqDyWEydWZ72UN6IsFStPCoNY7a6wyOoAAGFHddByoPjqKaAc3YNozNIAcC24cyuQPWsS/2mzZYvIfDT5WmCzHX2z+enZBA8WVEj0wwmeVkL8/LSSN0+IciK/tV67NMvRuvvNs4wCZ30ZF3ktyA1dlbCSck+p+9IbllMnpU9ajl7NrLQcvUqf+loODipqR8vBPUWtaXn3gYkAygs4m/o+wecDm44kytj1GZvaT9lUDmza6DWMZ7iRVl2Y0qp+QquTg5l7eeyxt7Tqrimtfizmo57SanhNq8vLCN/Tg1tiJRA2qSMzftUzftUzftWMXy1v6oZfk0Upv5oNyym/utDLQ+PXXLHxq0Psnl8LgPzaN18tv+HXUn7HrwW449cCIL9KoX3RYVTRnX3/XZRgQ908MviJomstkTWnmqJz4buKToNuS5+qogtVCOtAkKrogueKLgO3ii4BVNFJYR+NcHUjBGqEl7LW+qmsFd81ggUjWM9lrY0EuZe1dmYEOxrhOnMgUGU7NDPEKLoVYreCu7MC+txr4+E1c507I2tzeU7W5lo5WZvrmMfZfih5jfE1ExzE1xiLm6A5i5uwcBY3wQKL02ksQUc6jWfoa/n41jR6mTbhoBnSYa/yqMOQ3fJ2UGTZX/kK/ZavSB0bYncmSazWMVizq37/JDDTMMu7/dkG44fmvIyNvRch1fSNkQq55Rbqd3OKJoJwsaf5xMX+U2+dPPK7Ur0eaNAQyMlGqYGE/Eh6VeVXT2CWVLKCIeByEnIxBHyOlJdjCEZ05GkYghGdGg1HBGNQ8nR0TGdG5MW5IFUmXKAhkZGXJh2sY86kwNRfCXMxhERwrGcIRn3qvCOCTkuemiHotWouAJHutrLrF3RMSHxt53YEyfIfrzfkNwU0HxrBLYLR/EMyouYNqvmHHMT2MgfhIQeR2o6x8RpRp0h4qF9vMwlNlnomOplwbbmGa9SpYYwLxEqrbqmUJ4s6uYvgG3ECC5j/kAXMKGGbkFYnxOsjj34/CwTzfIUU6yhqdRutAYK3kkXwBQvUz0L67WrAcLAsdwVIbF8WVXsXITdmg9Gdbx8g3ct8yVvO4GfeJH3LLVRt6w33/Frirn+kpVN54iBVGPWZHm7PdWo0uD6DJCjsyZ5R8AylQ82ekfAMIcZCf/iMgGcIRUKoJi+ueiqOcWQ0w7mOMJph4lyipRyLoEuhCUKi7kZIBkDAVx8nAyAUy0jY0egtI1THotqUNh2Naqtza4Hd5LS6eWpgN5sv8eGRQy0nkGPs5ChfRVrEEGlpgRU1xlH0GJwxY+ilLshbBvZjOvjcX1Hxwam4pZRpOvj6r9LBz0IJcQwlyJzkldFNokzGeKDk+I002r+LLqSOnTNfoSDSuk3vhtmX7PXgNMz+Ib9HP8n2OhE/zPYmfT/L9urwy7K9Ms4viV2/PNsro51le238NEBUjn/mzpcJWKaRK4dn8SD79MaXEZBSFSzXmjgMVH30LH1YZ7AjNX2Yy1r6UELuLH9qQjgZCNpF2NJgD6aTS7MlnVzqkXSyxHtoQnD5D4K9Iug3URFXhBwz0E+lLcjcAR5ACgIuJEZeBZwOcWAFwORwOl0RhLm2iHUmyeEKFDceZ7fB6jManiHHhOjIVBB3S4RJdDQSTc5y0bFcadqKBKGXruTJh07yvujuCwJelfju6GgWl/ju6NhtLKIDoqPBdqKloqPRdtWkVMu8VhO0zKsyY+YVgjOLYXHy5ohcDdIotw2xDWXG7ep6bOP8XoiKHLRT4+Sgnb4vBCkHtdShihiC1F3lVn5ezVVgL/3jg0+D/Qo0VxBlt4F9eVGN6Y4H6uwa5jb1kwXJMvjzh2hTP2n1+NSzS1zZv4fjIVbYb8ngRW+7PpxBBv+icDzoTkKZInuXoFKQAIjhlTcxb105DuWWsLtxAOqwkA3z7GVPT4qf+PqfeVXU1BK/zivleiBAWDSeGy3HK8P9okbg13/hZkfg93ThinHgt4JDv0ET4GpNoZ06J6Xc1xNUWlSFGOWKFeiFUnAeAW9oaLiEomtLhWwD3u2oXNvv+9YngK2kcpICwFaqhbYKebbmCvOEM83ZVz4dONh0qm86d7vpnh0DHq6HivkxADesD5vlSwzvO24Gltj5sMRmynd9KTCfq5BslWrGzXLXshkEaGK03xuq5ZhIhUW32dvrRLUcE7WwJDbLEqlG2DGHEXWfp+V2nh62GjuDZWG3jgTVzx8oom+E3TuBBoPXJgS7YCWVVQShDl1hlk6wO064qhvSzZgcZw5wVacBt5uj6XYhd5dmFwXedxLsNvM6P8Ye82Ps+5eZwdGnEW56sjccJmiDn+2Ndf+c/G/PXGobfngCuS+bieKjM4UsCezbE+YKvnE73j76uv3fnJeIJsmDpPpeLSD/ciYYtbLuDFEAKq9xGVeE3PsDTVQREgeSC0NQ+ON2qQjGgaoCRARVrnIHGQ7NKNa1VBHdjkJlyNWlqsUTmNKbjAygW3NhCP6QAtLeDQGOg/x2A5DkymjTFnbZIa2wn23fz9urOJXap2pwdiPIjTtejDtePvx+Ydjg12yDH+MGP9kG/39FpZIdVzULLTmg9mN9He2fJSNf/7DLPP/9odqWaYxpBQaQ04s0Dx37lrRN3XDTQNLxYSBJbes0kLT9skCSWvU0kKR+eSBJrXIWSDLhI9LPVNY1QW71NtCi2m39+gj9NR0EINTW8wjZEvcBCLX1fER9BgMQdWPUPmiW21QLUqNj4aU6rx2p4SW1rT28VCekN9k4Nfe+cyq8cVt4MEJZhpCL63JjCLoDIXgd/A2cWRhA4kCRAcRRbfQtNA6kNmxsZTlbdEfZkuzHaeDcKoJnEnRuFSE/dYP0R0XAeej1ZACGg7xlAFGPK0WI4lQtGtSiLnXebROPsqZFkrvx4G5cdzfHVFY/+I7BZaj93RzHNv/JW/cdJLkczDPX0QrMeFvS7m/ko59cmzzGTIYfE9bnmLB+lp8OmJ/OSnCbHEKU7xcqjX4juvz012FyEaN2bZdztFiAmg9GzbfZXhqDMev0N3FwSVjIl1mS/wEIFD9O###4776:XlxV32DM 3fff 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###4932:XlxV32DM 3fff 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###4660:XlxV32DM 3fff 121ceNqtW0mS3LgOvUwfQBxFVYav4ghOiuhNe+Glw3f/nAACSimn+ouqUgEUSXDAewApoby7/WOk/BL7dvtXpOVr+ap/Rfn7j5buSxl3qwI5FGoq1qbQU6CbwEyBvd1+aVHruv0NQqZYntbys/z5R4v8ZRZx+09L+1V+bkWyfwm1ibv+sNpJx9TLHbPYMd6fUJ4U7Y/r/bHlYfRHB+iPvP0rF99ak3LrdXmPdaXypGld26hLrVCXtVCXO5gi0JQhkDduk97bUC7QXHTlydDmQm/O7GI0p0sP6FDK4wCp49jq49jejVi0xxGLo1mdcMRWaDZdj9hvIbdcnuSfH63WIr/9lGIrGuP32khVlAkqFdx6kfr0Uy65FFnThkXSVzGtFXHtqRSRrf4cWRmFZVQto1qZuGIfqkZXjW6a4EBTOjF61zVtpkFT7Lr1Fsrk9CodqbIMHfRebr1ebLHshrK+bz9FClWzkHqrRlRN7JqFaWTVpKZpozs1qmrqAGW9MYWuir0odsnrMkWRe8dTJpra8fKK75qImtR7JsvObXOImjKSw5ql95lrWm3tnSVmpmnWyK5xTNOsqfOknGGKZk2dDBU0U1Rryq82DZ5oujWxWiNTb6SYYHBFlFf+BifLgHyJrexisf35T6vyjypz3ha62Hxf1VK62y8R/fA66J/0vnD/pHfLN7XeD5ta551vO70L7kH1XvbhrzINo7U0FbGVzCAwS29+nyVCFWTSwVTr2ve+H5dlvlp3f5nRKVibQI6SaipUU+gp8E1gpqC6/LHZy6YPqBC1f1LGKRBNkOarqQmmSaL1S+6zRBmvIMTqOYzofR0w4iQ4IRdfhhF39L326B3V0TuaI9CtDOiWJR698wA6VYZheGclr7zzXfvu7fbDEWgDtA/ooLJ6eYTWK6C9Gxj3kAG4NtuW9EuYMXMZAdcZ1i9xHJdHMPa8P4b057eTw0nVjjUfAV7ag/eoQ0lwQTmuMLfmR5grrprucPbqcSO44tpId54yNHmk8gpcsrr7dZdUXsFKVmcfs6Dy2iVZXX1MrP7aI7l3qBkvtB1D3GkxLzDN9KfoaLuC+FO/Ms302tJrppkYID3vwMQAGRQbRoIoPjMNwfNtZxqC4ohCXbNUzeiBRA2DwaIxTIM4qFwgCgKDKlJrCKIUazZW18TnifZdA/j8N+RYUKZshfKDUGMAasIp1Igjbsgj9iiGGxxZ5CR0IW+W70P0oHIz4J9kvNqH6rgP9e0TAv67jkEbndofOqBi26aCrMI9GirHZbvDeu5yXOh78FSOa3aPO5XDuqyzYu9mxcKsRDIrZwhX0Ykh3AC0dIS8BwhXUTLktgTP3KTc7PPpMcfpUU+n56Hb/p0b66/D5QJzk2WlTgVxPXOeXKCuas6TC9S1zXlygbrCOU8uENf5N6itsLavXCIRsfSJ2ncJ85RgniyjOToFTnN0iuc0RyfHaY5OG9KcMvdC9zdEGmvAz51pbmxR6LzyRaGz5YtCZ8UXhc5zUVS201qhbKc4sI6ZJgBm+vUKy+3nWK5PQ0AhDkG8zh764xHDL7mFue7Py+zrqmOBR+A6R+gYBsK5vBlMNz7YUUP/X8ceXP+ui2s4elHXu4HQT03YFHGEdrVIqbGHL1RNkXgRnmlwQ4hFWqbBLSGk5bXhphDSKKZBRlHeyUxTgVB2iDKW2hSrRqRmU0JFYjZZHpJVNeM7YmWaGTovUjMNAWrL3yHgbiTTEEJgE9MAuNdsRFNVL7Cjt17BC+TprXlUJg/YOcBUHYMwfQzk7qIy19bPjM7mrt99eyMeo7M0Ss7Nvm8Yth2ite6ccvcCBZcvENuqBRl9eJk530GCeQoJPMSQm+aZIL2P3Jlx/q1MUNm5dr/IC6qZh4MJfSugu/Qkj+BuTZg7KaPOaGuOTENIMK7SrpkUROxMgZylMELk2sV+lolZM9EQCtozY70yTfNh5RXePiHumyGakY+qb49IZ11IlS3PVRb2wZm0/s1MFdnGTYOZKpU3pphEWAhuLCaqyv+OaYCi/w2xxFplT/uvgvUZN7iDDb4/3+DPOPFCgGRsZ7prIcnycNfS/X2XbMlmcIvT3ApLoahRkuRWzDG30vM0bpTMg41QJvokxTL4Zj5mZXiK5Zdsc3ILcbnyOtLssDGTe+51Xj84ID4mxngAdHAxZe9cuBgYmwtXE5dD4IOeRtrlGwap97xnjHeHBbJ3Y98/NWw9GhZgptJzw+5ImEN8eJZ50sywljXXJ+cDhXl9aJi7ioVMfmDYs0yRu45QTzJFxbDtYFiJC7th6SPDfkfgd9XC5pZrG90rR8hBVFE/e7DVJ0el5juEYEZwyF0+U0xmp3KkkBGyWF0+U0xmo/KZYhJ1AkyRly1ZLCt9LSu4P5QZHw9be/hZf9UmoKpS4O5wB6vyUJWHqjxUheAWIclfdy+BjmgClSPYRCupHNEpSkPliLcxgLz0pCNgNs2EZZbvM1S7OmbIgW6lFDeaROVIcCPw7y5HshwhA9nlSK+jNVSOdDhaP+Vj1Tjok1HUPsx1RZFBzqhBXPcpH/ZFqAsSdFVEWEE0ntaFBCgGSeVIf6JZqBzZT7SCymcGJm5noL8N0PfiVVZ/nxGz5+Sv8f9z9A+3w5mLvx1oQDqeucQjDdjuaMDFycp6PFmxR/RX76L/co3+D0E/XYG+xcODtL0cauhr13vwuIp73HyB/rv7FEryJfjL54aZ72Q9zy3cr1jA+pmFYb9kAVY9sPD9axSn9rQEyin420/t2a7A3+oX7Hl+nvYQ81vC/xTzzYeYjzhaFvdAzR0AdYEHwXAUUuG1AMFRBJo65xRoIHnV5QSYLJXfA1CXTwBa5ZQPcFjugSYzoJkAmxnArmLKB2jtUNcG1KWIKPgCAPZ+TQCEcLYOIQWmCfqZgf4kCZmRhM1SOSEVCHCJAdymqfwM4BIDuAm6iYMuKT/GIsNYTFBMNO+AtK/uB0r7ViIfcyRwXMHuIqIUEiher2tSPKCKXT6p4uapfFJFoJxdjpRz8ru2xD0scQ9L3MMSB35XMV+eYL4HzJdXmK+uMf/yWsUF1CcO9ZCvy6Pko1sV/irQt7dXod6/H+izAP81zB9158cR/3YZ8W/PMXK9xsjLOJIhor/C/Pgp5vsrzJ+nFW/Y83FcHK6gPnxqWLgM+MM7LO3to8GDYfEK8/2nhsXLgD9+MGPPL9PoJ5F/umIB27dZgAcWEOAB4uuQOAsAZAiRswBE3MBZwELlhAVkKj8LQwMPQ0n5gVYYIhp8x1+wAM9YgE1TPuoKGE5DKBrCKQuI/JpIdFBXGcJzFuA5C2DyyQJcpnLCAnD8NsYCXKRywgI8lZ+xgI2xAEvqGWPh71nAdsoC4hJ58mef8lEXpik2eCckxgLsQusiLMBROWEBksrvWUCXn7EADywgwAPkfULiLKCsmwL88qvwPYMsIAALUIwF3F1TP2ED8joDcJf/nzzh5FxvP2QADml+QOjHl0FILv4AyGke9//qRysTj1OjCad3HS2GwWl9doX//+4VUyM6Z8d/6jJ4vXL44u4WPkD0ivmGnZv4qJ880y6PF9bFAF4j37ziH+V6BAI1qgrp+Q3/5fqGP7nYH1ur5gT9TIjv3Ot/5Tp/lO44OBYG571JJE5fOuZoJISvEhydxHylBOch+/X4H1XSr9FHuENQdaO+tcnVrI9epMDb/rWOdtm/l0BXhZ8M9AISCqCPMw4iQrne+zL950dd891zSQsPjiWq4ZpHFIEe20Ztp5wgYloklSOCpmUYWVsk6NadQZPrPpitS30wlZs6ijSATF2OyNQ3MMonklkmn8inDZVPVAKk7HK8/CnHIXoDqTHU7ZZ9ZT+iRHryy6GTj+Dk9dt37NV37thXJ7/2uEfcnXRipgmP5Kxim3thH+fw3S6Pu10cv+fJR4e70A98olgvk7AZ/YD9nAU/uNJOrkJ0qtpWKPuIIgrYnsK0HVtmfcXVo7q8LrT2IiVEbTliAQUFcP0NYIECEgocFiIWEFDgfkX2AmRF9p3QNsZ4qa3ItZDlsgxjXZQLrskEa/LynoF4Y+k9ST9sx5OG9PTrjruThvDxSYN/76TBH0nOw4sHzz7pSDP78K9pVOAW1nZz/Wz1ix2P6cPrF2AfrP4TWFy3xDF43jtI83jevR7ygV2l6nasdpaOEDsmtKN9+eL1d4+11/YNnjnJS9Q46Jum+osEhcgYOEf38VmEe3gWsbaPBcxJXqIGft807PhxDX7E4udXrC9cgH2Vuz2x1C9HxmjB0vgtS3+bdk2lRHF1RxTp7UddL+NhHw+l+frws/4qLAsSD1VOeOAKZ+x19Bp1qQU6dVE70ZHAdjWCypHrrVZT+WR42lP5/KBGSypHYreqjcrxsiwGr9XsxvOq2eNh5LJb78n3qJC/qAVIfsb6jVbloCoHVTmoClM9a0DrRpqklWwjtcY0dSRNY8VG5ZjWsfCxZ5djGshKTeWYNlK7pXJMt6yQJqm9J+mZNeDIKuhrxr76qSOk18K94S5H0mtFonJMxVgpqRxTN2pn9WCqZ4XUUB1yQp7XgGMhoK8J+7pOHSHPVigqRxZjhadyJC9WCipHzqJ2R+XztoPtVxxT/eRk3mHOg3oE+eQ7oPtbD/bZd0CDkwxv8j/lW2mD###4788:XlxV32DM 3fff 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###4728:XlxV32DM 3fff 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###4876:XlxV32DM 3fff 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###4984:XlxV32DM 3fff 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###4900:XlxV32DM 3fff 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###4800:XlxV32DM 3fff 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###4708:XlxV32DM 3fff 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###4496:XlxV32DM 3fff 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###4604:XlxV32DM 3fff 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###4708:XlxV32DM 3fff 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###4884:XlxV32DM 3fff 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###4680:XlxV32DM 3fff 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###5660:XlxV32DM 3fff 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###6860:XlxV32DM 3fff 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###7060:XlxV32DM 3fff 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###6932:XlxV32DM 3fff 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###4964:XlxV32DM 3fff 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###2028:XlxV32DM 3fff 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###1576:XlxV32DM 3fff 610eNq9WwmS2zAM+5IO0sd3Gjf/f0Jlyc1sYkeERcxOZ2e6TgiDEEVBsleeMssqufz81aekrHkNof4m+tQlx5x0zZKnEHQq38vlaonQJFq+95DP+JzFFf/t/jMYLzldxGtWF3+F738dH+F4vYhOOYLR8VK9tF913H2F756c8T72aVf5onZ8/MVxfynx+7U/Ui5pyNFEWK8RBmtvj16GZ57cqtzru6ddU8f9R+fNPe7nkW/xy3C87pUznLneyfwyOjs63r14ucwdj9ccnPHX+a/O/Fdn/qsz/9WVv8Lz7jp/PP46f3XMe619Iwx3Xb25Zjr5l6u5XFdd5PGJ8POT61hdyr+nxN0hXeLUTAycmsOBlDpIwUSqah5I8hUJyq2O68ON0+rr0c0MwWl1/uhqXWcdIS8MJxw42YWD6IPgYPooSR8l6aMkfZSiz0xRR4ZRtk/38vOTTt/41Gb7dFEEHBtFD4z4FSO9zfOto81maGOzaeO9dfksFDYcLjZKenGJn2vK+27HvUagSPa69doBUjgliNP6QspOJFZ2lk9IsE9AcotIHwMYKYBjddXX6Q0BRwA+1mrxOs1y9nkUB8tLKHwYLgGtaARndq+D8VQ9W7d79HDEvYLFqs1CYROhfs/B+d81PnvGfZy+S0BxbJUnCpeJwASpmtZzti4XQdhUx7x1xwnBYfGxXEs89S4Pn0TCCSSdA4XPe08ex5l+CeXbmP/v66F2ZMY5RKgqL26nEk7V42GUKV4VRbIdZgB3t7YzxJFYnJCRy0gFGCcJKI7lDRtOdHuxUH2CknDyL+LYOkfCGQmOc82ndbT1tEe9v8av4ErYd2IrxYc1lImCooSMFFpJe6cj8AiZKOMerNXcUme2uDs7itOv3Pm0tx7xuA1FXPU/nzr5SM3NJ1VGam6GzuNsDHWfxzWUyVm3qCp9NzqfevcoF3XOofacJjpXfQVdn+VnFDx/sWY0ioPwQToDgjO5PZp+jPe4NkoaKa87U/D8r+9hFNwr9E+3OE/AFF5Lem5KT65hlEukZCTD49w6lZy6zP1VTeooZ+dagqLYTBKFSSIwiU7PgaLYTAKBCbK62ih+5y6g57ZRJgKG37fjKD3ngqL0z61QlL6jE4oXa0+whaBuonBBag5BGc+o9f10ei4y5hQazuz2LSgOxidQ+ESCx0Tz6q/SiXKqlJxnOPeUQVC8u/L2dM/bgePp+Sk6o7b9/UWR+r/yDXke7zMux764flJy3ZEmifK3rsPt6v5eetBc7/nnBlq8REvvaJoK66esx+nd9Kqf4+p7dlWhPSOpXH98WuLLN1SKKs99KG7jthP1ovuO0EP+8uR2pjwZSqQngonw3hBas9ZbTDgOos9E0mci6aMkfZSkj5L0UZI+QtJHSPoISR8huEZoB2h6LATFyKk62ORVGEThcDGq7/S0baj2YBRbl0jRJVJ0CRRdAkWXQNGFsYdj7HeUsSNldBbCPpKxixSCHoxOmwl6MHp1JuiRne/ZoO8DIzu96Dx5R1H6Z+boXtredWIoti6Boksg6BKH/2rpH6tp7bk=###2712:XlxV32DM 3fff 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###2628:XlxV32DM 3fff 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###1604:XlxV32DM 3fff 62ceNrNmmliGjEMRq/kRfJynZLm/keoGQPJBDM8zZb+aSE8S14+ybJnNOmnFIlykT/6qSWGGLW2f7Nz8tl+C6qSpLRPF2mfVBoVpaqIxtiY3jKNSblMzMP+9H+4+pFL+1bl72SvxDL5nLO5f2r9uZHjX1/Y/5jZr81+PtT6qt631qru2DGYfRy9DjHW/8++eZY06o6jmEeDj273dRh5OGKmRn7y/zhTK8axr3JHHvZV1ccPD/6EDLLai8n+kTlqg4cVM3VkNtzgYcVIjs2I4eA8EtaOwJhHwuGZPZyUEcNJO0g4PC/KKXlRDs6Lcriy9OAY1O2K0tDOHrl9H59r2i47O7Pc2k+nlg/xVw+TpyTXU4y8YjVs89Xbt1PUt1NTs1YbU36esJAXZGl+ntvkD1kanQ1nbDhaecZMo1siaDRvfdzX8bcZ+zrp+phGyviuwdnvH+1v8rC1j8+XNpf7dV/LtzrpNwjnRNqCrx0jrXs5L9IGdzAnRdrG6uHNuGTay89RxoKvHZXRvZynjO7vN5Sx/rTS5z0+8lGM/jaGL/089fvKj7PjUuZrNj7VPTzJODvsm23f+Dw626YYToupBV87xlT3cl5MdX+/EVPrzzbPdxZX9kldU6Q9s2pgk4HNhOU9MNoskIzTr4wUTCom08ZZcnjsbuDdYzICMkz1JZml8KhEv6s8tOj5s9giQ9vBQJad+usGth2ctVf9nZOC57eTiknLSgheiU4WTFZIhilHMzJikvYzYpsRr5XgtdIXmhqRnvYTak8gp5BLWPOKSR5HFZIsijvpIRnnCn6TS5a0NFglvp54XhWNTF9qxD9xCrkMuQK5CjmPe2jLnooznS4oakTSmYrYJst0iiueSR/Yu6DaSB+nLEYW41oJXiuFEZIglyFXIedxDNsqHcU5ckkpDq+/w+v/TLI8lmF+yjDvZJh3Mq6XMq5tMq5tMq5YMo65jCMo49om49qmk4mSUBkJcgVyrGbJ+BSWcbWQcbWwtI6D2MHeFY294BNHwWovWO0F729lIX7nI6qwsqm4EqnmSqTiWa347qfiKK4wQiqu/yuOpYr3oYr3oYojpL/hxW7oHNyJOslW8/6uXDCw0cAmA8v7++7ENG6jBvuWvhQDWzErKHKW9OOwftywr97AWuwWA2uIoTfV/HA2UKXa77D5bbdH9cidrYZbdGdgI2apgr1Bwd6g4M4GAysGNhnYjNmEdcN2oTtbDGzFLHxecFthZ2D5PAjMJ0tqGLPRwIqB5eumcH4DrPT87RlDMbAVs3RX7iy3KzDvBUO8B0O8B0O8B0O8B3xuvb+XGgysGliLdjJWJM06wZB1+BOvO+sNrBrYZGD52KJhbDSbBXy+WNakM2jyJys4Qwm87/KLz03GbMBsxqPyJpZFhOKzm+J5VTyvyt9DMNzL39lkYLOBtYytYpbWmmrIzwpvJbzh1tsvPskZs8HAJsxGWDcpvkH0hjv9ZZU5g8qcYYXv795rf+vqB//tly9ei5b79xet4+O9vnWt06bWuqm1bGodN7QOm8YdNq1Yj7/1rd2G1mVD21c6vY7m8qatvOj1c9t/mkflgg==###1724:XlxV32DM 3fff 6a4eNqtmk2a4zgIhq8kEFhy+hxzgOlUatm7WfXTdx+X5SRt6/+jdlWxXyQBQoB8F9Uod/3U6Mmrrt771Tnxcn8+2f/+6Wn79fXf+Y2/2Ghgg4FdDKwaWIFZXFO4nuZm+3GQspF0mu/Hi3XOaeyyAWZxkj0X2a/VfnRZB7MRJsMU+bQrb6Se1nq/6Cl2aKns+FF6MdGWmfuTnWZpNq2bTevmStQZpclEW7RGJq1RJe6N0sFAKxg5E6sGlmFWwNM4scHALgZWDKxFVwSzbNAzG3RFhnEJzCF4Ood4nlKU7YTxM5kyj5xlxcB6A8sGlmD2nNPOsg5m2WDfszfPstHA4n61fLs393I9yuLrLCsGlg2sg1lf8YwxNsIsV3b+GIuvlwzrpUrUGGEXqIZwe1xHs0K3+0Y00B7OKV2j6zBKq4kmA20ZWUzWclDm4Az9IWfoDzlDf8gZejyJZZhlw5zRrNJlUW+WxddLhvXiFkK6WmtWW42e/Wvj9O6THsquVjjHSSQ6ZgQ5hU7ONfP6GZKgbCyR+JgKkkivLxr6JxGOghHuc8fdnhEkCapRQ9bnGfXbRApIYrEkwLVpyKwyR6JjEqzbCHILakvYB5BokEiCSQeSAtUZAa5eW37XJxmq5AJcx4VGX6FPoppFx1PgTNDM78bPBG30iMfYBWbRqksN9xeanfWzLK7nFSZx++ArVYNPINWhNjoII2SAyQUmCSSxLEyzU3uORGfLsG4ZHpNgHyLYngRbBZ0r6u2oPdD1IdW1NLKhdgYm+95SmPQgieXSkvWHZkiGNcTQ/Y7ANzT4VzwC9x8EzP0FzP3l4utTPoDOE6oYBK4YWrurT2J5vzTqzT6JVQwCVwz4l2MC3/oIXKUI3PcSuO8lcN8rkQJUOdzIRHpZqeWLJzZUDAx3vxjOuxjuwTOcPXFm1eHvSeBOFGU57QzJ2JcG+LcRja85One6jRuDEVJg0oMkFq8p8/g5Ug13/MHALgbWMmcBO0U0XNmv8lC5cOF4++kbO/fnzy9VF27uRj9//xJebnznH/8J641//CMst7g8fvuwZSz/XqRunqKbHE+SvpC8y+c2xrrJ/nrvU/jIrd/UcXOimzDPVSr1IM7U1y/bv14SdUhgUL7LqP5aUq6XUdU3S+P6QQnpzfx3umjmWb++3/xUVTnOy6Svko4ybe4rL705YOOKtz3k8bLBQ7kg/13xp+d1zccTd/RaO1ZOu/tM7b7R9aIx+VcvSqvxx8rlufJdC+WVX7VUWrlM2DuNWx4rm5eGwrzeGV56PrP2q8b0sPnZP5ajutPD4mleus/FZ1ZYXk/1O3bEYfuSvTPPKO6IY07TO+ISkyp+p5Bfaz4nDcfsKbPu8npai1JU2G1xjxctqrTqth3y9S+veKNvLyquZGxOrm+HopUVtHI7EpfjzPp6Onoavm8y2rGDipGZGu+WfneT8acW6/rz7e6aYnzUGa+r7roARfuWf9f8auw8LXep93xPr135si36t6E1T9sz0GueWeld1yzy9Sz7UrnSE6xEoJTNXrPsaheiZrGP9PfQ11GV0+WYyQLK8IcEqswiwHaZk9HSRhhayXstJduOyXAvbdDQ1yKzNhmT0bJJ6Sa/cgofulBQRs0mrRvrSow+qj+LHP+SQhUp8RtkLN8gQ7syntbJ7dO6a6xVHvemFB2QIi8pNHQHWYsB92sM+B9hQieM###2588:XlxV32DM 3fff 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###2868:XlxV32DM 3fff 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###2828:XlxV32DM 3fff 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###2944:XlxV32DM 3fff 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###2508:XlxV32DM 3fff 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###2484:XlxV32DM 3fff 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###2496:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###2684:XlxV32DM 3fff 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###2464:XlxV32DM 3fff 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###2932:XlxV32DM 3fff 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###2764:XlxV32DM 3fff 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###2784:XlxV32DM 3fff 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###2868:XlxV32DM 3fff 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###2792:XlxV32DM 3fff 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###2996:XlxV32DM 3fff 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###2628:XlxV32DM 3fff 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###2880:XlxV32DM 3fff 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###2944:XlxV32DM 3fff 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###2784:XlxV32DM 3fff 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###2900:XlxV32DM 3fff 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###2864:XlxV32DM 3fff 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###2996:XlxV32DM 3fff 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###3000:XlxV32DM 3fff ba0eNq9W0u25CYM3ZIRwtgv68gCqqtcw55lVCd7DzYgBBYfl/tkkvQrS0LI+lwkrPQyTajxicYs+DJv9683Wlzdbytu7m/QoKdpMov7t0YzTf/++9uYbf2ZfvTnN8L8g/Pjr38QzA/89TcC/qjH9NFKo36Q4Kd5m8X9NplVa70e4naBii3+zBZ/G2NwX/hQA0t+kXIzViNCwbU4HtSKnpsG7XzQ6iFaPGhNTmusSDtF2vA8yMWtSrvS80QLIq3xezueR31Bq0D7dNRvXJ1tSy4gyitcuuA6u4s6bEISMs4oNeMiHveW3DP21HE7CuNssP+rKrXP9zZjkqGQTL/i+7By9rej9e/CVjUbXddbmq3kg+zBg2zNguzx+ui5GmGWIgw7EbaKEWaFCPMeaQ6P1QUXUFx6imP3zteTR3FqRVnAJk8ialWLeaJWot9N+U6LfCHbgPHGjJSs/8tZH6P158z6b/ho66xf6olFTKTcJMUJ3NBYMY1rcrC0SU2LIAn0PJBvD073gudjXVGzrl0irSAj/HbHsnPbIuO1x/vBM0Xh/MzcYFGfrMy9Sgc/Kqo2qlpj/VKNEJFpKVh0N1i0aKI7rgfM9XQ00isFi1a/8mBxVlqEYNE79jA66Wn2rAnVXc1EEajx2bCBIYqaDXya60GU/O1JjrIMg5S039abCxZxK20Vzet6m+7bC8mdQvVbL7AsbVDK3JwXvKIXLJkXuDj57C+xcARzLLdv07DsvlcRoFxSIhF14DStkSgPLgqKMn+YSE1BIWeuM95MnDqsM1VcCUXOcl8SuNZfcprTmpg4m9qaSp43gsuqYOVWqM1EwfFhjdoyBNmnXkrqoAlUgy5qUqlOFXzR2HsFxbC9N/EwlkFZcLfDzHPvz/StcFUMMUCm0evkPe3joPee/Rlm1M/Mf6GrkZfz7MjBgYSGQY4q7XlJTkAT/t835IztS/f1YWXW3JBzRFY8DTQK/0jpWMhCcEMjQ28ML0djTav6ng7P6BZxdcqmEHdz5P54DjehMk3X9A4yznxIfCatEqrN5VWCDPi6jp0rg1xTTOWsNlq75GoJf0o/bj8PTN4OmLwjMMlP0g5l7SgeRGBSQAwxbVxxYRtaQHAy3kKo1T/3kGbHu1ihTc97tB6tjdLqYVoV8CqnlfZmD9qFnkdaCa55WstOBrVCvnZdQTFXUF2Xq8Es23VyqEC7+UtOPbIzCkL4chVzsuCoz59tImu4VWzfi5CYLFU3JSuWki+uQu1X1YCSG60SgefUA55Hc0ROX+tVDZstMQ49a7lpubhiLb+1yysMlFd7WxMttvjGYeJEMBFuAfKFADnegmUzg2V3AJ5mQPEecLUEy/AWkFYEE80tmLiSPvOtg4a8r+sHH6SDz52j2MoOYvoGHO/ZeRzWy5Hxyutxxzoq7GuPi3moOoy0NEYrkiao954c1Fs81DM50oP59dG7phLS8xD8GbMp9SJVDbBTDQKGMuRafJIdaokSu74L61y2ZAONeiKCAVY7YUTvoFVNk5XpDUxvGOibgvMT97xTF3C4d4pMWxixm+hfQ/sc4jwfqa5xatbNrrUGW8e4Z7OpOI34YZVTzrRmoKal8cpxV+DrGjIqSf+POhnKt7mc89SnV0dUnAw15CxX5fjsp9jI+JfO72Vsar+X4Q66Btm0e3XLzYc70q/u/+BibtbAZ9zSc/o1dOj3NG243CoFydgdDo+/2ZTc/RdROU4VtrtLwN153a/ryKrXZZ454qz+u/USN85uTTCQ0tmtlS5Iy6cnwfLCpHNg1UFJwh2P87OUmpJ8SavYesjT2Xrcr1hY66FHixdop5y22uuaInV3wlMDZ2ZgPn9w0zEBY5iDC3Mb+llgc5Sz2h3loDhxZfPFsNHWCZPPZ//8pYuxE9ly9fLGSQ4cXa3Lc+1KXp76eTngYHkcAScpz4aUJKf7LqsWFq9S5JP0xogLPfILAdGb0iNN6Tk1VqiNeKlDZV1H3QqeGBgD/Qc1aAl5b+ndm6bFjfimptwmlFLkXhJesKCmNAgd6uR326UdUPRkrfmtebWrsFN1nJEic+veVjnzLews0x8kT6VV01U2n1K1S6nGp1R4v3PkpOCj1yyh7qBRal7Z6rR85ygn5b3bU41VKql758Bu2kZhZhbWIqg8cnyzo9pW74medZhv3n2LWvTm4WyvFSl4tRnoHQmTI7l3nDuS0TsEV0OutDCfBtmVOv19JXJVTzadXNDQM+sMCM7YPFtjWZvPznjxDlbLomN2MpfsJFX6MVcuq/x3rjwzV9Zfu/JKrkynSZNgJrzynAi4pGZaier34eDCbgPH2/fyaHClp/lgUIlbWW4e1G1h1G8O6bbQ41sZ6x+QYa5+wFFY33TbsmXhDpp3btMZ4U33DxQS17VgRKHuLpe1raUQld0CUZ3EhUNVVAn27b+Vcp8zxdzWuRE5ExLho9pNiM62FeTxrizdVK4QrPkQs9B4LK9QtvBJa2YtsKfK6+8LY/0F1gLziHLfErRbYNJz+nVX9TAPNU9KuQUFyVDX21Xh85H+yt/JrbaUvl+3kDDcxBpe8arEkYaWHVp5VFKtNWYr15yjfPmQsYlXvSBU+/7HY552bnw8VtLa/umx2vOwVy9O+mC2KZjhnYPp5f1xPI8KRPju2h9xVy/xgfjRoC3PxyKlZekLGh/QzV8CjogEAw70FlyYBZdiIKoxYjhxOQF8v7rg21ZMuLA6AKe+a6oocTQZHVyi5Q7eo12GaYE979POw7Safe3Zp8ULtON7wwt7wwt7M8Le5AG0Sl+dZgNomdoQteHUWYtH/i6EU0qNoRqX7rah/JVLYF96ct7at63+3n7jG9WGzCZX6+vShsxBLvY96kS/Qykt/V7S7X9z6OSTEf9sfM3nFo85/6L1nImwk4fWoTy0Mn/WwtVTHif1r1jngzYhVrr2Z2y1FRLo29+niCeK02Cj3xXz9mZfEMOWl0/7+Oj/AK5Kp2g=###2828:XlxV32DM 3fff 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###2908:XlxV32DM 3fff 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###2992:XlxV32DM 3fff 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###2772:XlxV32DM 3fff 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###2636:XlxV32DM 3fff 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###2756:XlxV32DM 3fff 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###2828:XlxV32DM 3fff af4eNqtW0u6pCoM3pIQAnp6HXcB51RZw571qL7e+7VAY8SEh/aoT5cQyYM/4Q8Og0OH4JyFYRjc5Oblvy8cAXECC+OwPcf4fHazMhbA0vN9rFXGOnpelzs2y3Xs+ToWgzDWxbGGnqexD/dyYRkFceRrmRWWX2H5HXF0zzjTRKv44+/CTPORjmP8GxUpoSrFAqxSXLSuJGWsShlWGXFNNPYRZdiTjEdBxlnKM7NneSWBbGIuayPL6NNm887unz029nUE551ZYkmOjeHSLK/M2ke+EDF5W56tWC35BnUf7HKvvz8Is0NV5xjF0jwEXHyx7D6TyWhc4To/RcI+Z48CZPLbPSjZAm+9yWTzCEUWYH10z8sst8qIa/v79zd6eH4NX/D+7az/cmb69cdZ/LK//nPWffnxvSDqt7Z/045Yfl2eVSLJKYgqjTtr5oRYbkWRNPfzLOacpPPMdfYHnX+ebwgVnWv7ZmjUdlBjBWkOj5V5+deexvo41tLz5OewyrWCXEvPcd0ZQZC76wxr3pPjDqPXrnknzX3GnEUR+Vq8MybvAL4O3rHP1xs+075PSo3i4iACdm077xLm1SwtgFx6r1cCWXqvF0IjbtvV3YPgbqTnca2Li0AoiTxzIWwl0eL6YpmzPtdscN3ZjkoLPCXj3ZblZBwT8JqM3WEtfXKSJx4njR6ZX8pSAisMzOW1aOVSnxQTt/K2Gq/EWTn5hkuzICuKilGtzlc8sGoEpzTzEIA3CNEf2K42rMiXQNTngFsAZ02/EqD3YZQV7Tqyg4jp8Ecd3YyYLEI2b7NJB5LxORHi3cAh/lh02Ml+IB4OEB/i2Q5Z3iq7EuJSuJm2M6Pk9oGdGTe5TsmHlp6v9ZTobp47S7UeXAZTIDA9AzJZqyYjav6MUuxlKWm1z9PpNW3kkU7wZfhKJ/cEyNpaXMMZGOkMfN0uVOdlG2LXpQaI46V5XpmVbykDU/XEl1v/0QyiSXq+91y29+pwVVk/VahGeBtW3wYZAI3kWwZWyjtqlpUhrnVlTtOIvK+c3xrexiQkIDUMSP3PAUjBfH+ANJxq5TzfcNXl7OVpFspHydNYdzyuYljHKvTe+rw1M7kN4rd5y6g5gum8vgeE8YGe4zo2UYPS2IlRg+WxjtLLNlbYGiLMtwBaiQRrTRWW4AzEOutUf4nbbSJ41qTUQd6yShcUm2Dvkf7iWmr1sliVLQ4G5WwHGWwilSHS2NPv62/S/HLVBg1r18BNi4XrycLtsMV2mubD5lUTfoDSHmj3k23zdJeXsCNJ5xJcjoGEZS3ns5gH4+l+YwJKltLtmySwCt3yxILHCv0xn0kYS/yuEnbisnZOdC6Gi8kSR/VtIsWxc9DrySAGisZDh543Eoc2aNxtkVSRKBH2xs7tqMmpQXwgiL+ebI6189WOy8Cg2V2Wgyzd+Bt9tUBnCn+jx7edTEAoZrYiorgHhFlDfjgvlD/TqdspVbm8VKp1Ud0GQKexThxr1zXUOsR1LMHirPruBr67F2izS1Wd/AlMCic9TrtbmOWPpKxi4SqSiLQvQ8oikuAG4MB7HKG7r2Oa+jqmsdOhdQ7wRucAqXOw9XWcW3TGNWnBeNDZDP4N44lUQpGzMkojChRSARsAAKjVIYFRu5SRyBarSAkNUiytpaTRs6lNbLL23hW7joKEUIXEHEprb2bwmAIG+SZxh4D5Nu9Y4ki8tF0TpammY6X9powsXUCxTcDmVdJSGytZVaMlbUNkAUUWQZHnVj7WkuPwXuRWrNxY+kCzrYFRQyDbi2iXUuRdtdFh9yUbBW4je7BR+DnaiL8NbrSkQW5JE6+jRCHxOiXy86plDuiWLDNyy8DRMnODZS4nMpATGVH+WuRAJXLCDfsEsg9RgBO3z3BM9KgnensjcqxymUE651/X1pG2sCP2N9fWHLHkW48GezsabEdZA80YrPMj4w27jWQ3wpcfZrdh7o4SV7HY1GixSbHCdFnbNPe5Hc+Sto9FW79pe4wSaxZ1TVYK7q3OHj7BsQbpITHTdQyQYYWuYwCDW6jA7ed5ZP8/aivm5s+lk6zW4O3hbFg7uYuz2d/W0wVrnSWn/1AYe/59Uq4hhFuUaM3K7RaQR/KuUslupiEd9V45KfM0+xruM0+2gachsFKv0dTXMjGNKOk8dziB15zBSfjAySRfV6iRCOJ1BZ0YOV9X0EkfL9JK2xq0WnlbAzAm2WgVcvE6qlUP9/aftPHdPzgrt3WbLEnxl9eSX/GX2utQ6fOMSlv5nHwk2ok1yA8UlRSTodYgZxSVZo+nCJjPngsHHXeljNg+b7EqqlYtzXMC89B4pSBByswrlJBBijtDitthovoljFNgYi6TC0yutCWd3LZq74ecGNOtebXDHqq3qRKxUL+ct69rrtxWgR59igx47hUstOA8PS9Vf9Su49Uf2VRZOVkSCg3qa9cQgIpsqzbu3c0vlNqTgyMpcKP9n3/Vo+l0Hcj2tfR98VGLRbZLEpi8OJgcaUxr8QwmvJnQg45W+Oylhsyu1JK8cbtXb6OEDl1CZ5YZboStHPy9rdH81sq1Zu+/arE6uheU2/fEWjcCMbLvENpubZsj/CqX/vyhCVpOip7VSrXGZhprmscm7+CNJug+v9Qk7LnpXX5XXrvgwLmk4wc6/ruBS2oE01bm0Wit0BvcIxL36IhDQ8P1fnRz9Fc4NFA4tBIRBA1EkMa3QQOOTdS92BIQWp6Ajhy0efhPAvIC3zY11U2extbrJr8xFw11EzEClbqplTOzla/Nx13bQgNv6nhb+Rv0jPfYbsJgrWlpVT7ofzkswv0=###2968:XlxV32DM 3fff b80eNqtW1uS3SgM3ZJBCOzOOmYBnfv4zF++bmXvg8HIYCTAdtdUarptIQuhx5FQTwbMwyDO5oFv/9PbOLP4Z4t5+d81LNOEs/9v/RmmKafGGRAXMODK5wwXl3FRl7lo4qIKWZ6BiwlcDs85LitN4GJWjuG5KTkgoANtMMgqcMjWRflNWOf3F9dtPOJ+j7QY3kP4xkTreFpXyPBGxCD3tl6v6//9+4MW4Wv6sp8/RtsveD9//TUav/Sv/4w2X+plP6DAwXfG3nn2sIrNsneb+FMlUlizvQ/im5d5gWHFB5jpfVSnTBuPo6BlVbgf9KtzTKZY19yv/+4jHAEwa5DeY3hvjYrfEGjz97Vc5qDTtlysMx1MnzhE50AlOsHO9/r3rbDaxX2H3f3Uqknea8fN3aaNMuCc4zEljYo8sMsjnvYz/nwjaBkKWpAc3uwObyZbOvzyXB3eFA5vxIOOTorBMUvjsGGNpfcYncU7Xk1rdocP7zFzaM3G2tzhI98YB7Xo8DG+asHhLavgkaNWq9xBwdWhnuCSctR6TOrGYVvigmy2LGUZy9yjdiDoRtJI1Fk35My3JDhaxK4D3CwC2HXLia/iZnvkXpi7F5Tu9f6u86n27PVhA5G9CjE7ZZgy+u+rtgzjn4IQDZfKGPbVbWOI5vgIP+MNLrhxgVvmjWTe5rIssnn3zkHSjiRH0BoqUaKcd1uKZQ11BwMsddtdv9Eage89LZhO2JVwFoqhHTMsx0FcHWinCiMigxF1lop6eDLS6mHatt/SqhgYrA8MkAJDCbStjwvgo4LgGdHq/VP/rhMw1SFO7KfBU9bnAgx6GvVRINSiVi3GfTu/b9wKDOWKfb/nzyEaqu1sF1ZiI0hcx4OcTzsibKE9yGxv8SGvDxZ0lU/CbITaGpweFW7nY0zpodd0PN/mEXYWbWLefQEXVdiEWz4BgJYWuPivKQI40PGCsmqgtSxdyq+KWeOyCo6PYjU231d2ED5VCZi0snitLClCLIVWtDErdFgKZ5E3Bls4rMtg7/bZ1rBRCMMhlNQqmbIAzdHOWRLggw0OHhRknQvuoEzWGZEPqreXW/IJQG1f0wdq+gAG2t/jELcTzDE5ju44Dtz6vpG/3gV3jsAdF4SnyqkejQT0SAmIlWbuSoPkmvaye/d52IHq0lF1eV23RaBheZgrwerbB6vfW1q37yJYGa1XPOOYYJWQkY7leOgH1mU+Jtrt/d4S0ALtUvIV2gcYMBsc2wcNWjdMCxlW7NPiCdqFoeX1YEgG3WyNZH3TZmsED1k/niFyPT9C47Vk7Mmzzgvt0DnYWO9LKWf8xipWk5mMTU2e+pYvYx7itwy9F/UofCv1TJVw1tfW8VI29rY3TLhV6TLE834JaQbFkIpb9dFvLPFpWj79M/qSaLna2d1ImeWF1NUUQ804pjczzIXSg26czJ0m6GiiApLkOjKPcejZTJn9/UQrfVZV3Dku0UKCTYsXqboLi5BqUyNC2raXKxZA24Gooi7FS9z7Jr890HhuQAPLhqr5/V6LRWCAxnxIG/yl4LyDh+xSMN1TqOpOQ2fvW82hSItDjaRIuwzTQgFgerSOodUCbQ6i2nzNUQYP1GSgAfT+XOqfs3Uj14P7yfOUfKrkXAoGKg2gSgOvNwEE9z5ZawyEmoGqh2QxYvAcuMuiAAw3UkFxbXk5tbXu5sbTLH+rdk63/bQ0D9gKwZsbqbqUhAPpZ+FLm8cIiJKs/g6Ucyeg5PAOmuC1H62OyTf1NfI+GWSxdBI7d/n95ashk3wW2N5Jp+TCkyffKrnspYLGXSqe+t9SbMnbX8fnorwvyVugKGVmD6nzKRUc2LS6Y+9zzkotR5Jho49by+OSPBGiPTxEe6Ve0KuEaC+1QjRzuOWZKhw4ZYYvmYlr3ihOg83LdCzcpFqtnNxZVOcAxm46u5JtjXve/ZEoWg14QcMEg8Umddx1o0kNrOvnIUP/yOmAKKcpxyAH2/buMI33aoSJ/m5QrIf427AEu8Vrkg12TycSbetrj2ZKyPXA7e2UvQ6EnPMcVBOyPYfmFdVtKeDWlUYfxI5AeyRQfr3LAwSntAgd51tzjeOgHAmUX99PXxK8PE90rtzpX/OMFF6GzljdKA/6peSIpRjqfdnr/aZs5N42JrZHIzhW8z6TGFOxmPc5zpoLc+nDfKdhvrqYYW/z1VmO6PPVw3xTUeIKWjOWh+hujv5U4JlNbXw/Cpj3/fiA7U1tDE0wjWY6J+KyHPtg/qcVbBFS4ioqetA1rvOR3ssZW9/o+WqK1jbp/pVNjz3KKSqt7Ta1jcYzWccO48ZWE7ABsdNz/3/tteefepq4Mce/p6dLePpap0Ww5KwlGuKyqtqE32mb4Tfj07f/t2155eDJPI3CZYWeY9++xrle5Y998WvwzpdLHqElrlHv5voD3zzJM+cYnmre2Ya+PciL6Frvctfbv8BJlgWgYpUKq9Qe2lK5EsoCUwHfuAK3nhCEYAhdajhBHQbhSmoWgifqOQs2kPWVJpY662WJ4aYGDtnqbsBRFHBovuPtA46JAceV4x0vXU9t7lMNNbbOWxj6sEFMFxpU0elDm+04CpR9acUXVU7hJyCB/a4oL4sXl4bsvUajFXfSv2qwO5o67vSwl95kKc2M0u2anfZjnsu0ovTzcxg4bOvNZGY/9U/uwtxq5+s+0OedkxBufKgKASs4BeKE0xbgjF85xelmz3LDlzn1xu15fF78DSMX1GySMqckPCFaIiEKEGpRxa7M50Gkjg2Kp2EGLrr2E7tjfUB/S6KocWjVbn3PcgzYE39gZq1vEb0WC4QnncxClOlPbvPBUmmVK0dMC3zI68T9kG6Xs57N6Mx1EStUlxM9bUtyyLs4GbGjjejMRsqhaAXLCnynE1ZS91el857LPmsR2TpWsuu56DJfPV1pqLXkY09bW60ze+h7Szz+Bx+Gvs0=###2848:XlxV32DM 3fff b08eNqtW1my3DoI3ZIFwsPNOt4Cut3uz/zlqyt7fx5lJIOQ3KlUqu6N0YTgcABl8BN5elOPDokGRByaxne+9c5P2Mw/j/49/z54nP9O9PYwyyz/PtwaSerIU/pNRH7+5jNz4PyFqPfjdZ5ZypGLZeTZ//79TW2LP82P//z20P44wF9/PNAP/PrPg/950wd7fEQ7hnklkHfcNIQE6JZdJufcRvVBghYJmneYke6CxC69z90I5w+7on7+M2vAv+Y99ZYWxHWVswl3IY9v2SmbijsMo5U9x6O3e+5zujDmcae2EA5r8NwanpE1OIcfHIrNAdgFN7o57BfcmNJdKj1bBVjmYKjAMxXoV0LmPO05z3ol191T2LFmFG2BMXJZ8TTld6PMajqWCS3BlIiZUkcxsIwpsPh5nVQzB1ShuNvtZMUzrNr3y7jLKL+77PKdIruVZInZoazHFa6Cjl7J7l4iPL1EkEZ5fXVNC2rSvcirxxpe94tOnMWns6s7eB2+sdlGy23jFcNMM6Uwk7tan0BBqq4+drxgBpKsZ2aQAlL2OsmZV+mLjdUXcQdphiRCCP8uGTTGwGoAwyseaVz+BoxppKozw23XmxkG1tIxAxq7yICm9oNdEqbcvJZbQogY2aedWUACoNuoPkjoDn91D7amwkheBYwkv2+bkZwn0HZdctt8nvv3zU+Tn8Uds5zBpOf3/Y6DyfPKUnW9AbvvxrzvJpE27tjEASi+XygiCdL4OpKwzTAkZy4LJHx0VRC4bRk+WAYdljHMloGbZRC4yDKG7jNb+UNcbUX7dRUoQO9O0JpPkJrJhlwFxHHIMgSdbhzSYBIOVDS6WZFxM0GjAVsfs0bbTaP4iLHVvYfP4iKX8OxUnjnN274G3HbllS58P1ytEwI5rbIQvu+yc5rtFdk2fM/N64+84jKvJjuE75qbbA52DXQlTkLhKuSACwVznC4Sh+eNz8NlJ1qKiUeKqfDHEmc9jcsLxMNVZCak6/QW8AY9UJcN7MgZ+OwGsIbxZQa8zODCz1Bz2jDGCWN4zusUe0NhHC+0OAYwrmJfeO5rg4XnCbSzh0Ww0DefGWweqrWN5SWZ5Dyg7nGTpOXuMhrfvmv36xRqX+Kt69jV+uCEzvGEznlPCXR2V+jkhniFNndRxXbdB7Q5Q7YrlkX23ZalCtlBkAVR1rPvurlToQnvmaFYUmJOEhWUDEc8Heof7KwTQ5JsDwIPUhJyd6bst3JSV1mL9mKIiXc3KsGBQlHJK/OUhKo9E8qGiZJQRSFU3Q/gGDjlGsI3SHhx2PTVsAmFsNkWw2bLYBMN2NSKSsMXOjosdF1909HEYBPi7A6cW2DzWhCCBNM5/T6cSwJFYM7FwQsEWc4jISuLDLhtWV8h2wuyIMp6xl+LGE2BJnHWlBZemvCdouaPxrUwy7W8UM/N7Gw2UQnaz1yBlF5BKtuYlXlUIAFCYao+hbROZ0F+LUgecCK1noIezKzAh6ygzWjESOHXc7wugD0mdlyVoWww8uZQm5QC2rgUIEEtFkLtUAy1g5r/ejn/FRuip2ansx2q3vLdWzlgGYM+u4bpEx4xLDcLKqv63HW3ayL9ff5pOtZwHPrHZI2nDP1K0rKqkMRUh1hkO9OpSWR8pztMWcaHavQUdxaCEhiMb/m+viJYVlFMjX+XKru9wQ7RTphFzqzr3TLHOsDaTjCufOwbwLLLGEOta9zlu6zVjEbuozQ8jewKGTlAI2tDRjrQyAbzsnGWaclisezVJ5jsBh3A4WmKoOM5k8bWgHtfBPeqvyqSAi2RC0ecoIRROh8/gV/GBv9PsMEoUK5jX4dXbreAHMCHhLt3VwA/uSOvvemsFth3W9YLshq77ytYOFTIlmcNXswaNHYPJrsHBXLjRsCY6faPl25/HeT+m1mIgWUrnugO/EshvrRtlr42kWaoe1tzZh8VL2u+2AEpGdw3FXBObIjNBjV75aWwDVI8B/b4EdoTNGDf5/+q0gyZF0h0fdoo62OXpGiUxP65bMNkNTpKWTr6jb859hgQTmgnDu1xxd+9xgXaOxXaxbitwnUJd+gr+AtUyLbFsjFcI0vYQGV0+Ydi/RfgFiqESqOvhJVqPPuVmKnVCun36ul35QUX4BoVd0lds1dcodfPsu3TzH96wwm1yqir2DmJr6VlL9IsoHAtnn1uzt1ykO3jYslTK5acIHu/Lq2BbCvDpQGyefbMawJqXpX2ByosH8LbHx8q/l3HYROTJiDITcC9FrRXUy3mSsUME6IU0WKuWCHbVbBcl8qqlWL+QEuHTbrNcik8nr88jqlqF0G4dvdFBzkHm6WBIK3tlpRs6mDzfGdRC5u8eYrZvAXKdx6q+aD2JGr6DKL3Kb2MvEanvVcSILbnEEvVEHu/Hq1B7NqF2Vt/ZoFil8xxU1agKGiO3/OSpDW7aZY9+gM3FVam9/BfWpl+cBhv4jVw+CzTGezXai9e24CXxyxC9KMgqQKvMKogDFxGFQUEYVR3Y9QlSIRUUqmWhsQVi9660y0wLBy/tkG1Z0jn9xha0HhnUsJWxnhvewqHZimh4mzh8SQo7GpiZ8s13lPPKMuHqLBjcATTazitDe0Ro7sZlPP9i9LsbLPhMXqd2/FneBA/MXtOdnHkftVbKeDs75MpvXcjqDj2CkXv1VHGWtIsUbLiWLbSm8xSZ8deMAX2z58AuuS/Nk3uWjQ5O8EasPjsmwrGWQrCx/HsjU44yryg7GJZUQmt0tc2klCJgZkJLyiJmvuCn6q7rSosuNtsvxae2gBPMrBgQRakNUbHhBFXwZNShBtLq8uX5iOYRTaoaICC0AD9HywtwN0=###2760:XlxV32DM 3fff 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###2820:XlxV32DM 3fff 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###2764:XlxV32DM 3fff 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###2604:XlxV32DM 3fff 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###2424:XlxV32DM 3fff 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###2792:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###3188:XlxV32DM 3fff c5ceNrFW1uaJKkK3lKoGGrNOs4CqvPyOG/nqb7Z+/EWiBGgZmafnpf+skNEROAHtLYNDNzgFxj7BFd+G7WRr9Ybb4Mx/deONpitfYkzDAQLYNPYP//8bZ26f21f5udv0PvX7ve//gvafum//gMavoL/iby/rQYLLnLReUVtdFxzi7yfAJHbnkcs7BDgGcfi/+BpgawF21Z4JA5Rkl82ygWP+Ptp48Q2fl1jbV4dzztMFFmuNGIKVfznniSK39OvJmHRn48Ux4jAJdIC4N4yzzzDqGNOmnHo9EF1Cp1OldY/xpnvVZHaAlnl50WLIrb1LbJzjzm+rqRfUhmddfkWfz/e41ZmxiO/wY0cjAEVLcrkA3najcqKtD13XU2gOECmaVyqFvRopXS0dZ2h4yGHW0+NDqlfcF49cF59GNqTGprtDM3o249RZs+mxi35lFhfhYm/7qAONRy+mj2/HleJABfVx/VR9bvEj4zf4zdAXkQVH6wp8hzLdUQ1fu0apUxeb+N4gT9x22O8vAGItDdpn4trFaMLGI3zN0i7hv58l9ZY4JMt6HestcCH7JmhrHv3xHVvBUmyOybMaPbhOkpHwk2m40eTRuL5hQu3JH9PlUeR2kVZVbSARKfxaw46YK3u+HBzjm8V0Qv/MhPuL/CU5nfjGfEy2h2Qk3dygyRTyBHh5TUXeQpjhw0srDrnYKJe9YdrvMWhzDtpXJfRBHoti8HcJ0VoQnFYdJUAKqwOKBZzqkobpSoSkkzu+HLN5jL46I2Cj+vAZ7//xPVGmeMLqzE53h6/2BTVo5/EvPDNHO/EZSHHizIeu1d096aHXuPfgV41BV/12+FXLQGw+r9AsJqCsPrNMKxWgNhqeb9r6x0cehC1KTS4+AvOZ/46IMu8ejD9cM1FXhw4d9SHPjiAvneQGkSAVhOIVgJIhxNIE4mHoBxYAP0EksO/AMnhX4Hk8AcgOfxRSN7/MCRvL0HydoCSbqBkXehAyeufWMwWRLLF76oWVQbHIu89asjXk6tUTau1VlYmtES348FSRiljCo97OmbFCJPnOaTI+yA8SqsnxiOs321J6PvZdEScncYyKhU9Gaqnvm4O+4+xRE9lPZux21ROVz3dFvREeLCUjxQnL3oqswDHi5ZGtPZEu6bRNvcNfQLVp+rtbuPsDnJfxn1kd40Hb3fOOsbu9DGrjr+iI5z7jo4s0dF+723O9jZXdOTiarkz9YGOGg/J5pwB1o6g2lwarzaXGhkC7Y7jkj4ta3GAmaKszTjTeut7be5Nm2r/7rT5jBYXBG2GzCtq06qJJj2jx8DoMdHZVKhESfUpwimcVShKSyQe9YDaIsVB7RjqkGkNjlfaoRwKKeZymBKXiRwObjniKCaKF+pCka8WmFMsmuKiuFnwqDI7x95WgDpSgrlHH3WgjzrX9d6P4x0X3quqrgw7zyOFPaEBWnzmwsvu5/5S7DSVws1fPPGXX7feX2zvL3Q93TCv8xhOTzzCaxbxdI0ovL2WOGuRorQAU3yRqQNSNGotUJcq/IhXM94VUSnviiy85+xIMaZuVkSpufOEDzzHIhYBXvrp0KzBwUKaeGwNE8Vp+LwaQ+PA0k4CjP8owPj3Asx3U9PtdDXqOMSmkfYdlCHzOcocj2W7C0jRkEO2O48Ust3Zj6KQRbvDptkvmgP1TTP3kPJElSPIZ3miGuXdbF5TZtmX8pr7aSYvZZ/XdFnNLeonFP0Y3+eIJjzTbbyOKuqTr9Jsu25NkdBjmK3RwFMSCc2AFhwr1HEJsvaT3wIjWU97/d5aaueUe5uaWykkS5rYp+604NimhwKtKMk75Y52n3LJO8myaKHUUguJbz6LuifAttUVouFVEBCdbGKwrRg5O+ErZp93fs+yGN5RMdJLgUlfShDe1h7R1ni3Pdu5Rek5e73wqN+Y+VHVt6GfbFPZiQdXbro7nZMVHRYyTdAU66O2AUHWmJSS7vP9IuRwujUEngzBe73E1whxrOYOw3ZH8el+DY0lLRzyiC2VYYStiaNhmgy8NLsQ+xzP4WhTDM5mZ041NK2kRiHhxJclJYrYid/BpQgt84geKw+uYL3oXNBeQJ0fZbNMOzufozQ3B8Sm926+QmzoW1X626VrS9NBbFEn9MbLBhQnhESYhkRdwatWbPiIQNt0NVl4GZSDBsfHVdnMLEsqTl2rqmtm2MDtUfuxWjBVswz1SgwnluEBSyECxCPXk2BxXWFESwLWC4FxLh0XZmgoHoUyewoTk9UYDn6Q1NwvMMKXdu8nRh7TIv02j2I790tS9Goa4pALfJAS9cnMNXlVC+lZrfiwnuhhoHp9tQup+FWrceOwZQQ8Jdo+WiJ64ppPoYWXkPsgIff0HDZOTyHXt5DLCO9IqWrIhZJYqdTwxTtAYBS8MyvAaLsUlwZ1E72KGbX6zvP8IHxcMHxFYyzys3sXNMYZ9ryCCZidykFjxck8OtkH5Xbexx1BluPiF7gAclFvh7CAYdCIVZ1eqA8dqQ93wY7oa8J5TsfZX6NVJ37cvDya6Ac8lu294yad2CuwxbWFZhJ7KUCSSo/ykAIkYHSRg6kfpjUgZu+apG2jBPWckSvSZDckNTSX6y+FF1ma0EoZeTjJYwcxWz59Ik2BkidpkLn+GsPY/OgwDKHE9m1kxCo9Rc8DDTUBIC0ahp32VftnxJqUOGWeZdvhAWkt3UWVR0mmgRIpwTSsAF58W5+/7/Q4y05vbTwxkscC7VU//K2KayXpsLcNQqtxBdQMuY/+JHP8PVnsnMscjhzC0RXS3KXFKDVOtyqJFu+r1kDNElCDtzWjEe6VCNT27eusmYesVrz7ACTZc+Lr9RabliFXlv1xjYmdd4w9y8w6Ed01Hu/FEwmFq0KQWrjW1FtZyUrWToXhUb99dqoG73/MRu/HwvBdWvNO8+H9mJu9S2PTFdfepZE0iG/+eaQdNxgNkw63ubM3VwbfXNlDn+mRvlLHw7Tb6W8U7I/ZT92+Eu34hESJ/aIya2d6SyDQ6nNKKNIqvPkFUswNGqH4Imihgza9aAmXyKnwbcY4/hrEA8Ug0yoXhdhkRCzwC9gU8FLPvn01aPC+FgSU/FQSd3nT+rok4fKOU9KsQtS/SqLqCa1cmCrEfftBT29enLs/VuLzXF69vD0X5/xLCvHF7f8A8FLUqw==###2996:XlxV32DM 3fff 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###2932:XlxV32DM 3fff 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###2708:XlxV32DM 3fff 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###2760:XlxV32DM 3fff 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###2960:XlxV32DM 3fff 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###3048:XlxV32DM 3fff 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###3064:XlxV32DM 3fff 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###2932:XlxV32DM 3fff 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###3148:XlxV32DM 3fff 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###3100:XlxV32DM 3fff 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###3172:XlxV32DM 3fff c4ceNqlW0mWpDgMvZInYZN9jj5AJBDL2vUqXt29CcCyBJLtIF4tsjKRAH/JGr4MpDDBE5K33sDonQdjgg9TANiurP97hhjG9W9jWNbfnQ/erDLT/n9j/v79s6q7H/PjX3+CG378Av/8Fxz8uH/+DS78xOW16jzwrvP2vLg9bdV//x4ceerMnvoEgLC+3fu93m8QTtqy5BLAu/X9LNNKuML9OmzXryt03nMUmG4dnU0X0vrvGYJ3GR+/4hMyPgPDx9r0esO/QlReNayPsz4JC/Tb6y/C8sL2igava8sL29/vLi+Q5XluNvYOsjn5O2ymP5m2tfJi2OuTN73t3VoO5RXHgDB5uz7DKI4RBDeEA/FwIL7fY0fhLGuPNWTZXe9sSdjWMp4cddfbZHenCmXTwcidKk7Sphu3dxgOjGwDI/7+qCvJgYe42cXIuB7XNVytj7c9ctM9PNKXgAQUm8iwmdzLxws21tv1if7Yvqt3N9AZ2UqJtiS5rf9tO3/B1L/teVyH7el+/SHLum2t+/Usu+Mu+fNAcM/31WQBr8O61uW0joL18IWdBmInn+00FDtF7sLz+PJJNVPK4QNsM3dE0VRJzB6RGMsKxhqIsSwxliTriLHqsm4LXGdZp8gOxVjoPJVsh05gFaOmL4yaiFEhGzWSbDfMfPOZlx8uqc6LuXwP+FIwLTr79dVpNx+Xg3YUk4U/UtmnyaL+vq10e3r+h2ny3l3cESLfKXvINkokQFrDbPTrdxtxn8gVYjyqP59/rk9dpS4+dJGX5IQdeA6s5C6SLO4qI+p5sq+2RCX6U5Z2KKGlKn/eFVy7sV927fc1+0Zit8RYLJECM0RyPIvnx0FxwTewYBugXpcLqhO7I5/v4ceJeo4EICfCBJsZ5fduw7RrT9xhHyRTTAym4fFab6vBVOrxNlBJXK6U1JOy7KQu23csO+GyMZb+kmU/uHf4mneQNqS5bHtKHbWFb7IQj2109o+Az47HNtL84xrOiHYjoO3aM2+xJgJUYkCNayUBzUrCdlQS4YNKIpBtZEQ9mscNAdULsWmXzqBqmWZQYpM/X1G1t4BSQJ0LqG7kfX2aJVTfFUrMxmkiKhW5UQxK9WL3XOrK7hkPybprpotjHnoNp8TWs6C3UPQsQ+/heI5lTxK27dyxbfN7ynJwtM5XxAe8unvWAk7ww2yb/WpLMp0kr3iNF5yzXh3nEXHGJuJJcE683oxJa4TTbZzzeyqhUfTSeDwxFi/twiTexCSYgom1jufLSc6X70hB2L2OtBGFSBVOlROV7ePa6H3usG3BkrUbvvbfJNe2jlAjqWv1cFq7UwmSjVg6dgqJSOszXLCYjTzqw7FvHKlXW3pAKldHIqAV3xJIFJS7QiNYxXV2hQZRtEhWBUdtsnSVudwfQ0eZ67v98cgoYkzM+XlECVAJkVEoY1C7sWst7luPZW7wBacnh2l88ISrue6dek93XssoBgkoTjLoTFOW9qTs6Slk5rN2s2kejgKkFDIhUPc71dFWShF0bamLaAINm96AcNpkJAyIoW/4Yosyf8FmIwBFiRfRyfSg5JsopW6UEkHJN1H6pCR23aOua0kcBorRUA1kMkafFxw1lI79qQQylwdljUBWwyncwykWnObUFcgAO5W79Qe5g8LsSgzsmdZADlbJoVBo+2oOlWsb1L5X2xDebh6qba4M6322aKiyRaHJFoVc0hy5ROYOLMkln3MH9hZ3EAgFN4cboN6pTWqg7inSiUzlrgcoAYywMxqRQ5kGkZkMXzBXhYy0pZIhhN3Mi/BxqYEakSJtB4AgLjeqhEy8zimFcnq7A7ZqNWrGFekqNeOFCSfRbsIb8cgFFtSEGJzNBz4bv46vsTo5c0LEDGx25qozLkumM2TK1Yyv81m7ORXBUW8JBIREnE7V97MH1PvRNarRNV7jZdNnWy0g99lP0levzyrpixCKE2fxf0e5M+cA34+0dV7xNHAXAQZhUO869E4Nj0qeu07yXDdLR6QmvXk+LBEITznx3ujXSDTlXo9uw8n9Vm2iV6hnw8nviuQinibJ7bxFCRz7KmcmMvl9OTXRJNp9NZrL061eol2abgVCYU68Wn4sPSa4157KJoBKbCbMCDuBINfVluyb1tkGegCnFfdBZV18R9zHRgx3ABC21D/HjsZ33I5o4Z0us225rbseqbJKU2fUY367Vmwe8wPh3EPRbRXJOMMpGBFWFR689RiAM8qHTfDI0o0DVerUf+zYkqfnN1c74mrzhgTKV7rUNXh1pM2/N292NTIEOwKVDMkdQeUIVxDPL/QTIoCNfi5EwVOkhltI3acsK5SI0j8RSqT0T+Ja41eUCJaEOJMBSkK60FX9EGL2ZktUo3YDoY5M14QBsIdcrviqE42FdKrx0FMp6ENCPb6sWqVjxk2qn0x6AiU9natSw7JV7nRSNatE4r2utdeVGtF+scstQSkX7kBpT8f7zfTsQelOa6Sj5JRdC0p0HCrS0mQGvvAyS3NAQTDSiexSLbNlBO/0PjUEfTNKXlmm2t6lMdVW2AA6BorVDxXSV2OghGMgrGEStQFvdeYHP2/My/r05VS8ft5YrofN5ZRQrdY2H5w5zicWr6eO5ahjyOFzMpsWidzxcvi83cWePk9oWDbzNqWDBULg2oFn1slKx/3HrfsZb3ZPqP1B77TrxC5r7rK++0x4INeBDYSsNhHHXG67P8noP5chf5QBhBG2wK00R+m0f9ied3cEi9qylcrnNERnKMiTz3RAlM14eJSoz412acfnRtXdHwi3X4upI+nKY7UnTOKu6zmtABhPy8AYfum+47XTEiSL8n336bhY33dJHUON6EN8CKXL2m5ZTi25ysAaiajGwHpQ4nqsSLcYkulypxZ1SzqzsnsnuntPA51JOgvKd++nttZ3r94L7zq0DulDGfU+wNiI32OEjsmDwfyFPfRMsTWXL2ZiA9vPjxTo6JYDBVdC9RodvSqbyl4qsrg/jLzvcHfo32qMJIPVvtQA4RDReMlfWqwbMNZh975QG/Ha8fHQTvMGrFY+9X/U/iDWRcbO1uNXvHCzTt1X8TxzrjBMEu6ofwf3J8X9dJLESXGH437vHHWofPwgH2+LlzrMV2U5iy5j6RQk29naYYzJOA70RPDxKcn/SHDI0A==###3044:XlxV32DM 3fff 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###2368:XlxV32DM 3fff 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###2544:XlxV32DM 3fff 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###2640:XlxV32DM 3fff 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###3672:XlxV32DM 3fff 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###3680:XlxV32DM 2797 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 \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.map =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.map b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.map new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.map (revision 224) @@ -0,0 +1,168 @@ +Release 14.7 Map P.20131013 (nt64) +Xilinx Map Application Log File for Design 'chn5_mem_spi_joint' + +Design Information +------------------ +Command Line : map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol +high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off +-pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd +chn5_mem_spi_joint.pcf +Target Device : xc6slx9 +Target Package : tqg144 +Target Speed : -3 +Mapper Version : spartan6 -- $Revision: 1.55 $ +Mapped Date : Mon May 23 12:37:57 2016 + +Mapping design into LUTs... +Running directed packing... +Running delay-based LUT packing... +Updating timing models... +INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report + (.mrp). +Running timing-driven placement... +Total REAL time at the beginning of Placer: 7 secs +Total CPU time at the beginning of Placer: 7 secs + +Phase 1.1 Initial Placement Analysis +Phase 1.1 Initial Placement Analysis (Checksum:30632a50) REAL time: 7 secs + +Phase 2.7 Design Feasibility Check +Phase 2.7 Design Feasibility Check (Checksum:30632a50) REAL time: 7 secs + +Phase 3.31 Local Placement Optimization +Phase 3.31 Local Placement Optimization (Checksum:30632a50) REAL time: 7 secs + +Phase 4.2 Initial Placement for Architecture Specific Features + +Phase 4.2 Initial Placement for Architecture Specific Features +(Checksum:446118e3) REAL time: 10 secs + +Phase 5.36 Local Placement Optimization +Phase 5.36 Local Placement Optimization (Checksum:446118e3) REAL time: 10 secs + +Phase 6.30 Global Clock Region Assignment +Phase 6.30 Global Clock Region Assignment (Checksum:446118e3) REAL time: 10 secs + +Phase 7.3 Local Placement Optimization +Phase 7.3 Local Placement Optimization (Checksum:446118e3) REAL time: 10 secs + +Phase 8.5 Local Placement Optimization +Phase 8.5 Local Placement Optimization (Checksum:446118e3) REAL time: 10 secs + +Phase 9.8 Global Placement +............................... +.............................................................................................................. +...................................................................................................................................................................................... +......................... +Phase 9.8 Global Placement (Checksum:452aa732) REAL time: 14 secs + +Phase 10.5 Local Placement Optimization +Phase 10.5 Local Placement Optimization (Checksum:452aa732) REAL time: 14 secs + +Phase 11.18 Placement Optimization +Phase 11.18 Placement Optimization (Checksum:40b4b175) REAL time: 18 secs + +Phase 12.5 Local Placement Optimization +Phase 12.5 Local Placement Optimization (Checksum:40b4b175) REAL time: 18 secs + +Phase 13.34 Placement Validation +Phase 13.34 Placement Validation (Checksum:1bf721e7) REAL time: 18 secs + +Total REAL time to Placer completion: 19 secs +Total CPU time to Placer completion: 18 secs +Running post-placement packing... +Writing output files... + +Design Summary +-------------- + +Design Summary: +Number of errors: 0 +Number of warnings: 0 +Slice Logic Utilization: + Number of Slice Registers: 564 out of 11,440 4% + Number used as Flip Flops: 564 + Number used as Latches: 0 + Number used as Latch-thrus: 0 + Number used as AND/OR logics: 0 + Number of Slice LUTs: 739 out of 5,720 12% + Number used as logic: 731 out of 5,720 12% + Number using O6 output only: 536 + Number using O5 output only: 34 + Number using O5 and O6: 161 + Number used as ROM: 0 + Number used as Memory: 1 out of 1,440 1% + Number used as Dual Port RAM: 0 + Number used as Single Port RAM: 0 + Number used as Shift Register: 1 + Number using O6 output only: 1 + Number using O5 output only: 0 + Number using O5 and O6: 0 + Number used exclusively as route-thrus: 7 + Number with same-slice register load: 4 + Number with same-slice carry load: 3 + Number with other load: 0 + +Slice Logic Distribution: + Number of occupied Slices: 337 out of 1,430 23% + Number of MUXCYs used: 64 out of 2,860 2% + Number of LUT Flip Flop pairs used: 850 + Number with an unused Flip Flop: 375 out of 850 44% + Number with an unused LUT: 111 out of 850 13% + Number of fully used LUT-FF pairs: 364 out of 850 42% + Number of unique control sets: 28 + Number of slice register sites lost + to control set restrictions: 59 out of 11,440 1% + + A LUT Flip Flop pair for this architecture represents one LUT paired with + one Flip Flop within a slice. A control set is a unique combination of + clock, reset, set, and enable signals for a registered element. + The Slice Logic Distribution report is not meaningful if the design is + over-mapped for a non-slice resource or if Placement fails. + +IO Utilization: + Number of bonded IOBs: 74 out of 102 72% + Number of LOCed IOBs: 74 out of 74 100% + IOB Flip Flops: 1 + +Specific Feature Utilization: + Number of RAMB16BWERs: 0 out of 32 0% + Number of RAMB8BWERs: 0 out of 64 0% + Number of BUFIO2/BUFIO2_2CLKs: 2 out of 32 6% + Number used as BUFIO2s: 2 + Number used as BUFIO2_2CLKs: 0 + Number of BUFIO2FB/BUFIO2FB_2CLKs: 2 out of 32 6% + Number used as BUFIO2FBs: 2 + Number used as BUFIO2FB_2CLKs: 0 + Number of BUFG/BUFGMUXs: 4 out of 16 25% + Number used as BUFGs: 4 + Number used as BUFGMUX: 0 + Number of DCM/DCM_CLKGENs: 2 out of 4 50% + Number used as DCMs: 2 + Number used as DCM_CLKGENs: 0 + Number of ILOGIC2/ISERDES2s: 0 out of 200 0% + Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0% + Number of OLOGIC2/OSERDES2s: 1 out of 200 1% + Number used as OLOGIC2s: 1 + Number used as OSERDES2s: 0 + Number of BSCANs: 0 out of 4 0% + Number of BUFHs: 0 out of 128 0% + Number of BUFPLLs: 0 out of 8 0% + Number of BUFPLL_MCBs: 0 out of 4 0% + Number of DSP48A1s: 0 out of 16 0% + Number of ICAPs: 0 out of 1 0% + Number of MCBs: 0 out of 2 0% + Number of PCILOGICSEs: 0 out of 2 0% + Number of PLL_ADVs: 0 out of 2 0% + Number of PMVs: 0 out of 1 0% + Number of STARTUPs: 0 out of 1 0% + Number of SUSPEND_SYNCs: 0 out of 1 0% + +Average Fanout of Non-Clock Nets: 3.79 + +Peak Memory Usage: 367 MB +Total REAL time to MAP completion: 20 secs +Total CPU time to MAP completion: 20 secs + +Mapping completed. +See MAP report file "chn5_mem_spi_joint_map.mrp" for details. Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.mrp =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.mrp b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.mrp new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.mrp (revision 224) @@ -0,0 +1,291 @@ +Release 14.7 Map P.20131013 (nt64) +Xilinx Mapping Report File for Design 'chn5_mem_spi_joint' + +Design Information +------------------ +Command Line : map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol +high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off +-pr off -lc off -power off -o chn5_mem_spi_joint_map.ncd chn5_mem_spi_joint.ngd +chn5_mem_spi_joint.pcf +Target Device : xc6slx9 +Target Package : tqg144 +Target Speed : -3 +Mapper Version : spartan6 -- $Revision: 1.55 $ +Mapped Date : Mon May 23 12:37:57 2016 + +Design Summary +-------------- +Number of errors: 0 +Number of warnings: 0 +Slice Logic Utilization: + Number of Slice Registers: 564 out of 11,440 4% + Number used as Flip Flops: 564 + Number used as Latches: 0 + Number used as Latch-thrus: 0 + Number used as AND/OR logics: 0 + Number of Slice LUTs: 739 out of 5,720 12% + Number used as logic: 731 out of 5,720 12% + Number using O6 output only: 536 + Number using O5 output only: 34 + Number using O5 and O6: 161 + Number used as ROM: 0 + Number used as Memory: 1 out of 1,440 1% + Number used as Dual Port RAM: 0 + Number used as Single Port RAM: 0 + Number used as Shift Register: 1 + Number using O6 output only: 1 + Number using O5 output only: 0 + Number using O5 and O6: 0 + Number used exclusively as route-thrus: 7 + Number with same-slice register load: 4 + Number with same-slice carry load: 3 + Number with other load: 0 + +Slice Logic Distribution: + Number of occupied Slices: 337 out of 1,430 23% + Number of MUXCYs used: 64 out of 2,860 2% + Number of LUT Flip Flop pairs used: 850 + Number with an unused Flip Flop: 375 out of 850 44% + Number with an unused LUT: 111 out of 850 13% + Number of fully used LUT-FF pairs: 364 out of 850 42% + Number of unique control sets: 28 + Number of slice register sites lost + to control set restrictions: 59 out of 11,440 1% + + A LUT Flip Flop pair for this architecture represents one LUT paired with + one Flip Flop within a slice. A control set is a unique combination of + clock, reset, set, and enable signals for a registered element. + The Slice Logic Distribution report is not meaningful if the design is + over-mapped for a non-slice resource or if Placement fails. + +IO Utilization: + Number of bonded IOBs: 74 out of 102 72% + Number of LOCed IOBs: 74 out of 74 100% + IOB Flip Flops: 1 + +Specific Feature Utilization: + Number of RAMB16BWERs: 0 out of 32 0% + Number of RAMB8BWERs: 0 out of 64 0% + Number of BUFIO2/BUFIO2_2CLKs: 2 out of 32 6% + Number used as BUFIO2s: 2 + Number used as BUFIO2_2CLKs: 0 + Number of BUFIO2FB/BUFIO2FB_2CLKs: 2 out of 32 6% + Number used as BUFIO2FBs: 2 + Number used as BUFIO2FB_2CLKs: 0 + Number of BUFG/BUFGMUXs: 4 out of 16 25% + Number used as BUFGs: 4 + Number used as BUFGMUX: 0 + Number of DCM/DCM_CLKGENs: 2 out of 4 50% + Number used as DCMs: 2 + Number used as DCM_CLKGENs: 0 + Number of ILOGIC2/ISERDES2s: 0 out of 200 0% + Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0% + Number of OLOGIC2/OSERDES2s: 1 out of 200 1% + Number used as OLOGIC2s: 1 + Number used as OSERDES2s: 0 + Number of BSCANs: 0 out of 4 0% + Number of BUFHs: 0 out of 128 0% + Number of BUFPLLs: 0 out of 8 0% + Number of BUFPLL_MCBs: 0 out of 4 0% + Number of DSP48A1s: 0 out of 16 0% + Number of ICAPs: 0 out of 1 0% + Number of MCBs: 0 out of 2 0% + Number of PCILOGICSEs: 0 out of 2 0% + Number of PLL_ADVs: 0 out of 2 0% + Number of PMVs: 0 out of 1 0% + Number of STARTUPs: 0 out of 1 0% + Number of SUSPEND_SYNCs: 0 out of 1 0% + +Average Fanout of Non-Clock Nets: 3.79 + +Peak Memory Usage: 367 MB +Total REAL time to MAP completion: 20 secs +Total CPU time to MAP completion: 20 secs + +Table of Contents +----------------- +Section 1 - Errors +Section 2 - Warnings +Section 3 - Informational +Section 4 - Removed Logic Summary +Section 5 - Removed Logic +Section 6 - IOB Properties +Section 7 - RPMs +Section 8 - Guide Report +Section 9 - Area Group and Partition Summary +Section 10 - Timing Report +Section 11 - Configuration String Information +Section 12 - Control Set Information +Section 13 - Utilization by Hierarchy + +Section 1 - Errors +------------------ + +Section 2 - Warnings +-------------------- + +Section 3 - Informational +------------------------- +INFO:MapLib:562 - No environment variables are currently set. +INFO:LIT:244 - All of the single ended outputs in this design are using slew + rate limited output drivers. The delay on speed critical single ended outputs + can be dramatically reduced by designating them as fast outputs. +INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range: + 0.000 to 85.000 Celsius) +INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to + 1.260 Volts) +INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report + (.mrp). +INFO:Pack:1650 - Map created a placed design. +INFO:PhysDesignRules:1861 - To achieve optimal frequency synthesis performance + with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst_int, + consult the device Data Sheet. +INFO:PhysDesignRules:1861 - To achieve optimal frequency synthesis performance + with the CLKFX and CLKFX180 outputs of the DCM comp clk_mang/DCM_SP_inst, + consult the device Data Sheet. + +Section 4 - Removed Logic Summary +--------------------------------- + 2 block(s) optimized away + +Section 5 - Removed Logic +------------------------- + +Optimized Block(s): +TYPE BLOCK +GND XST_GND +VCC XST_VCC + +To enable printing of redundant blocks removed and signals merged, set the +detailed map report option and rerun map. + +Section 6 - IOB Properties +-------------------------- + ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +| IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IOB | +| | | | | Term | Strength | Rate | | | Delay | ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +| CS | IOB | INPUT | LVCMOS33 | | | | | | | +| DATA_INOUT<0> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<1> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<2> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<3> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<4> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<5> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<6> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<7> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<8> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<9> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<10> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<11> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<12> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<13> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<14> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| DATA_INOUT<15> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | | | +| MISO | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| MOSI | IOB | INPUT | LVCMOS33 | | | | | | | +| SCK | IOB | INPUT | LVCMOS33 | | | | | | | +| addr<0> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<1> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<2> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<3> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<4> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<5> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<6> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<7> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<8> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<9> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<10> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<11> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<12> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<13> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<14> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<15> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<16> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<17> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| addr<18> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| chn_bits_acq_ch1<0> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch1<1> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch1<2> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch1<3> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch2<0> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch2<1> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch2<2> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch2<3> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch3<0> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch3<1> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch3<2> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch3<3> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch4<0> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch4<1> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch4<2> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch4<3> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch5<0> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch5<1> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch5<2> | IOB | INPUT | LVCMOS33 | | | | | | | +| chn_bits_acq_ch5<3> | IOB | INPUT | LVCMOS33 | | | | | | | +| clk_acq_ch1 | IOB | INPUT | LVCMOS33 | | | | | | | +| clk_acq_ch2 | IOB | INPUT | LVCMOS33 | | | | | | | +| clk_acq_ch3 | IOB | INPUT | LVCMOS33 | | | | | | | +| clk_acq_ch4 | IOB | INPUT | LVCMOS33 | | | | | | | +| clk_acq_ch5 | IOB | INPUT | LVCMOS33 | | | | | | | +| clk_main | IOB | INPUT | LVCMOS33 | | | | | | | +| clk_to_acq | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | ODDR | | | +| lb_bar | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| lock | IOB | INPUT | LVCMOS33 | | | | | | | +| oe_ram_bar | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| pps | IOB | INPUT | LVCMOS33 | | | | | | | +| scl_rpi | IOB | BIDIR | I2C | | | | | | | +| sda_rpi | IOB | BIDIR | I2C | | | | | | | +| ub_bar | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | +| we_bar | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | | | ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ + +Section 7 - RPMs +---------------- + +Section 8 - Guide Report +------------------------ +Guide not run on this design. + +Section 9 - Area Group and Partition Summary +-------------------------------------------- + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +Area Group Information +---------------------- + + No area groups were found in this design. + +---------------------- + +Section 10 - Timing Report +-------------------------- +A logic-level (pre-route) timing report can be generated by using Xilinx static +timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the +mapped NCD and PCF files. Please note that this timing report will be generated +using estimated delay information. For accurate numbers, please generate a +timing report with the post Place and Route NCD file. + +For more information about the Timing Analyzer, consult the Xilinx Timing +Analyzer Reference Manual; for more information about TRCE, consult the Xilinx +Command Line Tools User Guide "TRACE" chapter. + +Section 11 - Configuration String Details +----------------------------------------- +Use the "-detail" map option to print out Configuration Strings + +Section 12 - Control Set Information +------------------------------------ +Use the "-detail" map option to print out Control Set Information. + +Section 13 - Utilization by Hierarchy +------------------------------------- +Use the "-detail" map option to print out the Utilization by Hierarchy section. Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.ncd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.ncd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.ncd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.ncd (revision 224) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###5360:XlxV32DM 3fec 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###3376:XlxV32DM 3fd9 d18eNq1W2uTmkgX/iv7B9bQN8Bla6pG0QkVb6/oxFRqqwsVZ9x1YFadVDa//u3mjh4yNJgPSU4/5/rAabobyWzEe/PB/af73mjA+/fz+Rc+XS5mywWzZm5/9ImKfwYTU/ztTPr2oI931uzznDMe8qN/8s/8yQ86mzA4c6xp448/viL2F/f/ffMOHAmbffCNaKoeiBjKLvzV2/zDsXoyrJ4Mx8mQejJCqLILdz9rCFmz5/9O0+Dw30Ow7dj6aLlAItQxPIf98OWVap17JrDOlF3APRjupzArw1+cibN4mNjIgPGOVqlBFylsKDOC60RwnSivswyDsTEcG8OxMRybwEEIHIRUBQELpHBsCsemcGwKx2ZwbAbHZnAD6BUNoJcaoBjIhOObcO1m3LmdqV6Cu3DtXTh2F669W1F7t7L2LnglGTyVGDyVmAYyZXD7M7j9Gdz+rND+WgHGHWe8XCF8BYkpSABQA1HYFoMoAVEKogxEdRA1QNQE0S5EGCwXg4QxSBjDEUDCGCSMQcIYJIxBwhgkjEHCBAIpBDII1CHQgEATArul9kxAsV0otSftOM7ksWQZQ5ClbGR6BV1ZsuuWZ1DLM7DlGdjyDGx5BrY8A1uegS3PwJZnWcsDJDAEEgikEMggUIdAMLsJgeVbzfLbUoSNjt0fd8QuUSs+inN4WL5lYrFYDh86U9QtgF0JOlPcsZ1H4YL0XKdriW7YE07W/4g1dxdda+6f3g7nP7U7LxdRJqMCjlBBxgWZFGRakNldFr7oeocyuBAF36F1Qc5tSB6F5mIhtp6LRi6audi9w5ariT/i+rlY/CHEcvufTPkXd8RF2VjuyOkPRh+i9SQfyeXVy0bD3K5XsuuV7HoFu37Jri/tttlIHg9o5tYfDsUkTQfpIpv52qVIdimjPRxmUYdGT/RWYjguURhLCutYXgmqWZ2rEu9VyWlVcuoVnXolp17JqVdw6hed+iWnfsmpX3Cyi052yckuOQnySKhmOo+6mzsTdzBf8PEoHmOu/VyNZEMItVDwdH5wMeNyEzHGdYyIsJkblvvsvfpcSwWUCjgVSCpQZC18a2GLmKOpaMXFl9lgF43tges8TCJAPCIuEL4UFEQrx/CjuAp8dt//dP+QOSfYXDRbERiLBiuM3dlgYCfQzJlwZzwTl9RZxFEW9pg/DuauM50kuR6dwee4gJHTc4m1mDuitvlysLZERdyeLsWpd46tzwNkrTxrZX+MeblmJAsG/Rh1P97PBgkq460jKU22tVbOyJmsfl/Zvd+0DvrNXSxj4d7tO45urbZr7myF0/aF9/bBdh88CRZyNPb+Do+P/vG0D4MU2gc5ZH0xLG+7PYpHnBkLKJdQJuFMIplEM4llkp5JhniOxZL5Rx7RvEvSoVTAqUBSgaYCSwU9FYxUyOJ079aRwDU+jR5b0QCVR6g0wqURKY1oacRKI700MkojMxolpaDiABcHpDigxQErDvTiwCgOSnm60UC04uY5YPzFf+Gn1z3/O9wHZ7FcXYP8xXvtBE8vYt8glHy9P5+4t/mXb56RXOEAFIGoWIvYNUr+gGOQOzHfL1Fxu+QqA2lQpQZXakisucqOQV4Y5IVBXriCFwZ54UpeuJIXruSFK3kRkBcBeRGQF6ngRUBepJIXqeRFKnmRSl4U5EVBXhTkRSt4UZAXreRFK3nRSl60khcDeTGQFwN5sQpeDOTFKnmxSl6skhdLeK2tzeGfdM6JxaQwutLjkh5f6UlJfx2flvT0Ss9KehbpzQh58fbBOpP4PhTbhXgUPH2QGxR3xvfB6Sz2LxAs9y8Tsaonp4XtT42myIT14mmL9UpVOQdG7xpO5Y1Pbaa2PceRSXINInQjFqjxxx+ycy4guR17EDs+EE/eNBfjS2G35t7bd7mKXKPJm2S0+4kyzmmCFlIVBz6H8v7tCnK0osXKN/56DDe7ghx5iu582R72gU+t5/3TM+5ae7zhG3Ga+yAOB3IlDvnu4AWbkLv90VeUvu02eIi39W3l1BQMM/vxJnwT90PMCh5J/pF/8w7i1r1jgRD2fmrzPZR7L7FFcSaPXMP0XWNZmFjzM7P8iHoNojs/xwTF0Bezuwzwp8Obz0/+WXTOhSZujWIE+15EYBdA2kJX+PF0fg3PhYvIA00jXYSukWJZBcKSlV+lSfO+Y0CqYqPK2ChxpVWuuNIVZ2XpoIE4e1UoKj0w2lYokiss5hmo34gnxK5aFXdcMWs+F+SF31YoAIIFPborNkKu4FoFXmrmfbCJa0RdAEx/g1tbe8li5/2Qm9sPG2/tb/yjJ3e74XHvpTPn9XyMNsDlKUaYurecc6T7nt/Re+Fb7+zxZ9/b+kdxfEDJu/5GvslL+0a+yY9PjXyTH5ca+dIWfFkLvnoLvkYLX7N5zRg1z4txi7yk+T3CtHlvYNaCr96Cr9GCr9mCb/I7IjHVfUlzukRr4Uua3yJCW+RlzW8R0ZvfItJi6pMWU58kP0g3aQ3avOT0m4lGvi2eVrTF04q2eFrRNteqxWpE9RY1Gy3ukdmCb/IxRJOWZM1LZi02SAy1yNtig8RI80ulN5/4RvOsZvOVKPmG5f1N84VrStRQ9eO4sWfyqKD0HU/5gRzWxNk/duSbtyM/nb2zn78BwDyk65uEic8s7F06l0H40B3z4XCLiN/UMz0uNQ8Qny8b1948dfYFZPMAce1MVw1Q77Yi/N5kSs6P4uSJd7VN8w8/FT2QchKs7EGUPZiyh1H7uqL61xUpX9fMQ1NOgpU9iLIHVfbQa19XXP+6Zi+7lD2IsgdT9uhio54H12pbotqWGF+uH2FwPoYHbxseo59k/dPm4H0LP/Rd+X6JYBXr9LJ7tZ04olo9Y/lmnBLx/MuE8XIlTv5ILImGYojCK8evOHt6ImBxbRxJvoul/q2iJRf21gGZ/OmhTsDx1HXky0pC1ezThlBKI56gGwV7MU2UzFHddhm/vH3nP2k7VPt+RJEu7kUWT+rkxx/Lxc0D4lsHJIjuVAK+7XZiqxK+nb8af8Wb4n0gRanEjTi/HxEzfOOA8n+i3LpKcusqya+okt66SvorqmS3rpL9iir1W1ep/4oqjVtXafyKKs1bV2nKKgmqGfT0fPSfeLR9qbu0yQ+Yd97hUHcFTe2T86JKmuP+5KukkfbpmVzVLaluU9st2kUQJfN0E6GSROwh1vXNuaZAXH7TmX6coJQEKSVBeZKaS678aMHEyX89VfRASkl05SS6cpIuUk0Se6C63VX+KIN4TbzSadPCmTapFzWqNzvYt3FmTerFjepNT8w6VXeu2MCKy37DYOiWwXDtw3bpu50mTqiJE667PCbL7T6Q08pU90knlXI6JNP9H35Cc1U=###1480:XlxV32DM 3fd9 5b0eNq1mt1u2zgQhZ+oiIczJCVsoasii1ykBbb7c1EUhCLJgLGuFSjybrpPv5JjyQjQVObo8E62cT6eoY9IkeLu0DfdtvwvPD3ubqr20HftvqzbbvwcmqdqX/7T3jwct9umC7vDeyrCY1n9HYTpl12c1BScxWtemnPxzbGiOT4351kU0q6PdykKl3J2SfEuRefSKlzas0uOd2l1Lp3CpTu7tPEunc6lL3gTqQmbeAnFS0y8hOMlEi+x8RIXL/FsoiTtsX+/KThXiF4ylykapEIhMhqX09CbKxpkjUvRuJQVLq3GpdO4dCtc+kIeYkVf/NdQl305xHq8/HZ8DsaET3/8PmQPSSMozUBpDKUJlGahNAelDfMTxdKGCSpeQwqNUWhYoRGFxio0TqHxfOW/PV5Xx+G7vuybcPv5Ptze1sTNGvW7uwNvVwJIzBrCy1BqVvWCWWXBTE+2lQYyftp2TUMc4aFryvp7KI/PsvBc89jtDtXusdzf/PrxQxAJbZgvPv02hCe0QqsRJLme8dTsm2q4//00I5U4Fi0NhVEwsgSknYObgGkTMH0CZpaAmSPjY5DxMWQNkHZe9G+QfTiNZAmYLgEzS8AE5oeWn66jYAS0RkhrVAAnAAJOAFBjBmjMII0x0BgjjQnQmCCNWaAxizTmgMYc0pgHGvNIYxnQWIY0lgON5QVZvhZ2X9Z1qNrjoR9ntC9EXy/Y8Sc6LexD9X2Y9KzgqcNMlcIsJaGaJFROQpUkVJuE6pJQfRJqloSa42+u/eltgS2TYMPdxz/DBu75ue1GzzYBllJxqUjSDYmwJg2W02AlDdamwbo0WJ8Gm6XB5gVfvVa8H18dVN/qcGie+5cdTlraIf65Onz+a8MuivBv29Xj3jgRe6WQuFYqp1d7SstGa9ksbuW/qZy2krQ1zxvg6/SLx2wu+jEj8xb69el8JZtOzdgY9SWYfPUzw3yXjecDHjSq+Q+SePUwSy69mHhDdm41IpGv5V2v8kuqTiKaj0FVa9S0qnFR9RWd+kqRJ2VXXWp1KrXOrFGZNZcYlnq111RqtJWyqlKeA6yplOeTa04l1lUqhcbrdErHrBGzplDRFmpVhdo18bNar07l1c1na1eIM02hTluoVxXq16TPF9HPd6/EukIzVaHZfKesEKvGk0xbaK4qNJ+j61Tirpf48fqtJdN4gqHC0QiMi3nxdwUOSjNQGkNpAqVZKM1BaR5Ky6C0fPGM7g9oYaMRkWL8GpdoGhVpDBqNiDUi0YisRuQ0Iq8RZRpRLlcvaE+H/MZf9z84sygegnl3d5AMRYo4KvdT1HkRA6UZKE2gNAeleSgtw+TVYPJqYHk1uLwaaF4NNK8GmlcDzeu0Z4tJGGMSxrCEMS5hDE0YQzPB0EwwNBOCyYTAMiGnTOQwVMR5zmUW2Q0ONmUM1m0Gh2IcSmQLQ523WnDmLA7lBtT/2LIsXw==###2036:XlxV32DM 3feb 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###1560:XlxV32DM 3fde 600eNq1m91u20YQhR/JnNlfooUvDeTCLdCkuQmCBUXJiBBZTiWqcd++S0uk1CYgdw4xd7Y03zmrmdnlLintV+l585zal313t9+uVrtN+ta0X1P7he4en0+v6fJi+3Lad5vDJ/6cDpvjafdGbfuX9s3uE5vP6ft2vUk9QS79/ucHR271y15L3auqB1X1qKpeE6/n1Uctooo3sngyVgac32J2k9gfPdH9Wt2XxVFhHN8zT8alfZVzwL4gKIsR1wWB5/9jgSibXM2/ORQGUolkKJUMpZKGCiXPgWSqycj2yz6ttt0xNe1f/R9VrroJYuT8rtwst86TDEmV8VIiHY7dt5dObEViK7pYCRNB8qzTmPUgNhNnncRZJzTrJM46oVlnedZ5zLoTm4mzzuKsM5p1Fmed0awbedbNmHUvNhNn3YizbtCsG3HWzZh1jtPg7usb89TsdnOjugmViR/6LcJTcej5VVMsTuXidBG3xeJ5MxLKQtPctuwmcshfqTQVS5NUmoulWVj17XFTWpgcOmw5BcRlXTGTxLrpmtSeDunYNd0mPbx/TA8PazINQg37lwWwXQI7EF6QKYYsL/vpRbBbAnsQvrT49IHsvye9/kJlZfFDH0EYY5jBMIthDsM8hgUMixhWy9qDhO1BWJ0JqzNhBSOsYIRlnrDMszDzjE1MxgrGWMEYm5iMFYyxGTZgtQsCrPyeWZ6LLuook6I06UmznrTRk7Z60k5P2utJBz3pqCdd32vNc70Zw3rznBVHrTfP2ahVkdWU9cZs1ZSdmrJXUw5qylFNub7nVqCcKlk4ycJ55pbMYf3P25vN6XXmlsxN5HCvrBy43AqgaeCHBPeH3Chnht0+S9G8C5s5wf8cumzgGXAkxJHGIwPgyIjjeEipAEeDOJrBkQBHizjaJXV0iKNbUkePOPoldQyIY1hSx4g4xiV1rBHH+lpH8RKXF4BazuBVZGSN42qJI7LGMS3oG0bWOOYFfcPIGsdmQd8w0Dc3n1DsZwC/8fNZuZ8FLv12vG8ktnOA3bB813I7D2TTX2eE2C8AfmFBd0bAL17XGLFfDfjV13xWQjZVckReNSQTSHcg6wHSFcg6kI9VAOMBJgBMBJha3jpAeRhoHQZah5GxGXkKAMTKESdHvBwJciTKkdo4KZKP6v2Ru8W44WLoEbw/emNg3l2uQXDYLWDGjBpfn4phxgZNlUVBh4IeBQMKRhTMWwhowsD9d93uQANmdMb05zEMZBQ0YG4Z5AxYk5ubTpCvBRdPO34fArJ1oK0bFyLI1oPVCSAXQa6e+0bGz7m8yYcwrHf6dQDjUD8GOQNyFuQcyHmQCyAXQa7G2gwsH4NtxmCbMTpOg6UFxCyGOQzzGBYwLGJYPffs8NilVXN4+8lXLI2c+1L5Tehwr1IIuJlhH7vm0J0fkM5I30SWjeUHwAiA8zNSO3XO47vHc+z/nvK+vvTfEyVK7377mK9aDShBWQKGOcOunYaX/GCVqunfwy6V1x28sjzryhtdeasr73Tlva580JWPvfy/REfZOg==###1492:XlxV32DM 3fbc 5bceNq1m91v2koQxf+keGb2w9ateIzUh7RSv16qamUMUVEJacG06X9/7YAJvbeyd441bxHM75zlzO7itcNumR7WD6l53LU3u81yuV2n73XzLTVf+ebu4fiUzi82j8ddu95/5i9pvz4ct8/Upn9pV28/s3xJvzardeoJ8untxw9UkW/+2VnJc2ErT7bybCsvtvKO/NJQ3luqi+nYbZPxpurBVD2aqpem6hXxalr9okVU8FpXT+J0wOktx34Ue9cT7atikVdHmXW8YB6tS7uiy4BDRlEnRhmFLF2HfnLMLMySjLmSMVdSKFPyVEhSjFY2X3dpuWkPqW5+9H8UXSclqpHTu3qzbjrc65BUSNASaX9ovz+2aitSW9HZShkE6VMnOHXSp07q1AlNndSpE5o661NnOHXWp87q1BlNndWpM5q66FMXOHXRpy7q1AVNXdSpyyV1LsfB7bdn5r7ebqdGdVWqE9/3X/v32aWnV322OOWL01k8ZIt3FxgxrzRNXWpdVQ755UpTtjRppTlbmpVd3xzWuY3pSs8LV02whjjvRDJKrOq2Ts1xnw5t3a7T7fu7dHu7IqkRatiPZsAOhGd8WIYs+dyRObAH4fPUHD8c/Xnq6r9gnK5+6CaEMYYJhjkM8xgWMCxiWIlhlW56kHJ6ENZnwvpMWMMIaxhhyROWPCuTZ2xhMtYwxhrG2MJkrGGMrbABq3xUYPn3r7q16EsbZTKUJjtptpMWO2lnJ+3tpIOddLSTLu2kq4XVOrdbMWy3ztlw1HbrnMWsi2ymbDdmZ6bszZSDmXI0Uy7NlKsFNwrlVOjKSVfOE7dS9qvfz2/Wx6eJWylXlcPhnMaB/+XVn1lLPTNcvLMW7S6qJg7kf4eGuzmAIyGOdDkBAI6MOF7OHAXgKIijDI4EODrE0c3po0cc/Zw+BsQxzOljRBzjnD6WiGM5p48V4li99FG9xXUbQKVn8C4yssdxMccR2eOYZswbRvY45hnzhpE9jmXGvGFg3lx9QrWfAH6Xz+f0fg746neX20BqOw/YDdt3pbcLQJrhZUWo/SLgF2fMzhLwK1/2GLVfBfhVL3kWSjYVekTfNSQJZHYg+wEyK5B9oDslAUwAmAgwJcBU+qkDtIeBqcPA1GFkbKKPAECcHvF6JOiRqEdKPVKJ1yLdUb0/cgeEIxgkFOwugVYgODzTwowFHbFDR+wuzz8xY48a+8sDOcw4oFFFFCxRsLuGgFYMOn8ZXTEMOzIKCpgNgxzq50DOg1wAuQhyJchVU/9j8Heuu86FMIJG2V/vYhzqxyAnIOdAzoNcALkIciXIVdg0A9vH4DRjcJoxOk7BYgExh2EewwKGRQwrMayaeBp2aOt9e3p2NvE07KpyuAenBEQBnJ63ubEzg9zcnWr/8wDw6bH/F0Ki9PrNp277r0EJ6iRgmBcTPwOWmb+RLsZ/tjhX3nbwxvJsKy+28s5W3vfy/wJpr85I###1508:XlxV32DM 3fcd 5cceNq1m91u20gMhR8pIucfXfgyQC/SBba7vSmKgSw7qFHHaW15N337SrFle9tCGh6Bd4HN75wRyZnMSNZumZ/WT7l53rV3u81yuV3nr3XzJTefzd3D0/Elnz9sno+7dr3/yJ/yfn04bl+pTf/Rrt5+ZPMp/7dZrXNPkMt//vM3eXLNm52afNCVj7rySVWeK1150pVnXXmjK2/JLRXlnaa6UR27bmacqrpXVQ+q6lFVPRGvptUvWkQVr2XxxG4U+KuPbf+oFmVxVBjHC+bRuLyruothXxDUiVFBIJsu1f9yKAwskgylkqFU0lCh5CmQTDUa2Xze5eWmPeS6+db/UXWVNEGMnL6Vm3Xt8ChDcmW8lMj7Q/v1uRVbkdiKzlbCRJA86wRnneRZJ3HWCc06ibNOaNZZnnW+ZN2KzcRZZ3HWGc06i7POaNaNPOsG7nUjz7oRZ92gWTfirJtL1jmOg9svr8xjvd1OjeomVCa+7//tPxaHnj4NxeJULk5n8Vgs3m0wQllontoz3UQO+SuVpmJpkkpzsTQLq745rEsL04WePmUJcV5XzCixqts6N8d9PrR1u8737x/y/f2KTI1Qw+qCwTPGy5AlzxnvGUadz70yfuz4/3mmX/GtLH64QAhjDDMYZjHMYZjHsIBhEcOSrD1I2B6E1ZmwOhNWMMIKRljmCcs8CzPP2MRkrGCMFYyxiclYwRibYQOWXBBg5XeGurnooo4yKUqTnjTrSRs9aasn7fSkvZ500JOOetJpoTXP9WYM681zVhy13jxno1ZFVlPWG7NVU3Zqyl5NOagpRzXltOBGoJwrWTjJwnni3sZ+9f31y/r4MnFv4yZyOJzTOPBLvvoza5Qzw+adpWi3qZo4kP8eOu/H2TgE3rfASAkZKV1ODoAjI46Xs0oFOBrE0QyOBDhaxNFe6y93dIijm1NHjzj6OXUMiGOYU8eIOMY5dUyIY7rWUbw0dgtAkjN4FRlZG7ma44iscUwz+oaRNY55Rt8wssaxmdE3DPTNzRWK/Qzgd7k+K/ezwJbBXm4fie0cYDcs30lu54Fs+uuMEPsFwC/M6M4I+MXrGiP2S4BfuuazErK5kiPyqiGZQLoDWQ+QrkDWge50BTAeYALARIBJ8tYBysNA6zDQOoyMzchTACBWjjg54uVIkCNRjiTxKbY/4vdHdY9w/Zl7BYLDIybMmNARMwoaFLQo6FDQo1W57DZA44COOKJgtweAOr7rnwbjZvUtoxOGZ04YRidMf6xageDw2B8zNmBpGeRQPwtyDuQ8yAWQiyCXpn4a8Xuu22ZDGEGj7LfbGIf6McgZkLMg50DOg1wAuQhyCWszsHwMthmDbcboOA2WFhCzGOYwzGNYwLCIYWniId6hrfft6ZHfxEO8m8jhFqAQMALg9JjQjh1Z7N3DKfan55Yvz/0vH4ny23cfuuW/BiWok4BhXky8F2xnvjRdjb/HOFded/DK8q/vTP8AXi3Wlg==###1488:XlxV32DM 3fa7 5b8eNq1m1tv2zgQhX9SNMOrsIUfA/QhLdDbS1EQsuygRh2nteU2/fdLxZbj7hYS5wjzFijznUPPDClSsnfL9LB+SO3jrrvZbZbL7Tp9b9pvqf1qb+4ejk/pfLF9PO669f4zf0n79eG4faY2/aVds/3M5kv6tVmtU0+QS28/fiBDrv1npyZvdeWdrrzXlQ+68lFXvlaV50pXnnTlWVded9ZynrVLRXmnqW5Ux66bGaeq7lXVg6p6VFWviVfT6hctoorXsnhiNwq862O7V9WiLI4K43jBPBqXdlX+MOwLgrIYFQSyyan+yaEwsEgylEqGUklDhZKnQDLVaGT7dZeWm+6QmvZH/0eVK2mCGDn9V26W2+FehqTKeCmR9ofu+2MntiKxFZ2thIkgedbpknUrNhNnncRZJzTrJM46oVlnedYZ7nWWZ53FWWc06yzOOqNZN/KsGzjrRp51I866QbNuxFk3l6xzHAe3356Z+2a7nRrVVahMfN/f9u+LQ09XXbE4lYvTWdwXi+cNRigLTVN7pqvIIX+l0lQsTVJpLpZmYdU3h3VpYXLo6SqLCSMhziuRGSVWTdek9rhPh67p1un2/V26vV2RaRBquPdi8IzxMmTJ5zLMgR0In7tr/KDy5wmov0dYWfxwg4AwxjCDYRbDHIZ5DAsYFjGslrUHCduDsDoTVmfCCkZYwQjLPGGZZ2HmGZuYjBWMsYIxNjEZKxhjM2zAahcEWPmzpDwXXdRRJkVp0pNmPWmjJ231pJ2etNeTDnrSUU+6XmjNc70Zw3rznBVHrTfP2ahVkdWU9cZs1ZSdmrJXUw5qylFNuV5wK1BOlSycZOE88TRkv/r9/M/m+DTxNOQqcjic0zjwv3z1Z9YoZ4bNO0vRvKmaOJD/HTrvxxlwJMSRLicAwJERx8uZowIcDeJoBkcCHC3iaOfU0SGObk4dPeLo59QxII5hTh0j4hjn1LFGHOuXOoqXuLwA1HIGryIjaxxXcxyRNY5pRt8wssYxz+gbRtY4NjP6hoG+ufqEYj8D+F0+n5X7WeDWby+PgcR2DrAblu9abueBbPqXGSH2C4BfmNGdEfCLL2uM2K8G/OqXfFZCNlVyRF41JBNIdyDrAdIVyDqQT0kA4wEmAEwEmFreOkB5GGgdBlqHkbEZeQoAxMoRJ0e8HAlyJMqR2jgpko/q/ZHbIxzBIKEgo6BBQYuCeXuxAsHhJowZe9TYzzQOaKoiCuY9ANTxaP/1J6kVCM7KLcMjRmdMf7yBcssgh/pZkHMg50EugFwEuXrqOwZ/5/I+F8IIGmW/38U41I9BzoCcBTkHch7kAshFkKuxNgPLx2CbMdhmjI7TYGkBMYthDsM8hgUMixhWT7wNO3TNvju9O5t4G3YVOTyDEwJGAJzet9mxM4O7uTvF/ucF4NNj/xVCovT6zae8/DegBGUJGObFxE9y3czfK1fjPyGcK98P/l+mPslO###1476:XlxV32DM 3ff2 5aceNq1m1tv00AQhX8Sntm7QH3sG0Li8lShleO4IiJNIXGg/HvWTZwGAcnOseYNJfOdsz6zu/HaZbPID/1D7h43w6vNarFY9/lb233N3Rf36u3D/ikfP+we95uh397x57ztd/v1M7UaP9q06zs2n/PP1bLPI0Euv/v0kYhc93qjJs+68kZX3urKO115rysfdOWjrnxSledGV1531bLuqmXdVctl1S4U5Z2mulEdu24yTlXdq6oHVfWoqp6Il9fVT1pEDfeyemJ3EXg/1g5vmpu6Oqqs4xvmi3V505SLYV9RVMSoopBNifoHh8rCKslQKxlqJQ1VSh4KyTQXK7svm7xYDbvcdt/HfzSlkyaIkcO3crMyHe5lSG6MlxJ5uxu+PQ5iKxJb0dFKGATJUyc4dZKnTuLUCU2dxKkTmjrLU2c4dZanzuLUGU2dxakzmrqRp27g1I08dSNO3aCpG3Hq5pQ6x8vg+uszc9+u19dGdVYqE9+OP/v31aWHT0O1ONWL01E8VouXG4xQV5qv3TOdVU751UpTtTRJpblamoVdX+362saU0sOnLCGO+4q5SCzboc3dfpt3Qzv0+fbD23x7uyTTItShwILwjPEyZMnHUOfAbg7sQfg40S6fWf48DI0/F1ZWP/1WQBhjmMEwi2EOwzyGBQyLGJZk04OE04OwPhPWZ8IaRljDCEuesORZmDxjC5OxhjHWMMYWJmMNY2yFTVhyQYDVP1Yqa9FFHWVSlCY9adaTNnrSVk/a6Ul7PemgJx31pNON1jrXWzGst85ZcdR665yNWhdZTVlvzFZN2akpezXloKYc1ZTTDXcC5dzIyklWzlcejGyXv56/bPdPVx6MnFVOJ3u6DPyV13hmjXJmunlnKVpuqq4cyP8NHe/HGXAkxJFOJwDAkRHH05mjARwN4mgmRwIcLeJo5/TRIY5uTh894ujn9DEgjmFOHyPiGOf0MSGO6aWP4i2ubABJzuBdZGSP42aOI7LHMc2YN4zsccwz5g0jexybGfOGgXlzdoViPwP4na7Pyv0s8NNvT4+BxHYOsJu27yS380Ca/mVFiP0C4BdmzM4I+MWXPUbslwC/9JJnI2RzI0fkXUOSQGYHsh8gswLZB8opCWA8wASAiQCT5FMHaA8DU4eBqcPI2Iw8AgCxcsTJES9HghyJciQZJ0XKUX08cnuEIxgkFGQULPcySxCcfhIxY4sa29PLO8zYoVF5FAzopYaZlxrREZd7CGjFoPOX0RXDsCO6YsbjDZQNgxzqZ0HOgVxZHh3GTRsJZBvA4UZwuHHecBNomyr/ZOHfeLlJhzDsIsebdYxD/RjkDMhZkHMg50EugFwEuYRNM7B9DE4zBqcZo+M0WCwgZjHMYZjHsIBhEcPSlVd5u6HdDocXf1de5Z1VTg8QhYARAIeXhfTn85zHvtTlH333/H/kmv9/Nz3TSa9/A5wX5i8=###1788:XlxV32DM 3fe0 6e4eNqtm21v2zYUhX9Sde/lKxoYaDCg2Id1w7CXD8FAOLaKFkvsTnGa7t9Pikw33WyR544fCgT1eQ4PSelaIundbbrv79Nmvzu82vdp8yF97jeHK1px93p36bP0ab35M1FZwhQvSXihBS63kCVyuQVZaEHKLWQJlyXmcgizMttvPhvW8x/D/u7VD+vtNo3/htQP64c+rR+/pI+7ww3RH+ntu+8SS9pPnycJ6cdff0mbv6+6lelb+lHXOCA19uPGftLYr/UE28Z+rrGfb+wXGvvFljfI3eNhvOOsaWyYvn/3W+oa5vyyH6bK8L6pIbV3pFXjTjc35NaG0trQtDa0rQ1da0Pf2jC0NhyrTlw2HPq79Gn/MD4Y0LNb+JeZ7V5U7Nt2XkS2a+c2P+/Y+s7yWUtHms6WvZDOlt2OT5j1nZWzlp41nS17EdUnM2fdgmiSlb2QZPasWzSaZGUv5AIpux3vBl6oJZv94+4wV5Nhm0sJvwcBkg4lOhwhOBfDhMCEgQkLEw4mPEwEmIhiQGJ+QxSrwManPVVzpMNYh4kOMzrM6jCnw7wOCzosKi6T+e1FnIYjNUjKpFqOlZwoOaPkrJJzSs4ruaDkNFfo/CKMX2jH910lSMqkWo6VnCg5o+SsknNKziu5oOTiihe4+1E5UdSNT1auTke8qRPmtWlILpVxuUo3pa3RcaVOKnWmUmcrda5S5yt1oVIXR50p60KdbHLblmXpaXi+hN9M0wzp667Nk95gcovJHShnqK+Oaemd7pyeRCAg35BILzzW6YDJI/FtvfwaMr/Gxuc6FyywEcbkIozIc8lFRglLxNgosWqUGMyEjRJrRgm6Da4F669gWQxkjlW50RzKYiFzrISO5lAWB5lj9Xk0h7J4yBwrm6M5lCVA5lhNHs2hLBEyj1iWyOLq5cNDejPtdN6vd1uCQcpgB4Ljm5GHkblkBbix8XUqwEgu2XBrBu+aya3BjVm8MZuXw+HGHN5YXnuPcGMenzR/Gka4tYB3LagnLa5A5HpaK0ERWglByLwmzSgzLcfADWnCsYIRBWMUjFUwTsF4BRMUTEQvhOOSMw6RBmINJBrIaCCrgZwG8hoooNBxtVZgiDpNU6SBWAOJBjIayGogp4G8BlJdEnFlC19Kp/9Im8chPRzWh/7Gvtiof/q47dMkJG+n7Xpa3vfXWHJ7S2lvaYjM5Yfvn37/OZGZDzacO+3U//W4vpuOOe2fj+75Rka0cPgKdMrnkVpF44XDqJjTnMwZqfT7urXwwozz0JsWLrRwkgaxyeeimoRiiWWbeQvm4e7pRjJPxqe9bPXsWKTJdHr+ePRfbnUWdrpdO9n8H5r4cnFNu07YT9+UHCtEedmubEg1hvn6jxWGXGPIJ0MqalccyppqO66w49P4Fe2kwk7q7UzF4J2WAajsZyvi2fp4riKeA+L5ini+Pl6osMvv41K2ixW9jV97u3xriBsr5meWChEVmp1VeVTqtQJoHaAtVQXrn7seK0THKlwwdLbCcBbVGXp5NlwWBaoRxYposyj/2Kxf0lqKBYGXZYHr4sL+9SzIP+9bNvKhYORDXg69/EX+36etq8Wjimf1xyXehV3dMxgtHqU8D+S1O0K54QCGIzQcnRa6CeXGcNAEEThBVLEGfx5DkzGYjCtWY89jaDIB5/O0ldBh0QSPZsBByw8cjCUzeDILJrO66bR4MgdOp1NOp8OjeXDQvG7QPJ4sgIMWlIMW8GgRHLSoG7Q4JTPIIFz6Xdr0g1D3+h+CmMRG###1616:XlxV32DM 3fd5 638eNqtWsmOGzcQ/aLAzSouTcTQKZjAh8QHO/DBCAhtQQx7JEOjwfjzI8nNHgfJdLPe65sAvaVYLC5F6bAp9/v7sj0ezq9O6+8fTscvr9a73ansT+uHfVk/fiufDuePzv1Zfv39lyJajuXyfdG+vP3j/WvXrXz8+bCAkFv5sIjQQjqykI4upOMX0gkL6cSFdNJCOv1COnklW4NO6VRN8HJ6OH89nm0mTnYmeKfehq9R2Wyc0cYNNraUOShlYjMRyERtJgqZeJuJh0yCzSRAJtFmEiGTZDNJkElvM+khk2wzydVkPc067SrldbdSZwCXr+vt58tmtGnmXO4Hc4P4N3qw6CwebqViQddhtOfJWfJUDYLBQCwGMhh4g4FaDBQw8JZJ8M/z3O4QLA6hOlimOVoc4lhHBodkcUjVQQ0OvWWi+8EhAZzeEFS2BJUHgyy5lXO5hXXt2LpPtssbiuh6+XIGsHnTvl67DAbjnas9Qc6eIDHIi11eDfJql/cGeW+XDwb5YJePBvlol08G+WSX7w3yvV0+G+THC9TMi8bT6Uq53IckNQEv15pGSdcKlFagtgJ9KzC0AmMrMLUC+1Zgnjpmt3/fPpbt46k8nNfnfbl791u5u9s5DQDppzcHjRjP6Q4j1nuBZoBfyWuc2zFkyjkQQxaCy6TaE1xmvJHgJow73DWAxSdIVQhTUpUMlYUQJSVESQlRUkKUhRBlMXB7gpsxLl6OipwFCp4Fip4F+nwWQBWhxFlQuZEwFoKrBDdhXLycPFIWHi2LG7FuFKAxNDWeqCc/3i1wYyZoJbiB4EaCmwhuxrhDi/TyDz/b4+OF+fDl6dogbZpg8+/K/0WLCa0mtDehU1MyXFsynCkZzpQMZ0pGRcem4Unb8MQ0PDENT0zDk/EZs2V42jY8NQ1PTcNTU3Hq+COEzqPL1DP8D6i6+FskXZOks0hKk6RYJLVJsp787uWz+NB1Pkg3/f31AcPPQsq7D10bzE0HFNJ0QCHNBnSDzAdUYTMBxTwdUMyzAd0g8wFV2ExAvZsOqHezAd0g8wFVmJuDDYt8Ou6s03FnnY37BpmPu8Kcf3nXGT8/3xc+hh/+XfP0abcv94/fikvh9h+bbhVkSbXhoFoyRLdoiOPJu2SIsmiI9TwMS4aoi4Y4noATfzv6H9GW590pWqdbjFebIYouHD2Ag3baY7zrE0iGqU7/grm1ncLy5eojFUofHgewdKOzLNyghYtaQVvlVobWbQCL2oOl7fHS9kRp+7G0A5iv8bEApVNFgkYduP0vcPtfBIsk4kUSiSKJ9P4Xuf0vckWSwJylW87WOBfdgxK3hSUuXegs9VzUPbfxZnBNZXxNZWJNZXrjzdzGW/+PohuIXueaYQvFVortUfaQNahJEE0Q7VqiG5R5bcN1D7OHXEOLUsDuRrjuRrjuRjpqYVZ6AnMGNkeCN0dCNEdCN0fCNUfCNUeCFolwJco1RwI2R8I1RzI2RxS9BwcN9laC91ZC9FZC91bC9VbC9VaCRh249Ry4qNFdKHIrI3JHTuQKJXJHTgIXVsIXViIWVhoXFroRJe7E4hosQVcG12BJz0WdL7b/AGv7+m8=###1424:XlxV32DM 3fff 578eNq1mk2P2zYURX9RUfGbRINZTpBF0EVadFEUgsdxkKIZe+Bxiv782hZlE50uxHOhnWHg+JLvXV6RlPdP4/Puedwe9qcfj5vpw/Hw7f553H4/jq+nzWk3Pn76OD4+frZlfNls/xqN2/60R/jx9fRyOLknhE/iTqIDpevIPaGdSwj74cPeZUoat6PoVKroAvqBgbnDDZK5ZtxreIaTNrBR5tLjglHjvmB2XhCsXmbCE8brgmLltlDWarIOdtnxLk9LecNZ4wcOzx7hY7fQofccSu4z+4WaJzSP3ByDFJes5qHVPLeaFwLFN48NVi8/4QXjUrkDLHfg5Q5CucOt3BnWK2jlDlq5Iyx35OWOQrnjrdz0wRO13UnUyp1guRMvdxLKnZrsZ/VK2uJIWrkzLHfm5c7XcnPWCqyDbc63NtM9f56PhBSX2kyz83aKRkdRN1sb0spB1rNK+YEtCD/gBXFFYf5cWclZfpAOV2dcMaaHh1HPD6NeOIx6oz5dvZFiZMY9xqVu0UlbrWZWc7idd/sohrwUgV4KMXgFGKQhh7lZCq2NPLFyRXZ5GfHlZeSXl7E5haJSRenhGiVfJiaa5u5CWhkyrFOWllKe01qhM5twYYuh4MVQ+GIozR0vKlWRnHV72dNXsXE/OJvemeGhdxfTkALae1/ZonPGY3HHxefbTi7uO23WoLNRaKstJM153oy0ZzIikoJY0VHQUzBQMFIwUTBTsCDrhDT+uf+bLLJKGrDIKjovcDrq3r1nQ46ffhsk2ki07XwD9B96fBT596DmMVCnVJI4paLzBomOmjilktApd9pINHFKQyOntDxxSnLUKZUkTqkoz5TpB4hTKgmdcqeNRBOnNDRySssTp2RDnVJJ4pSKcqdMP0CcUknolDttJJo4paGRU1oeOaVgpxTulKI6pWCnFMkpRXJKkZxSRKeU1indW2Ef07vL6TEQ0D50vi24cddGocGi09AF9BQMFIwUTLQh+YEJZjrSfD66Q9A52wsGU/rVzhBz2wSCDK3geTlj9D0drqUgaUdyzgGo9++MlZqfK0TSIgpMLw4FjDCm3Pu8POzGy9dPm6OJfX/wPO6+jS+H13H79bLJOP2e//i/r56//zMGO/786y+Xm6voV5e4LNJo1pU5PzxMtOtrmJjXF6mn/LW779fvvr923649k7D+TMI0k/BlXZn4EN3aCtf1uHJHzvsOLGHfSthWIjo5vJZLSOG1UEYKrw4NHl4dIvVV2drd9+t3Xw2vhTJh/Zmo4bVQRgivxQpSeC1UUcLLvZVwrUTycngtl5DCa6GMFF4dGjy8OkTqSWTt7vv1u6+G10KZsP5M1PBaKCOE12IFKbwWqijh5d9K+FYiBzm8lktI4bVQRgqvDg0eXh0i9S9h/wLD+Abn###1472:XlxV32DM 3ff2 5a8eNq1m9tuG0cQRD8pnO6eCxGDv5AH2/BDECxkQYaDWBdQNODPj2xxGa3EAHOKs2+CwOqu6t4d8nClsvn97vN0e3M7Xd/fHX7bXz3/sL//9t/P0/X3/fR4uDrcTPubb9PD/eN0/TWmv+8Of7a/zv3q9vuPqeXpj48f3sUulVi9xfT+k5WVk+T1k+TnJPnLum3KrvjaHZ5ybNbeSHvaiNoiv22RX7bYll8t7IKl97f4Nay0bhvfpVRs/R6ptPWbTA9X1/9Msfb2Y/3tX3p4dbbJ6ye59PDqbHPB4dXd4aLDq7PL0+FlV//f4qRNP7XvNjtP4MXP94cLmgJMJWIqzQ0EzRaYMmLKjg2SG9dsgCsnrvzYwQRNBqZiB2LHKbYiIlFmUQgaEj+TneTTlcI1JmiKoGkgfCHhixC+COFnTRY0FYSvJHwVLq1GGjRhurPG4nOvZvmWlF6+JWU7fhLNPrLaMVYa6TGGejydTz7SY95lG1nt+G4+0mIZarGsYbENtTjfMG7b3qLTxjf9r532j4eH+0P/PXySPF18WPPzk7ZXLsMzSGAGic8gnWZQsYaHMRDGjl1AeQflnc/KhVn5YlYBwgQIE3xWGZTPvHwB5QsvX0H5yjddhU1XedMNhGnzrHo+CxlBV1tgqAmaAkwlYiohIDEBXY2gqwnoagq6GkFXE9B1qXFgqhNdTUFXU9DVBHQ1AV2NoKsJ6LrUuKDJgqYImipoGhhyIUMuwpCLMLAiDKwIAyvC+VXJwKpw2TfSoAkbmTXRBTL2Fj3sJXoUJ1jdXQ1hdWfVGOoRYnVn1U6s7q52PBFGWixDx3g6UzYjPbahY2yn23jbW7STq41ztQlcbRpXm8zVBrjaOFebwNV2hqsTCGMgDOJqA1xtnKtN4Go7w9UGwgQIE3xWGZTPvHwB5QsvX0H5yjddhU0rXG2Aq41ztc9cbeDFJwRKWGSCxkGSThh3AcZdgHEnMO6vYJxrDJhyYsqF9bmwviCmAn1t4a/5nTcyQRMgfSbps3CdZCEIw3cX8N0FrHaC1S5gtQtPnl3AaheePDtBZF8gMjm2GmnQhOmyJ8/+liL8JUXUmBHZRlYjjyM7i8ZQi7GGxTzUYl7DYhlqsaxhsQ21yJ47O+Bj53zsAh+7xsd+ho8rmEECM0h8BoyPXeZjB3zsnI8d8LFzPnaBj13mYwd87JyPHfCxcz52wMfO+dgBHzvnYxf42M/wcQNhGgiD+DjIc+cQUDeEP7MO4Vl1EDwOAY+XGmLKiCmGx0uNCZoKgjgJ4oIpR1y11DQQJEiQEDYSQvgQLsdALLbUbMHAMhlYRt9bvNIkoZEJGhc0GUyskIkVYWKFfdMTAu8vNeTIqyR9FcbbSIMm3MGM3Tv/C7OT3burEaTr/g/hkRbns6aOtJiHWsxrTLEMtVjWsNiGWmTsHoDdg7N7COwe59j9X5bfBO8=###4040:XlxV32DM 3fec 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###4384:XlxV32DM 3fff 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###4148:XlxV32DM 3fff 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###3784:XlxV32DM 3fff eb0eNqdm8uO5SgShl9mdrMxENzyKPf9FCWBbaTczCx6Wep3H27hYziEC0ajUZ42NvB/vzFBQIlwfm1fr/+KEPJf2Lb09wfcUf+e9W8of30u/8czfur4C+L/t9//gu38Ar+//gMMvph4xQsh/t3SryP+Cu71wzeZq+CbLn+5Kk1ajVXu6Q5+rzK8/gIuSr1CYb1BYr3q9cOOrXadX10zXdf2rXYN/q+u/R27lqrjv79z5fH66xdXspTIUiKUix3eXt/5UuxNKRX4XLwYuxqf4y6WSLXHApkrlF+x4fTcqa5f+vpl8q9ffPPxMSFvrfFNpeuqNMSuDuraQZZKgNumIXk1JK+G5NWQTBUmXeC2m6xtwzt4rtOGd2F8dbCiWnhePVH5Wr3tzIXHVSjvhUcRwS9aPCMszbuQHpW+FErrYxkrZVyx8uR2e7Jwjp1OJf7enQyGncUbH7Ak/rq1ljsqHbbm7q3VOo/bk7k1dvhS4m/6SmtHeU/8fj2z31s7cmsWW7O31mQoT7rbk7W11A9eYcb/5C8s5bngxILjhZ0sBUVyhJOfyKxiwT+eM5PHnvgSv+NQOb9OY1558EhdRgrnLH4nRB4R/4Yt6Xx5kHs7ZIU4cGDx10+9PY5NVcYmZ7a//6yj215jMw7JWoW4Hv2pLWJP2a0KqKNb2Q0ftFcXo+j8gPj9nRqPV1/f6Ur68QvyoKqd+v2d1MQuv+qd+W3W7l2WLv1KN0Rg4FkPzFZg9hHYsQQMPCeBiTlgpadDYCaMgJUHIrDUeAaWrtyA1U4lKEcBVu7sgB0NMMbdBzFXiKntiZgyS8RiOyQys88hq30dMzMjZvUJkUapq9TytRs27FlkEzVlbvXmFpwyDTgBeTSn/yE3X9809eb2I8LecBMQegyhYji2axrkKAYSSteiLC0PKbghhfJAhJAaTxq+05UbAgX1y5luiO1FkWHPIuXWvxx7FSmfXg4tll4OIRk5nPjcu1F6On41ziGU/ECCIsvr8J2u3KDUTkXno5r8WpQ727cilt3fCm2LEvgCBHZUYOcjMN8D2LHTbPAKgH7+XoFt79eWHnx+DnBRNgasR4DLAxFwajwDTldugLUFhOjzW1fvLIBPLLt/r+L1/f1MfpXTDVFv/vgH2w/Js8AX58OQlNtBDcndzw3J0vJ4SIrhxzw/EOGkxouOYO9waqfKDfchKTfoRYYiEsyTSKZJkW5OZGl5LJKPRJYHksjYeBaZrtxFlk6VG1qRshOZg4gk8tFJZkiRdlakJEWysUiJIg2KlK1IgyJNJ1L1Iln9VsCjSEuKNLMiFSlyG4tUKNKiSNWKxFA5/mhF6l4kryKfX1dHitSzIjUZkYaxSI0iHYrUrUhcfcQfrUjTixRFJNOPIj0pUs2KpMPucyzSoEiPIk0rEhd0zHci+69rWRvENsOjyJ0UKWdFkl9Xe4xF4teV7Siy/bqyHUXunUjXi5RV5PEokp5CYFakI0XuY5EORR4o0rUicQph/RTie5GqitweRZ6kSDEr0pMi/VikR5EnivStyBNFnp3IvRepi0j+/LqS8fnOZ0XupEg3FrmjyIAi91ZkQJFtfC457+Jzi/kB/hhuwlJ8Lrmmwkft5sLH0tMhFK2GUPIDCQovH+HvdOUOhWsMBaHE5+XOLj6HJj6XXPTAMD/AHoHJRWCGBGZngQkSmBwDEwjMIDDRAjMIRSIw8wlMdsCgB1bTA/IxPaDVIjAyA6XNLDAyPNUwBobhKbcIrA1POWagopoK7DMDpVUHTPXAal4AwiMwvQjMkcD0LDAyCtRiDAyjQO4QWBsF8guKRmDuE5jugPkeWM0xwP4IbC0BJcVGAjtngZGzlx5/2DnOXmJDYO3sJTaEUlNP5c4OmOmA7T2wmmMA/wjMLgIjkzL6mAVGzoTajoHhTCgYAmtnQnElZSwCGyRlbAfs6IHVvAC4x6TwuQiMTLLofRbYQQIzY2AHAuMI7GiBXUnhE4ENksJnByz0wDDH8JxFD4vABAnMzwILJDA9BhYQmEBgoQUmEEpAYOITWGiBiX6WdJivUI9J9G0RGDlLmsk8aenpGNh4wShwlhQ4S4p2lhQ4S0Y1FdjnLKm2DpjsgdXcBzwmlhVbBEbOkobNAiMTQnq8+BSYEBI4S4o2ISQuKAyBfc6SinXA+rDC1TwKwCMwvgjMk8C2WWB0WDFeyAoMK4RHYG1YITxC4QjMfwLjHbB+69TVnAyIR2BiEdhOfsPCLDAyh6PHi2KBORyxI7A2hyMwS64EAts/gYkOWD9LuprfgcelkVpcSwK9FaFmgZGzpBknogXOkoCzpGhnSbi2AHEtCYMdwG4tKc4eWM0VwfPO6eJaEshZ0shZYOSOoRkntcWJwHCWFGcLDGdJhWtJ+JwlVbeWFH1Y4WreSR6PwBbXkgAkMJgFRoYVZpwgFxhWACCwNqwA3OxSuJYE+ATWrSWh35t3NYclHwNXtbiWBEkCmzzNUHo6/oaNk+3AEJiswIC1wCRCwbUkyE9g3VoSPmbJmg9jj0sjtbg0AnqWnM2HATlLmnE+DHCWBJwloZ0l4ZolcWkEg1myWxqB7oHVfBh7fsPcIjByljSz+TAgt3PMOB8GuJ0DOEtCu50D1yzpENhglnQdsI+woubD2OPSSPlFYAcJbDYfBmRYYcb5MMCwAg4E1oYVeEwkqanAjk9gvgPmemA1H8bMI7B9EdhJApvNhwG5A2PG+TDAHZh6nOY7XWmA4SkGtSOw8xPY3p3ICpAPysKXRGJ46GaQEOt3MH7gOGu+Jyye0ArkNODfCO3zCa2Qv1Kj7RP/3px29PbJD5z2qoteqo6jFn0dyYzdiBVFH44zH/EqSHOJxGNgoUy237n0fvBQ2Vs17+2Wv8V5HUoJeFAsXHOSZe/Czk/W+4lngvyKn2Zb9ZOMtL2c9lOQfqplP+kYYRy2a30ZITo/2eXndawviMtPRvkpWj/xe2a2y0/+4adpUxc8p9waO2s2ka/ZuRZzcEF+4PxkkMZLkDo0c2qX+m0mf0hUDgNk7Ws4lzpxs7LSTNfrNzTfkHzkbSZT4/H2dEPjIk7jpsY9parOxDbu4TlJ05hYM5zcLZm4FgdxOg7yk/konifhsYl80UQ6taXCs4lp3r+ZWGim6zXU4jUw+OZt7ks79a6hMRGDV1NjMf6Oxd4mus5E1Znoa9aV2yUT/aKJ5Eh0YdZEeiRuqyaSCwl1/sHEdiQWmuk6jkQ8LszbfJx2+l1DYyKGNMajiYOR6DsTdW9izQRzs2Ti4j95kOR2oJvc3eL5gPDQRHeumkgubtTxbGI6k3w3UVcT62HmckMxUbcmmncNjYmYzjf4zy7k9mni3ploehNrdprrJROPRRPJCMf5WRPJAMftqyaSCy61/8FE0Zpo0ESOJgo00bQm2ncNjYl41MMcaOIgsDk6E21vYs2Yc7Vk4rloIpmuc3bWREma6FZNJM8aKv8HE2VrokUTAU2UaKJtTXTvGhoT8YCTOdFE+DTx7Ex0vYk1i8/lkolh0URFmqhnTdSkiWbVRHIlr9wfTNStiQ5NVGiiRhNda6J/19CYqNCogCaqTxNDZ6LvTaw7CxxWTLTboonkqTUnZ020pIlq1UTytI2yfzDRtiZ6NNGgiRZN9K2J+7uGxkTMRdsNTTQfJtpunZg34RoT8ZQtXxqJbNFEcsvbza4T87/pHZu4uk4U5AkgZf5gom9N3NFEhyZ6NHFvTTzeNTQm4g6MYWii+xyJrDOxX+z7ugMjxJKJK9vw/wNW4lJJ###4268:XlxV32DM 3fff 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###4228:XlxV32DM 3fff 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###4360:XlxV32DM 3fff 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###4512:XlxV32DM 3fff 1188eNrNW8uy5CgO/Zn5AN7YeaN/pSMMhojaTC1q2VH/PgghIbLS3ZWPmZhFl7MlA0JGOgfBjV9/GpW+fv6o6rypm/nrD61SuOlcm8LsTVGORXEqUBxdUReFBgV0pdVxLBoDmgxNTiUUTnVF+Pr5M7U2/qZv2t1s++evfztdbtqk/PUvp9ovu7cfZ70Z47++6xxbL1/fdD7Hs4xnxWcfBZ56PA08v+tztDv38TzGM41nHs8T36/j/+sYp45xKo5jlBpPPZ59HJgLDAT/qb+6/S6HrzalNjmlYUa1zeiwOCVdw/NTcThU9xtMJsixDhrL8FhpGev5Mfz9fAqNoT46nx/Dd32JtJn15da+T9coXm+wVvLZNPXENla06cuqfWvUuKU3DZqMGr9oehvorZ5FDtPXe5slNNnSooHV28ZqTcqxKCAS2ofuowShQctq7qNsy2QqKGpvov2iKaApXRNnmzg0GTUuLhpwTUbXRCs1OE3TNfu+aLpr0Gi7tOm2ZbTNrm36x0HfmMWdvc15oAuk1eiCDB+n1m1pAtM5d0gGpaqWC86WCgpngpMywTEzQVs+23MRXcxdZP9tJLdn7ydVfd4HwAiy4A4KgG3/REBXDaZZOVQaQ+lEQymOtQOMxLTWMil2tUeOWzDfP4hbp9nsIy9m/2acVpX54yW5fkvVqICJNFOavPQY0SfJz74K+gs9+68BnGRklSMtCo6skg6hEIFVjsWwGb4+5GlY8xkB3wwRsAxj59ww3r1U0Pr8Efcg5bzUYdlOuchchkIAFZyEStqlnFJQWwQ9obgWBBMKCwVAklCIC/qbLptcsN8cBgZ39Otq0uHk1eT+eTVRjyLZwQ/5gcs55fBdm3xb/A7y6feSouinefxP+Aei353ABPr8FU+/0vSznP5rCP3daI1PnGSbLE16uNHs43mMZxrPjO2C6+4tPt679xzAaAq5V7N7/WVeaIGlMWiL9xcxaw1/sXS8ELOt6+2OKrSlOLqu1HUf5B+svR9KWO/KnfVtVfchTN5piNO8knFgWfT1AmNM6vijbCMaYXrNkCYPDtZjhG9jUQ5B1xsKmlrDJl9Q9AIT3Lpl8UKLc3xBUGPv5tA9QbQF1OVeyk+Qxy7fSb6QgeJ5CnFMQXck1nmbDSSv0JuSCk5ydTNkchwm+5VemGlDXNiFIXqFCmZXZtD8npHHeBDvJqkhb9R+uM5A/NrutgJEfoRvrZqi96TotS9H7Tejj1eituFhX1sSxtOAcec5XMOHeHl/Yxmr0lgcx7Z+Zqz+5pKGNI3F6J5ayzTQItnRA6Y/17d47Zvip+vg2bpsalqJdmfu3azp8N1faT0iUm5CLTZ8teRFMYOvHouCg04jV2PNjLYWDUubGW9aF71oOOJam2VGB2g0jGMP3oo2v8oZ2QX7u/ox1+8KjklBZ7ti7huQlbJGBCPH99DMaNRFLRoKx/a1UQXxVQkds6L4KpId/15cEWlo8RXeQcXUUsg9wBB2BTv3ovGtvSjyk4Ufj7jyW36SH7fO7mKU0Moq5sf++Mi+VhMv7qMK/KqpCIWIHa31vmhEgSWvGo4eW6pUyODhRYiaR8GDGg4eZm7d6ZIyI+0kTSeBbfEgimys2UZY9dZI9DVR5K6WRP9Mi0JAXVmbzLhiWo2aGVdM0IeCwgo++b4BuUw3qEtx+BA8OSXD57fLSv6uvPTUpvR/H6Y61fswDbTFrB8KU91X9RKmcYRp3J8NU20udt9u4758+AiU6qwvU5j7lG+yukxh5WnfuMsUlj+cwgxvrcFLC47tm9RI7NtoZw9fUe59Zz7Keg34Y180nCPGxyENJhdwJiWX/WS1klkp7kuPsqSmt8U8WW08pEYUtPRuFs0sGDArHxquGLQ2lJEgMHDXfHpI2MkvBnAZsrlBmiaKmlH0VZcy4EyIqJmFO71rqZmFu3Lui2JWATUXYlEzK4eYP0gzPkObFn+G6Tm3krHdLxrGIDvBsSsYthCC7PAbQND44rJ+k5VsLKkkn2mgZrLPtJgoMfeQmuWEAr5uWz0BoGNj6DAEHfqjJxT/bQjREkra3I5ycWLhouWdkftQirVX6dxwynLnh8Yy96k2j3SuZqqldF7q36ba7C6KPcHyjs6YpXzy0N5ZLml+rxfVHl8ZbrJ/pdrT+j6vYMarp2AGMhvnvNarSK0iQJurR4C2V0SAYr7CVzC4/+jzXqoSxybfgWIMviOh5GCifNQVMXh3CkYM60YBxQkNRDKO4AhqquhyZDKwnjIZj9jW7FIantS8a2amVyovGsYNkXNAMaHmrHVRCIhcBpHYkL3QyAMvDC7DzhY7yglOR1nPiCYIdo04V5ogeJT1JIj3x10zAcVOED6KBBTLpXxUSDzRQiNOiMxQYOlpfHasMSU8kNG3yJnYUiY271eIgVjpizNPt/NeNn7mzFNz2QVGvSBW+o5YTUTXC38SH0Av9Al9RgpRfmzjh2X8ebKqt33RzJ1UieD9fDO3zF/A0RdwD7Hw99wytzW/t50pMVzsZlyau5n9HcZe3HFfOKeq9sFZurwzxA+cBVRfY1gOSXcq9DYbBGOqVHFDOROmGpOQi1p2NFI+SwmNuEjFvKoR45TLcgXLY5BxX2kNo5zDHqvsLBdRb4tQzNRSCWpQTsnoZ8pHS4K3Zszt4BXnacVtcsXhhrw5OchTX3FI5h9tqKmu/N2EoQ/IjnLa7oF/7GXLVcWJBrjgErkf/D06livp1R5/OegbYF+OV3tMdz2edvS4v9Tjj0wlV3Co2APlcJI84clh83x/3045onrwzDk89tMpB/hz/DjoR5IcJIdEXY3DSawCp75UuCtPXXnqylNXHrrq1h5mdiWYUA47yXd5/plDnLNG+Ymz0/P9eY7aHB/gJpQxcARq5lIPtNSXCxBPbzCcrFm9cIFCryFVn92A+L/biLx6EEshm3KnJUsYuHHerdwF3/+HOEjp/kizZR1M/oEDQavfOjW9Oy1NffP/CLpgodNZ71t3t3K/3PAodzUK92Ji6Gxh6XKjLu2rPv5lG7STI453fRwv7sdBkvmQj93VJYvuhpd8/MvFgkxdmhd93Msk/sH21YT0ro/zRdHUhPgpH9cLfNMqvOrje1hvRGZ0qV/1sb6//qDJEfldH59XHDRsH/Lx5i4QXyv/IuRbwt0Nwe+P1Cu3uusGMMLU+t4bDECWmUOgdk5CfzYW6wRpVKB7Y8F/c/CzU8F/Uz94ooZwGwRfYCKcQ5mWjhHhGknWRo5YaERm4shGdmjoB/doORd/tEw5JI4kkSSVJMRhNrcylkGKcFwuomZ7kJ2RPKrYo4Emn4dHYZju0XRaaaYnMz2Z6clMT2Z6MtOTmZ7MnGwojAILvCnZEN0U7Z13M3utGM3UZGYkM/nDe5pedPJ2GRM4mK3YoWbN31XR58my7pGNkS9UeoH32DnY6TSxw850+gnOEDWlTBsacORgsX2hhGPOeFiOsxXvD08U8oThD+bJE5Y84dizdmXLO7WpsiaVVRkTHacC3b2iNJWNki9UeoErVNnv87uIAlUqRjTE2ImyUMUUF2YmKku5198tybtJXhaYsi7yhUov8GYwOzsdJHabqfNTaogmebntTCWKz44vZFkmMQlpfN+OjtZ4rcmS/KSJotyJWhR2hnIvrkFhUhk0XsNxwXHbGngwi4/E4tPrJ83PXnd+g52HJ9k4svCUtite6xlI9vAeVqkLXjvr5s/RgZSu/pDC+PApo/UFUaz2VaOPK+bl46eMNhfMq5pXjU5XVMZvnzLaXlCZql9kMoG2/ZtdGInOlKHSSkS0kE8iko2XcuYfiW7GoJzrcNmK9wdLYogIVFDZzK+HMlDV2BSRC00/DP2wC93QTk6D2U0qjAmHLCZn66Z8mGUWqsHDexre0/Cehp80wjP70gvsay2GF6iuDymfYO6rNHeCubFSziiQPbGstPyxUTZayhnpEl18QjmfyGRrp3y4Q9NXouOV3mbCbaIrT5AuRWU0WzPloy/F9IhJiVpIgSpyjIn56hRjSOCNUj7x1i82Md4iULOcYPZnCnDKdNM7oNy8T7UNlKNLOf9nKPceuoWwX6Fb5YLQrt5JX6FfMn10e0rnJ29PhZivYK2GT1mbLv5kSeftWWvLFZ5V/ylry8WxuM7xSWs7CXkIZJOFvGttvbOW9+Q5PHeIH+jQGPoUCBbiyJkwnZ5v4AXMN4GOpUAnUCnQbhnljGKBzttRzqgXihXyiZKhnlJ+t6n2YOso6MMiGz8K/agSwQJdDoMXBBiGSEPEQtMrPD03dQLdAu39UM5wEeiqEcoZXgLBQpdPOAo1STnDV6A7vzArAXch8vuZbE1sq506AT0hJClnqArplHKGtkDXfbt8wlGou5QzhIREPuK/9+qQE2gfDPlw2HqwrWrqBLQF2ruinHdrIVkpZ9gJ9Le4XT5hKtQg5fOMMOR+vdfA345ZhqOd4Gj/yPXej/61OP+Naeg8+zGs2A8lk3p/8fQ/tn4TAw==###4108:XlxV32DM 3fff ff4eNrdW8mO3DgW/Jn5AG4iqSr0rxiguAB9GR/62PC/D9fHYFay7awSDEwfbGXFY1IUxRcRXNKb9/8qrt64P97/o1h645LJ/CnkT8m9/ymYeWNv+Sp0uX5XZ/37x2X4mT+o/I/9nb8Y35R3rSqpr1HVxUdV+v1PHlitigfer6JVycSosnwwWGVsVYroRpXuwCp9aFX52K+pV/3Pt/rr0D5/EH//oVO+MPP+TbAr44bLhpe25NLv33jyGdf+nHiurZQXBb8C4rzgvOIScVZwVuvxgPtU6k+1/IF4LHgseLgQDwUPtZ3UnrO3M5iK84nXdvLQylvEecHrc6UTcVbwq7bTzf7J77zgR8Ftr1/b1j+tA4UuMcNmrN+79pE+Ea/3rn3kLeL13rWPogK89pGvfZQ04qWP8n8/flyl8/gbv950rubvPGDiGxe+jpj8SbM2YIQ4fn2g5KftV9Nx269nv7p+vfrV92to30v979QHaOr3Te2+eTT0K+9X0a9XTzfbr2e/un4d8Vr/d6FrOy9tSlxj6uieOsmM1DnZkjqvpcylY3kUibcY3HHFV7nDPiY6cQclehQ3JPplhNxwitTUaqfuuJU29uEd5OHf34G96x34h3cQ5HgH6aV3kBlnZFOus2Z4Hks1i03H8+O0DM8FRoaLGWssKCqL6IR4YUFxIas1vLCgcJXVsHzJ8DzOa4ZHxGPBLbG1LG0Nla1bm4C2xaDb/GGhbTFxpO3AEJ+07TXik7avC3Cg7WARB9pWiANtEw1bpG19nRMH2tZeIT5p2zPEgbYT4LWdoXW4RLy0M9QODwbx0s5ga3sG/ecOR/o3g8oz3/TBET7Qf449pf+KT/q/LOJA/1jPM/qvONB/5uBM/0ehf0P0fw36F5+n/1+n+yjuoP3vosp3pnO7pfOYbqKSpHZ07vlrdK6tf2ytG62Nd7X2eGztNVrLXmytLn17YFVhtPYcVXESn5+PmtxKPhSAbw0s2etK1DcZ2EKJ+T02SsxdBJSoDXEvXyhRd8da+iG3PJfnJR1N7S/Z8JKh9YvAjUZwLMBGASJJwyIUyDnbCgBb1iygAnEUmLSZrtlmoE0dyK0fnY5ipRY7yucR2N3oQXQkZwwpVV+IT0oNCz4pNZ6AA6WmBQdKHXh+MYtzpvKDOsube3TOG+q0K3UO2m44UKcF/KlzRur8S3QPUFWxv05RKNWUxMoDOf8jQvWDUOUk1O/cd3/82jiuN3jIFD1sGfnVEO/IlHarqnGqJ4I3NFw7Dp3exjnhMF1hiM9On1M9tXT6nBoq7HSY0qk+yPN/udPTmYqO6aJjlro99G5X/uvd/tnpzGd1LF8r+V7RnZvXrSTpmdJ3uPDozGZuIZwYtzrOO26Vrg8S6tutDjPduOm3ysK9F6VUnbJ6IkqakXKIXxClKUbp4o8618XoSHpU6dfVlF9+cPb44KlXfYjXpiHp7BRXhsjMhr+kWXDKqpIkgFNWkR6Wl1L1sDQS9DC5nqYFb/MdXri3dVPFm062L4oWO0asUfUfBVFVDpMLM9brq7gPsz6QTy6cHS08mn62ElM/Tw0Fin7WAlM/U6CmHiibKYjRJwZnFXE46YKDBMaQECcJTOeFOElgcljPlMDkFOIkgcmdiNOsIvk43x0wMWfJwkudVJwDBgPExXIsTzWcuFhcBgSt9W8VtNLUukRkcIkoDm4N/7/c+r2n1M+WijIx2i0HB+Lg8x4OdhsOVlyOW8l4Cwe7uONgy17kYJe2HKw/y8Fyy8HmqxwsthysXpsRxUpzx7OFq0Di48InWpmpiajDYspLYyYOGS+NRZwSHijFIaUgQ1jUCWAIizrB2ZEwQIKDJGSRUyYt5/HRaXlZBEoXKYscCiKGgjg7YgJVZ8pVHr9drsQqV72ZtUCVnowM6RnP1oWiL58lpwGfy23JCcRpeS6dAXFazouXmnh9af1hzjjbtEhemh3wXPJK1zXJW9bV0tgOKa8VlCv5a9wpodKBcrlVuXB4TOUCBXSogKBcblGuE+ufSif6WtXQFUm6kqquiJyIXJCupKErEXXl924lgC4814M84NluFV4SSzt5B0vne+1WRqQU994rpUcrPdmMbnWJFzYmMzfIkeJpzSzrEZ+ZpS3ilFkpqYlDZskx3mtHwWoNZyZCBE1fkkuAFksoR+oLxqQKa1VEYJzpAyMzPzNbLneB3GbHuURobZ2zU0MDFrLmxxKhvMsdzJYIZR5n6sTIzO3J5D1CbEDc3wMj7cs4LBsLGSo+MI18DWzka/pX+EDzyhZi7hPLdmsxiYzhcRMPmJ0zFBfZNcNuutfWGh7mNWuY+0htvOGRzs95w9y+sDOHUXzNHNZxvnGH6lV36PzDKxt8KuXcWr5lqS4msgMeaTZGh/ikWSYQnwbGpYkDzcZ4QPm5vxhjQDwUvE6gU0KcjBa5tzrGqn2rPQ7+rY8YMcoUB1cx1enTBAqH5hpbFbWh+aOnsEXjSG60VlbtaK0B6ZxsVytTfFcrg8RuJTQPzFz++4SWLZRvSKXMYtdybQIiYLTy30TSVi365c7lKx+9XAs8MWc98HEdolcFEmFJcCzD6UF0Fwam22+jfQZoBkKmuAdgkWKciamRZV1jzGZ6i0EJp6xbtk5DjFwisFh/ggftL6ea0DZMQ073SJLGu6Qdy+mXT29LvrbUkITZuD2taEJp71mDrU16RnSa69eIjrM6pX42D1aCxMn5z8yDo6eRcAmkJM6chMhCYtcSINaDIXqJxY1yjoFHviq2Mz8zTjIZmziuiQozcNNntOWLjUM9xND2CZrqCoO0QSTa8IflzoGjGx1J2wLEGDM1WmA43pIAvri6s+ycXJQCYqQAX04AvOrmHg+C/czF/aYUq1b6mWnTdafozhTju5MCmodXU8x98Dy0Mui/lGKZCY4t6ei7SUdtSce8TDpySzr8a6STM4lS7HjIJIWBeT7pdIhTTpIxqzjYCEG7IOJYkn6cl204sUR7SR3vzDJ2wdOYHZYPLcZHLMbxJHzZVU+MeNUF9ARAki4sS0KTVl1A34FM7MKyX3JFCCy+hxiJH6uK2wMDJOKT9Pix+JGI5acdAVblx2pHAuLkRmKiF6KeLzjysT8m1KIFnGbgY3mziUd0AQPgyl1aAiRQuZvcEpma5jEwZbBQ+FkoPM9P3rgmCpeDwsXXKfy3UTNncsfNx0kTO3ndM8mtK1PPyPmQL1JR2h6V1crcTaBiS6D2ZQJVWwIVXyPQlIhd5JJ9nPElgpkvwxKh1E+RLwHKfc7mjEcu2R9pGXw9vpqEnHhn0bHjEBNR+LLjgP5sXaUHv7UcYgWDJlcxOLEiEoNzTinlsc6Q5AGRPtnNA3dYYDm/KJHd0fEt52hhAl6qaXS27p+wSU5qpTOPgUlnixNXK2vhV3A9ASy6AgbMAzwPoY+OVA06k/9KR+q2jpTf7UjPrSMVLzvSuHWk51cd6bklVHU3odotoR4vEyrfESpP9znSc+dIz40jPZdN17H0V/HnjvRcHemBOHDpOfHOpZYc6bg5d8ORnuRIBzeXX2ChIyWLk0fWxpHGnSONW0caF0fqGQQ2jtTtHKnbOFK3OlJ49o0jdasjTYgT+ydGHG43jvQcFG5XR0qLiuMc1EdHyneOlG8dKV+5XUMAHSlnvlhS9ybeHDH4MRhc4U7Rl3doHw2k9xviyH/QUZ2bdkmqJZfPtks9TZuvZRdin91zG9O75eRAdBBYNkTtEpiLXGFW5dcdUX9CBDJZJrUEKPWl1UsAV8EPjMAiVFPVGZlMxSNbInMZ6mB52vgW84CZ67B6DJjj1gHz2hZflDshUvOne5HfcvRLHZvdInGRs5fhlp9AsLFro9ZjKgfgmOrSIj6HGu0KqWPddeKAwzEb4RGHXSSF+NxFkmP3Sp7rSB6jrwSWHfTxG4UWwB0YiQEYyGM/oQZwMZUOVMlFcGPEmmAQc1YFrBxrUTSKzRjFZjnQMn4kpZffrqq28T1revITIz3PR6ufm5JRZXmeKa/50zJXmI4/R8pQyBGNq98tMAUm9tOiva5g37+V/0oncMeKf3erf7ejG+yzcwL3ngf4ziO/ybf/A1n8D9bEMjk=###4568:XlxV32DM 3fff 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###4536:XlxV32DM 3fff 11a0eNrVW9uO3SoS/Zn5AO7G3cqvHMnGIOVl8pDHKP8+UAVVhdtOeu/e0tE8JN7NwlwKalEXfOg39fb+XR+mPX84Bc/fu1Zhqb/aP/XrP07lN5e29/867d7MWt5rSXnTNrRfR/1VQm0jHdhWyv1ZetuqP+/78vWXlX0l7MsHP/pSS+8rl/fvRi3QljEB21oXamurv5xs68C2gjKjLWPGuP3teOv49GjTx/rLyzbzaFOPNpOfZPHpuXt7nnsZc3c0dzva3v489+O0ZofBtmyhNXPuBWv204fUhv3rGyxeHdL7N5hK+/WPUXutUv9uQzG/EKnDrIgOgIR9IHXIdRAVMQsih0Bqdw1p74RS5CsHAKkCi8oToBuwA7BMgGrA1gCjp95LA1bo3doJyQ2JgDge14Ld6+PAEUeBwIj10ecSCNmwNV1yG8AaSHgbDOAb7DEYiq5LVV82W5R16uh7HZiHUihgRwKOfep1Q0LXK3Xth+g7sgmkyRZ7cP1FH0WTbTZ9XWERCqw6SQFmdKDkQIUZORqCkvMkBe+7fFKTT4lGADCv9l8FlkUCIJRUoPs4Aa371ASa9zCtjm5NJVy3feoEVsfguOzUWBtxOqAxN70CjWkY1zbNsY3r2GAm69Q9zKRtQZNQKnVZDK1f7b3p6mLfdOWp+u9XVdH8pk2O76C1OgRUUWP8+w99+K6CAdVe7fB0RxPGO7Z0YrwFtV4vlhjE/Z1BRpNNOAsJZ+mqW8eBSBRI2xYVCcQIBDAP5H2RbdVd8U/7rwoh5yqtt6OyXyYZrEMGC8vguy6dpkqnqVK6LFR/6v40UkY/Kt3g03TZmbU/t/7c+zOBLIuO50NvEL2jwyOurzj0il5ueD9o+yjvb+V0Pg3ed/oYbW3pifPpZ06kpLUTwcf1bysQQch52yeACDlvaQKIkItaJcCETHuqSUtuqRy5XBwsuH5Q3skLXoQB56QZw+Eqw0xLAJFo252inGgX2XuUC8pW0UuA6J61CYHGNOUABagS0W9NCRZSgG0oQJQkkJa+vR7abrWDfN7QoVsENo6dkfUrLAJa3danoPlcApcLMs9lFeWC/ZWX5cS+tBxYTkSei5XtM40XJcvHWVE1DzqYWWcfQl//DdaBv69Zx76YdWAI16zzsLW5q1vWyV9lHTqCayc3rFORa9YB4Ip1AGDW0VNTV6xTpSVYp6jA5ZJ1zD7K98467cXOOpaxa9YBgFiHtj+WX7BOK79kHQCuWAcAZp1SIhgM0vRIQwE2yToZN7YGuv1oeoyGxG7au+UR8nOWBzbZBl1/oN2RDZTvXI5WR47TWrXyC6MD2hE2h1b64+yPMfv9IcNLx1vDSz1reGkyr3ScDS+dBHJteOl4Y3jpsxDWtQpAmyaHLoZS1JBCGlKwH6XwPCn2cj1IcIgifoYkhdTX9ST13Y9Ntz0l9dpmOtt9ew92uEAOfrCvCXakc2BlL6Mv2jX2RYGVFM47VPe+PPWw1zf3rui77S3gYrvt6ITMW6mKn7fSTxvJLa991feGo2k3crLTMhzJWqV2hawVBSx4XCt1TAgRed3F24QQk2u9WokIj15HPSHs0et1nRCOQeho5Zy2huht1rT6stA0u5GVnOI0WTtRNMDyFFBqmxDhwTMRpNnr16uWiDgKdFQTwmeBXqfW6DCo/ayHnBPTSlc0WnOiot+7jQexhyESzYM+jolEu+GKulafa39u/bn3Z+pP2HE/dElXdCJsqofo44cJrtMHHMhXcU2nEsXI1IvUT5+trW7YmURxzX2KG/6hLX+2toYqO6a9Y4pr/nHcMr4J5tZV3NC5lcYZX+EltK0zNqKTlp2NFMZLHqJR/9Q1Q+1ZxCsTISgKQiY/YmRQh6lB6VXWwVhbqzORhJrqZKoj6AJIgescVEcEL9lZriuPPlBJZz3XMwMIPdeT+3kwP9k5rpiUQEQs0hYzARQGs6zkAFCAaqImK2NaE83YKdYnycTKWJ9JoYe7KpWPpcBwVxNfnd7WnN1ElFEGZeSv+7rPUs2zlsyFm3ft3mk8Va+ccOd2opz9JZSj9/WO3gyFglx+UV/xJm0TVHkwbaP327SNzU+mbTQEcq/SNr4cX0zboMV0mbbx5TFHuuzHaerEvoq2xz6dSH9l2RETaW1L91nH4SW3xZN5AVyAgWBeoJX1vICmoHj7hRZOkwG1y7CRvjHniaBZyBPBeyJPpGPi0U5mXbQSYOInQwcBaTzyLNaZzsisBIQpsOzTK0yaTMAIcMpH77tAJDWj+iFyk/JBoLyjJK9TPlAHjjOoI42/3Yp1EMcFH6ytioibsYWOAGdA9O4FIkJ2Vb7T1GU2h44rROhU4LMHuuHcDJ89CFCATpxjiFBokG0BHDLFBk1aZD4FRQAHTMn6nE4hf3ZRIqhHLB7QrzUhzDGNrM/aaId7mZ5z6jkWUdsWvlPJjsvB8q6DgXIt6oMuBT/FOlp9UqDfe172OvEsI5pFj8nrlxyt7nTUfcrq3nP4ECHpvro1ZABr93ejlVk9w70EfxXRNhTB3LdnSD27NupwQcRmo9HmZWr60SD50pmj9TURs1sYEIxWYpDlRIGUCsVyDmoush22ccvgWCwnuzaPHHWm5DVG7cNoJ0S0xusegIEenl8QNMMsnjkHqijNa7ElIL5M2dOEvBcc9yWYQxudJUDMYRJOHois92dQEerC1BWs/0gRzFAEc6kIn8yjeHPaGmPXmZV2XY5f2xqdp1tfExl7zYDgb8qfYzmnqWlrQPnHtDqUi6w6LRyUc6LElnCOlBY7pGmvpHkjvQK+q7sygNlQfNTCGeHwNF0q0EYVBuRpZ0a4HwHeuLTLSpLXEKqRZ4zrvFpIAG4IwMlM0ad51H/JVemHVx2ZVzf7MYjcy1eoqvbhytnE7eFtv4QHc0UaDohLtyQStfrwuptJzXhoE5B2prFk1bQEDpttlo0qs02WHm2ajpBxSGd0B9icPJwEhAVKO7Mj7FDXPTQhbG5hmGtMRqYDcAcA4lU3yGHCeJ4YGwUs06VHmgChB8ZNCBEH56oQYeqgpFQHmDtgttUkC42NI2mPH9rj/38d/jo3W+4c+sB3I91LnGzj3a1DTxl8d7yoL3vr0G8POvTG+1uHfn3SoTdO3Tr05osOvbH5zqF3+SG2a6wxfKHW6qU3bPpdSawiWIodReNHvLLN+9pRNH7EPaGOcBRxjyJzqCmzYCwzh51iALhmA8EYgPF+xABQ/KPJTjlt9J1yDPXonWSxks0EEPGVI0wAX6hL0xvMrlm5CRDOepoAQeFediKddeO5MT+lS5iqmxiF5cm0jwDZqkz7CLB1yxFWQJhW7XJMAHvsW5gAYcM4IxBh9pi0SscYlxwjr828gchrS0cREYdBxOHzdtzzyZysX3SR5qGkTzM7wo3haXa6WVMeMTxbm8eZKNbugAI1IrGFidhuCC3DXY/rO/bE7fuLuN3ls8U4LhkZ+zKL8bjj0GV52GKMdzmpWF5rMVZlIoVzWWZnLAf6TJwCjcYuApGePAp6NDYMs4NZklS4Fn44GwYw2a1GyVGIhBNaJoyQWy+t0DjFAfL0hgi2Gj29wqEGe1CA1Bw9FpC1JOO2jydiBY/K9jfQ51deErwwHF2eqZdtTZfnU0+XaZXorBPc7/J81AmTO8yxT7MIZHIgzcazmm1xJwFhvsO9BJpuO46hikiz6WHhI8D305HieITC6BauR5DHg0nbiH4co6dB9eGDv7oMol/+VX/VxTt/laN2X2af5dZfjQ+zz+0tgZXTaOlF7MPe35TolYywzIzgWEkiccxCHKOjeHHyGvUi+ppsND0BYncLHZozxHKH2jsfeM5Dp/kNYaVZJ2YkNFUyxSk6uMUJEQFFPb3DSiWZIgqd+r371C7ElWYkadKd8T1G3Kdbwa9KFz92c8WndOOc2WN9zDnzsEf9hW9mC2Uczf5332zsajZpfNpvzAxbyIVM5SuK7sHWvbIy6kn1mJ6HD8mEcammXwmHu3j6GUEsYCZeJhMy2VvJfSVivIwA8GLmT+VGTD9ATJ9u0uAndBbL276HFz98MUcV1KjAX86pLCq0c30x0xd0+GkdVcijAhkm5AG37QwOcFtOwW2+n25QLs2jtI/yfskaXjSI5YEldFtbCXqtPh2MCWd3iXoMBG0GaFgYD32bjQpqVCCzoytkr9CEARXYYlnGlSGskEcFMnZMnxN6bdi8gfIk7tHgGsAJHzz4chCUZ5oan0zF4+tBtUd9Orojfr4dvejt7ssTzqOGl9yzC8CQVx6ZOchVsJehprNHFsKHuPrwyA6KAu3pK/TV1vDm0nzcn0sw0wetTegiWkFfwGI5HZ0+dh1rYxEJaTI4WrnQyRC0qM+X8oMvg2h6PAheBJ1cRlaprY5wGug7XhwTHdq+RzCwnI9s33Whl5MVvIybLFjO9sK4JNx+CLs+BDOYCWNQMGhh6ITxERqWk5mD6zVebDwAFdjaCePTVywnY8d05kE7HUHQ4saioMVWavH47ivmyVB/NCT+mMH+P4Kq7O8=###4448:XlxV32DM 3fff 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###4296:XlxV32DM 3fff 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###4556:XlxV32DM 3fff 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###4608:XlxV32DM 3fff 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###4624:XlxV32DM 3fff 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###4672:XlxV32DM 3fff 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###4712:XlxV32DM 3fff 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###4656:XlxV32DM 3fff 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###4404:XlxV32DM 3fff 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###4400:XlxV32DM 3fff 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###4436:XlxV32DM 3fff 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###4580:XlxV32DM 3fff 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###4528:XlxV32DM 3fff 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###6436:XlxV32DM 3fff 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###7196:XlxV32DM 3fff 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###7068:XlxV32DM 3fff 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###6888:XlxV32DM 3fff 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###4804:XlxV32DM 3fff 12aceNptm0u25SyuhKdkMC/nWTUY83CzOnfd1r9q7mULs3d8p7JHntiApJBASM78868Uzj+ut5///F8K5c/2x/8z/zT8xLx/sL0Hwa62sHpjzqV9gs7Fci92z3xHecJpwXv941r+mSO/OYNzVbgv2F3jhsv+rv0MbOk5eFY++zvz7N1kmYMUbqwdbmLPwLXxYwOf4pTou+X4SDReifYjKtq3hdrC+7EDdQv1aWrjAK+lpza9vqboR7/B+DMH12VYEewx/8T6s+l1TCwf6ZbyxmxgWxb/zjubn5gNTNjiX0WfwaPdHNi8mt81a37MfvzYwDtbc1dsuBfzxVzhXTPVba5pgymLe2V5BramDa7HheqVX/22c1p1Dh45+1a+mN9ezLuHjlzf/Z6BrfkM5n65DsXOic39ztaXXa7J0xwEw9LCyus5z8BsFse7Zry2yZENRjF7boujMb3KBuaNxQ3BHlmewbJLVay/80zOcgeSYUe4pl3mwNZcITn/5F7snRcU8xOb+z2jD+b9+WLm/eWDxTh9/xk8jnPPi04wk8UGNi+GL2Yc2cD2O9OydVq2vgfTl850KhZ/3sFp2CXYHSJznsl5dP9iI01Z5sD4G+GLmSw2MH8p9Q3fUo/75ze3NhjOYuwN3n7/6BFvDuwYK84t331PDBsYD7W8vNcSpg7PYB6NecmZW51yzkE2bFPMvZjx94wE8xN7+Wtv/B32p1sHG8yT7Von27VPzAaG5fH6dR5+6mCDKcvwgj0+aAOTpZ/Lr8/w+vUzGN4wr9g1scntsWLzqP31TxsEw5a/1LSwxV9eZ0g/j7Xf8bHZIZjF0T2Y3PYzCWZn5DOw8zNfy9ZPuJruFsCTv7j4G3PeM5i815IX1iZ/cxANO7+Y+ZkN5hlZ3ngvfd4NNgjdzont3a9HP/36GUxu23Wts85N/mwwuR3vfm20l9tnYNgR1zmR3GvPZ2AcHaEpFl/MrvVn9MHmWZDWTVjOd145N/v5HFislGN8MZPFBlOWdeMfqbznhA0sblMU7LH1M3hj7HhtXY5j6jAH95r/+XcMrxf+O7jwJxzt5/+Duz37xyCn0ADkBTo3QLtCHlBQKACKCiVASaECKCt0AioKUa9DIep1ClSpV1WIejWFqFdXiHoNhajXpRD0qspXhV5V+arQqypfDXpV5atBr6p8NehVla8WASlfXE/pajBGVboajFGVrkZjKF2NxlC6Go2hdHUaQ+m6Y0UhpavvgJSuC7Oa0nXBGk3purBgU7ouGL4pXRcM35SuC+ZtSteVASldFyzflK/rAKR8XSClKV9XBaR8XeCrKV93Aq6Q8nWByqZ83VeSQsJX3MByuxQCX31TCHx1pxD46spXByld+eowb1e+Oszbla8O83blq8O8XfnqMG9Xvjps2JWvAUN15WvQGsrXgIt25WvAD7vyNeCHXeNr0FAaXwOGGhpfA4YaGl8DhhpeqYTwY1cIVI6gEPQaUSHoNZJC0GtkhSi88jVA5QBfoNJSmTihnX44Hr7qCzVaoynEvR6+9nevhPiyJ9vxznIU/uGrrAWhsiWMfUGYZTn2BwLLl//qFTL4uvNw96fVf+xPbv7p4Sm/C+1Q9Xp42s8XowNe6auryzCDPaMXFAkVkXqn1A9R14Ko66l2RWBdD1FLQp4K10OUezfzvOEvYcrTK66hK9Iel8zC0XofmTeUXqgUQA9TI7z2APIQ5V5f8sgm7iMTWAAWgCVgEdgG7OHMlZXzABLO4q9ZD2duXxbhbofwGSjkQ5rzC4vAqux2cFr7mj94yqjhVXZAD2nOvdhOKz+subCWVH+M7qHNfba7gLlvyOKKjc6Lgzsu+NA2XqU9XMQZa9vCuNfDWljOD/GdBJrPMKPLX6fbewZUvmeAz/BHdyh0ApJAi78krF8JfYYTuCa8UMCuJxGQ8RU9HEAuQbCPlbbWeb3B7P7hyrWV2gDy3/OtQFu/f1XKINhrgEUI7qN4TIQT+vRdr8AOPssZ6xDnVht9oU6dju9yjSqdIt4J61k5dYl3Uoj2XY/O4ruKR0uML+Toz/76ruc8fHbfREAktXF3IiBy67h7XRBqWY19SejhzrvcX67g5NolqlwGV3sSCV2AznsWER29cy+6JDU79CLlbqfI2MH/LnHl8DSMe1MZD7jA3lXGg4IMXZKqXSoj6AybRDH9LTycpbLCAZsFC6+V2QCR8IqVewU5Zwr3it9TNyABjOHh7I3xHWWUGLIkZQlRbgXqdSInkBn09krcS0/Ck8LL5eVRsolW5FpZwC8Ju4gxEC1hCNRpDYkx2j1uctjA7lYhX3eJg0dFiTA6RhSyOucEWc5Dp6jx5SB4TBrLCPOYdUEqheiCkeKhEGi0suPn8sSVERFdNFNTiGJ0WTBQjCE+eMDR4iXexIhMm1z9foelrPi5Uhe/g5Vkica68hiUVuBcHpoIBRWSK0YVEn5tTdCPIAHGSlmSOU+TpCKCZDhIOhTiiqcIcnLBqtZi3pAahISnpq67IatMQ3dDzFqDZ0XfCRkzaGPCbF3Ur0XgkFnCjK6aJcwKlM76DHMQPkuY0VGzRFmn6Mg44B1Zg4y3fdYg8zBuPvUGhuNnDTJ6YtYgy2Aya9Kxcy9cYDSTJh149kbrgK0FA/ywOPGMCgmLlxwaBdhYNMJ4UBW5wAKdxho5H8tD+CKpfGAaUORQvF8sgDS+NvBVJJXfGbHWF3JpJQicJjeYO2hEzeUZlqXrfQ4PKEMhXKTlUtPDiMemEMSwbyMWK8wtD6lu7B5GtA8fls4nvO0QwsiXfUuxNGaSdSSRwnFW1lmw7lE0kSJ0qJ2Q7R+acBTOqpoSIVIOLUYlnBqHJhyJwutpmGhC0IUgOvX5hdpstN7XtQojQLweoVDLWl8fCJ5xSs7Bh8ApbO0NFJ/KFnoY8dTTkMm+db5W+hqo1SGVIFZZrPPlPo9o2qlKIQt9u2itr7IeFjSUXF4ucbOh+Q285hS6AhOmqtHFIkWV45ACVq1s0BpVg8vxMqxBp4GVGjVNIaT5/EEoa5pCOQryJcpx6KWM+mKsGmBM9mvV+iIFaSgQcUXcYPABa399KkSELj3aEM3W/voUjxCyTbPE3YO05vXAgUGaXmGFUNBTBYeA9b/WqRIRSdb/WiJGRFJTzlD2jU2vsMq9DvVgQqcUxjtV1huM2VKTAqLjDdaQ14PnNpQVCn/phQOVrf+1YgItv9glxtB4jN2rMbjeLlLsELDrk9njfOh6g7E01yXjYA256wWG+n3seoGxdtgPPaUIaZE+w2m6sLUzg+mab/CB1hFenCXhFZhUdFQ44E9DT0QiTniMYGsIW+gSx7FrFoV8aGh6yHLEULJYjhiSzQdeAUPYSiBrFH3twxRDyxsOZFnra91RjlLUb9RFIspVBVdDueJz3zpf66ZE0zkOjSw0neMlybxnTeRy6jII8Mtrg4YLClu+QK9L2eI9dEXtE3FBTeZPGP7C4wt0XRpbzHmvQwv9CCA0wCpV1ruLT5ur6WlCSJ/Kv6yhnUryZf2vz72gDpU2vbpQMU+bdipjAoSjMADaleUDEB5fhDS6KsXQ9HDnXlnPJweoSKDglkyb3lyes06xIZ4AaZPH8t45q4nboFqSNo0vfISTNj0LzwsQUg0Yymm1F5dQchpfeEUlp49lNwApXzUCAl/cK+qDCL6hfa9IKtH3cpylmYbjLPS9GiCNr5PCy3HoA2cpX+hiJmt8rZ6pD9RZy70npdd0/oC3ea1udCxoza8PBBG1+eXpUl4I2/HBR5rtr09RhFgEdgJLwOBwXhvMiarpmYikInmNsUTV7GubpXbkihpkaKok64L51YXFGyxZH8wvm0QEpzXC/NItIgS90oZiW9o3LS+B7F3izOG9mnbN6HGBpF3jDJd62iWj31HWSXtUiGIkhSh8VohiKGeOeylnjM5dM/rIvapCXFBezXuCN1ob7AOB6F3PRZ4Eu+YdO2yILhgeFinouYi+SgrgC64YwBciULtgAY/EFPQeQxExBc07NrhNkHMx8B6zLtjyw4uzDp0FQ1kX7APRGpp3oGCZguaJ6NMn64J9FqTK+gLD9xYp6CcB+JQsxU0hmDcqX3h1pKg1RPpG1BfzARtGvJjholFfzCiBJ+uEfdIfSpi1RAdSot5j+CA7RY2vRuGlE5YphZyIhXP0swDqK8/lTnW1QO+pE74KQLCmTZsBCKDkFAJXSb8KoLppVwj6JjyXoZa1wJajMb9J+q0NOokpSWx5vCuTNsA8M8skseU9JTx1FiXUDjN6LSlphxl1iqTtL6+falgJ3v1lznH/+Qbs39vzbyvW/vPFi04U6Z//EoGJVX6oxjnarx8O/aG4wHF9f+imOkM1hfsl/Q6PPpb1OzyUSVJ2OgunfvY6C/6c9d2Icl3K4mOe2XCOuiDOuaw+pv2LrkbUOf2XEa264P4i7Yi/fpj1h2KMUX798NQfiq1H5Q9v8TUO0D1MWeOAaVDWOMDnrylrHDDXscbiZxYiNTedBe/IXWchHPPQWWIQZ+m1+8sk59WIKoTzv6zovJpRtbwPwt8/7frTrj8d/2Nyuf482rypSLri0VBKRVpoHj25VLRMgk5eKvKhleczTnueng+yomUSdI2S9Tw/C+LcLFlnqc2TGlInPf8vmoZMnWeWs4B1f9HA3fHKuRaK7i8mcreX//rpoT+t+tPz98lVin4FhDgoWuNBISeVU2eRSnxxhIOmyBvUsxZS9D5AgSoVjQN0gFK5dEEcoNqv9fpfy1xVQ+okV38bsuplpAK79us2cs3/+S+hTBn6###4176:XlxV32DM 3fff 1038eNplm0ty5DoORbckin+/1UgkNXyzHnX03jtLdqbPUY0c4UtQJP4EkNtX+O+/KaSv/Rj//CeF+LX/879/w4hf4YXc/9juf+Sv7XfpxaXFS3Pp4bW2vtfG37UvaH9B8Q1lQS/yr/ID9SkogapfgjK+1Q9BhVS83XXiMiTat53IJPLgyL6JIxuXlufSxqWRS/tfzKu/bIgPDjVcqAZBnVRmwwGqsgSdpBqCBqlOQZNQErS4ob91kaqBB2mAO6UQmQ9GZvK8dCzNT57nzqWDS4/n0sWlF5deT/EcG254brzhEXhDSe7YSbULiqQylEglRh8ZVFkWcVDtcxNE3TqLIOpWJrvuU7y5k2ksr0OYkQcZmWk9x4OR+0lDK7Se82loZ+FSWs9Z/xIP7eD05WkHuQuiHZyynoN2kM2ySSpZz82ID5U84HGRSiZybqQiTy7qfVpEJlkeiCwzJ26BS6FO8eX1Hkv5vVy59C9vf1LtgxT4pLcPYsNJtd+kwCe9fZCJnX/Old5UYvlJtd/ksM5KyCds3FD6cnZSkQdlA3e2TKTeCP5Bi9igdLFSq7dJhDRBbv48eFx5hPPkcc3rQSozFL48XBbD+qUKl3l9kUq8Hhsh8XoEbihej51U5PVE6AwXeb0KEbJ2LSJk7SWmi7Uj8nRi7Ug8nVg7MiGxdkAhwxL/RiWEA6Z42rxSgtGGBdeU0v5cSoasxaX1uXSSQ+YDLEJOcvRfwCKHUiptG3CrFjecapRPHfN3L2hj+glC+MfDD6Wj/l5JlMj1yJMDWcfg/8EaspuxDQqaTjgDSvLlFn3AE3H05ML8XAiVjztXIlkM3+yijVqMFxCp7NyAKEuZ8ORRUXDuoMHt8wYuGnikbvnP389KyjyAL4F2FxCEFFcCFZ02F+gseLXwTLMDz032hOe5d8hXLN7DMxJOuhDZzkxAZDzzzyXzDyLrmfAfUXnCrKChz65kpYCHjuV60ldwJRlDp1XpMqgcDFeBZt7IOV6tPTnXeG6ypz3P3Shhsrj99ZC6I0d+h1HxrwOxbTC+2jZOIFT0yVRJAB+ZVPjJ9w4VfjKXo8JPZREELuZpANb2YMeC+enOKz1XVqYfXNn+YjH8eJq8yoXXl5CyVSJKECaSEZLQESgwT6bZHepQdrKy2xYvSB8kkUzG/9Pm0JPL2ugntfmSC5Wlr52QTH1FQsrCFnKPeEqNVyYkPV70HacUeVVCVVAj5Ht1QrjXd/r/Fg5rG99Z4gfB0b+j/BthPafQaBLrL98n+OzGE1zUKIqj8hnzShCAMBolVlnqzvuQq3XnfSiKGnkfyq8m3odCr6xPJHK0Ft6HYqiV96HsauN9KPDaeZ/B+3TeZ/A+zIHSyfucvM9pJb7LKoX+YcFpJmfHi65j8ROTB142BmSHafnri64I2y35G5HgFZOS1P26bX5/U5HTfHBHPyEuGH9yAeii8fO526iJ0Q/hC24hZaUAF90Cc6C2Q6+iX89X5n4y/YsOY0N4b/Hi+ZQVXpX7+VN0JRuO3ljCE/Ii6hSIQsN1cD9ocSsn95MXvPAkfr2sBEn9IKrWpH4WIuNTVCS6qH6L5+vUv+XzUQEXValuDDGsS7RD+tdERP2byv826R/vO6R/WUQMSzL2uiks+VPQssySxwsqsF+wokc6tigS6tj0GaRjEEcvtAGVSepGHaunIOkYoF5lAyaijlWzj6/cDRlg77KBIiLqmKr1dVvcD4zth2zALL9IBJvvI5CI3qAGaF9qUswgHeOlphwtkaVAwzPQmaaBgx8bA80Ay4/AwDmgEcfOEwwpZcADNnVJMEDLS5NBhURIfA30pd1QIdUuiNqsblUNjVTSidAJSZ3DQUjqF05Clu8gJHsL0L9cfa9FyN+6CElhdujSK/kWBF0KRdzY4a9KlRnskFdQ2b3ukFcVn3aIa4i5e+H5xItbn/qPhTQf/Y+02g/UfeEOyNLaD2xYffSTxxDf9/u9GN7cNdlkYUF6vbM2dHpHFof0BqiR1aHDEOu3elTUyPrQYYhFEb1SamRV5DCUSSX+xkKqTVAlJAcQGyHJMnZC4mE8CImHEbltNZ9QbreZ3O+En6dn2uVq7tfnB/J+7BgtXfh+m863QokqoQkfbf5p//1WnOJ7QhM+NplkgrSiCho1/ZHW+lHQ6G9BWuJFgiv0ZpSUqkE1UVIq/9QER5hkIomPEN+Ivb1liD3uJUklFh2Wj84e95LpZ/b2loJCll25bEe7cgaWYVdVMswQlH1xhlUVf4hiMgKbsvvOEFQ2AjlpdqBmGFQ2AjHZ/2XYk/rZNcP7FaldhvPTS6xm+D67+wLXV8S3wqTH+V+BhIY+VCCg4Q9BQMMfgoDs9QoEZB0pEJB9XoGArDwFArIzLBCQ40mBgDRhUgsE5EBTICDHmQIBOZYUCMjxojI2qdtQK21Ipf9aaUPBGzI2bYYYm1T3/67CfKgMMTbpMV8rxHQZocPbJI0KOakFWysjk1WynoQkjzoImYPMJPwOrJDV8H6Q1dB2jf7OR28cGLikSY0DA2oRf1e4PpDcbuPAgFq3tXFORu3o2jgwsHxC5hF2Ro3Css9pjE52Oo3SyoYoLTukRmllQ5SWnVVj3pcNMe+zm++0raSEu9O27Og7bcv+vNO2NG5TO21LdajaaVsON5225ajSkUtkp4SdiXo11Jnei733zNw7DbK8NDOXpWydgUoN1MqZuTB9DOQTYUgPOTMXhjY8OGcxZCmcQwt+7BycsxgyB82hudByQF67H5maQ5s6/FFIJUYdHMiZEuXBgRwL5eBAzjQ32Lfzk/vgXMyUHh6ci3GZ42D+N83eRSqJ8mD+N6XY58b+odirAaopeWmAqspgOUCVuwyWA1TZVQYOUOWkw59MAaNEeeIhnKOPwSKcZmPqiTZrtLLdc0xvqPjKtC8785P5uksk9xzT+4RJojxZtji8IfxhtBcdnPO8DNG+NC1YNcek8cM6WLY4DHG+6NC9NF/kw2u+SDOlVfNFh6FGKinA6KSSAoyDkK/MwkU31SDkK0/qoU+4qIeeTeBL2JkDp1pi1YZ3Q+mjvfI291zLe3rcHvse5ghvzfaTcmIwd/d7l/MccYi/90DHG/LD7K6drncDWJziGEPMPiISjujoO1nLVqes3i3WzwllsXc//8MpU01S+fDsfTQJbLLD0cTDpRqzvsUmeuo6IZvoyXU3NtFTF+fZRE9dVrRUx5XtLTjE6Cf7QoK4T0XEu4n+rrtUifJuYX+0TYxaB6kMnaQyDwcg1zbuVulnQ0P3oMP2rjX59Jcw8eNuin6+ZigwlVKAuGhiSby/IhksOV8sNrmScncxP67eVLSwYSqMvu9JYrkaTyg5X51c9JUPbih1Y98xR6Uc7DtGP4sujpMvqcClEOYTXr8VwD16/AoWxgn7FwIDU4+psU+YjTDfGELYJTmEsBVoRD0SIawLFiHskCQhbJDsQpRomAknRWGIgevw8VTC9bdUwo2CLkI6e2DgUjrZQiAUBFFOemt+DxR8gmQVxMRQYbyxnxX1vG6BVlUk+lCZQPlbeHipqN7YzlLe0tjNGmYFhHUagaz0i6PGVtbhY8OeTrMBAUv5W2MfSz+daWxj6Vc67e5ivcO6CsyNXayU/CVGq+QNmQ7uuhP7WEluse3sOiafvRGSAHeIafrszAYVrBrbWCH4U8oGfcDJbEXazC5WXN6QTUeF7hbZdOy6VoS0qol2KrMGViOdn4ZqWoS09MptEcJS165FyEqxuUWISslDi/yBVhePIh/GSopa5I+emu8kUckOI0QVNkMsZGh8p0VY1WWEdQzNELXEOobGWVriO0tTEi3B/U0TQVLTNBCUZlVbgqA0kdoSBKWqTWP7auiyCYJSOaclyGmYC3R8vo+ilCaek6KU70op7d6Q5abdVBSToxTbV8FhL1NMmk5umc9hjZm3zOew5slb5nPYgT7T/2VDfA47Cch8DmdDfA5nH57PYWcvmQ5QLamWaVW27UyrsnFnysteKVNe1VemvPTmbYXyUtmzFU1dSCiF8nKkL5pFlCMpildyP0UTh7pyYbyK3lBzhcodCuOV09DCya5oiM1h/Yjze3zwQ3UJ4oxgMg85pWWNKnwNq6TQCl/Dqsy3ytewfhLVqqZKpQCVr2GbQ5W8FC4q5PV/NocEOQ==###4240:XlxV32DM 3fff 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###1792:XlxV32DM ccf 6e8eNq1lkuSJDUMhi/DAWxJfqRrQ8CGxSzgCH4uieh1B3fnUw7BFN01O6YWKjkly7L068/sIYwmPZ/6+E0tPd7U6mMkCS220K4W3nlcHj+pSYs7PEbNcpvCkym3OPWbz19Dr/XSyZ6dYpjhpVd89tr5eukkz05rl1dO4/znPJnzpdd+9hrlpdMMz05p55dO+dnJ5HWk9OTkv59//vU9B02tZ5slWOLJL+9R3i365tispauulqgYgq1pzP54syiPv94tzNtJI4/DdjFdXAjxZ/G4uLcPhHoMcT9xP/Ol+lLdmtSFbzPfZr4tu0tyl+Q7iluzW/Nt9W3Vc6ruV9yvuN/lftX97txHdmEu7gtUF/czd1keZXnOq7jwvduj7O7CnbdvO+533O/gN8X+LcPxWkkjYgurUYhwWvACRuBkh3oPw08t3uXCM8how1GvT89pLIbZOqmOoG0o/1RlXL1Nok6uP2ttc1xtxdlWWW1x9qYt+7K259WO1HYS/zW3wySc2VsMbI6Bu8cYDbFYioIHKWBXuWc0YWkTaxJFVPCTFiLXhNjsKPQmFqoaiy+rotXEttpri1cgymUEuBZaV6J0x3M/jnMgEkcdiNF9OFjOyuFzuTiM7PIjV2fb8mfbmL3NLeL2044fdDLhzyVNQlHEcm1T+kjBJXKGRChCKHcTLAiSFAEiImMiDts0FMSVmhhJink86xkxMRhwEDs4p4zINFSy++VO+EJXpdAXKX5QYaqleAaVHkjdGOqpTS5aJV4I6cbe3vHrwEZG5KBBE2VsUpuBpfdUpue3GBNZhdRWv5psIeg2rNuvsDs7NgiUY/id3puGYAiqgRgIjtQILjRyLY1cS+PwZwsXqoNwqwAXhW4RgAsA4my+tLybJrhCGa+mmeHVfAVEL4iFVvxZAZdamWWtwFYv165yISid9pyaDiHyMLb5tOq42DG2i4NhKoY5MSwuo8sjb8hAdyGN3Vke2EQPkNJTTrNA92Gc1SxWF2M3EykIdY3WGndFVPx042IxISAXswyVGbNgadXGCi2DF8vkzJ2lWQkYihGqVNdGaFYjVge6VW5kFVwBF+J1OMkGQLJR8BvVNSpug77ZmL6kvwwU2lwZASxsRZbLA3iTbTGg4I2ga/oz37H9RtvT9ULYvjhoM5e2AaZtyMQOtGqnwk6hQ3kxQUe8JFoSp1WBTZJkaEu6a85xsiA/ZUyTRZ5Z6Z+4x6mHKYwUTxQ2FFgZMDtOhAFU3bQ0ezc7DKmTllrYpCmF5BKkbNelH8NeHtbZhjd97AsqOT6CwSfoor2yubhGZl11fCLEWH07NOO58NqFZublEzIYysUgKD1ArAMMh+OJmbeAH68vx8TdcKbGcvJuwj92USjr8EUKTHUK/l4JcFySDb+rv5MA/MdMEon424sMnAsIrmF+dHJed0yl/NGibmHESvX5GfXlTvA7R/hoMjdZW5MyKBNv0f5tHgDCh/K3IJ5bT+3cbxwiBr53gAsV648v5h18/xK9UO9v8P/jT/DUAn/Akm+B75ni903iXxCk0L+l4LWJXDHO+SmL68dmMb5lcRN/dprdn7IYPzaL+S0LdfICV3rWpyzmj81i3VkkzwIswkTOAHBEPZ8yqV8zsf8/k++Z9PH1u/P39z9KC+HxB2fEx9t0/W3dOjMPt/k/H6bj/s///PP1VW9lAPZbWQ55FAYX+N2aOAhvze5iu1YcFrd2OThubdwQce3rOKNBEzTt1uRunWvpHmnXnNbPrV1e0lsbd2G50d9y+Fj1 \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.ngm =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.ngm b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.ngm new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_map.ngm (revision 224) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$dd65=6:2.Yi{g|inl9$4(5=0*/=<5+Rdtjwlii2);%98.+1:27?56788:0<=>?0123456789::==5?01234567K9:JILO>0:23456789:HONOJED33?56789:;?3123FDGF991;<=>?0125236DKJ::<6>?012345>789:;<=??;12345678HK;<;O?0028456789:;O=>?E@CB55=789:;<=>IAGCEE6G682:;<=>?01DEED@4IH;;7=>?01234C@ANJI?<<>401234567NOLMJKHJ8:2345678820<=>?0121<>6789:;<5640123<567991;<=>N846BEDGFIHK:<6>?01DEB@6788LMJK:401220>678;;;7=>?C@CBA5678HKJM<>40113EDDF89:;MLON119340278H2JMLONA@C7?56?88:0<=L?0G@E05@7=;137?576811;=<:?1021?54?398;<=>?0993654AL;N:<6><01CAED6789KJML<405:841639=;;86>;41084=><81:3<5>?8:2;<5>709>0<5671193EDG7012>MLO;89:24>6D89KNML>?01CBED7739L;J=HIF9D;B=@AN81:==5>0123456789:;<=>>0:33456789;:<<>>00:85567NOLM==5>1123456689:;<=>7;0325467811:=<:>0061?42?38>:<=:?0592012?38?:8<:>47922+01?830=;4FNNWW>AGSIVCECXZ31483:42<900DYY^ZT;cg`w:603:586?IFG085]7<:=19<=>>0:0165GFI9ONI=ONA133?745:;:;?0323446<:18;<5>?09234=67<28J<=??;3CBFDGFI;KJMLONA591F=>6829;<=LNA@2345GFIH20?>>I542355=4;:98?KHM3C1A7C@63=;;79>?0123456789:;<<>44167@@BCKLIHO9ML4:63102<<9OJ86:;41:80123<9:;46:;456700773=33==??99HJ557711773<>>:8::6466202AM8:098??013310DF89HJ86;:4133?032==:;<9>?05234==2=>0:761032=<>;8=:?0168132592<:<68=2@DBF=05:HOJM5??;7452301>?<=:;890995&?<5811941030?>=9<=>=2301?2>53>L?7:HIE594BC@682=MJKHIFGDEBC@ANO;04?570028<56789:;<=>?012355=?89:;<5>7092;4=66822;4=9I7GD3<51A?O204=6?81230>>6NL;;7597795;3C1??1=3;957892;?=>?892;<<:48;MVPUSS2Z^JXX]37;2=6>?2<2325K6498;E103292K97L>=;@31?D453H997L6>0:C:=DG>IHKJ5LONA@33?DG70OL;4LO?8@C3<46N0@2B4D5A991JMLO=AC@BEDG5IHK37LONA22@G==FIHK8?NH7;@CBE6@A88:0MLONA@CB4=>?0H2;==5NA@CBEDGFIHKJML6>0:CBEDGFILKJML6NA@:8EDGFK99846ONA@A045>GFIHLMJN64A@CAEDG?02KI5IHIFG:8EGGFI1KJ>6OLe:CG@ZAKGKKGS]GA3:CGV0=F[PDH==5M3BAAFFE>9J>INN:;;CC;E==EIHK3MLO>0:@EFGGAIH9>:01:8FC@BIHHJ96LFP@F0?GSA<2H^JJh4BTDD[IOICZUOO@]9;CWEMJ4?3K_MEBDR[VCEJB?4C39@4==D89:3<5>=;B3a?FGWIJC_MCMJ119@GD4BMH83EDKJIHJK:L4B6@1C4EKC=1H@F>9;BNH5=613JF@>:>:;BNH6]>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG6?FJLNK?0OAEIX99@HN@_91:h7NBDFY]GMSOCMl1H@FHW_LWOPLVKQ<1H@FGA109@HNOIWMC]EIKPBX]1g>EKC@DT\H\FOE31?FJLG\^T\EO\E^QKMCRd3JF@SKKJDCC@O6=DD[30OBCBIUVF@3=DGGI^^55LOOQWEKR692IDB^ZNNU]WVDUOKHD<7N\JAUGG6>ET?2IXSDLZF29@PI36:a=CI]KTECAZT=4=`>BF\HUBBB[[<6008@DRFW@DDYYQ?_HMAQC703MK_MRGAOTV\4ZOHJ\L$EO[I199GEQGXAGE^XR>PIN@VB*IE]O^:?6JNT@]JJJSSW8:TEBLZF0:8@DRFW@DDYYQ>0^KLFP@(AK_M=45KAUC\MKIR\V;;SDAMUG-LFP@S9:1OMYOPIOMVPZ76W@EIYK?7;ECWEZOIG\^T=3MK_MRGAOTV\57YNGK_M#BLZFU30?AGSIVCECXZP12]JKGSA911OMYOPIOMVPZ74W@EIYK!FBTD2=>BF\HUBBB[[_01\MJDRN&EIYKZ>3:FBPDYNFF__S<:PIN@VB4>5KAUC\MKIR\V;>SDAMUG3;?AGSIVCECXZP14]JKGSA'@H^J<74D@VB[LHH]]U:9RG@BTD,KGSA\880HLZN_HLLQQY6W@EIYK?8;ECWEZOIG\^T=RG@BTD,MGSA911OMYOPIOMVPZ7XAFH^J"AMUGV26>BF\HUBBB[[_3]JKGSA9>1OMYOPIOMVPZ4XAFH^J"GMUG3;?AGSIVCECXZP2^KLFP@(GK_MX<<4D@VB[LHH]]U8SDAMUG34?AGSIVCECXZP3^KLFP@(AK_M=55KAUC\MKIR\V9TEBLZF.MAQCR6:2NJXLQFNNWW[1YNGK_M=:5KAUC\MKIR\V>TEBLZF.KAQC7?3MK_MRGAOTV\0ZOHJ\L$CO[IT008@DRFW@DDYYQ:_HMAQC703MK_MRGAOTV\1ZOHJ\L$EO[I199GEQGXAGE^XR;PIN@VB*IE]O^:>6JNT@]JJJSSW?UBCO[I169GEQGXAGE^XR8PIN@VB*OE]O;37IO[A^KMKPRX>VCDNXH OCWEP442:FBPDYNFF__S5QFOCWE52=CI]KTECAZT^:\MJDRN&CIYK?7;ECWEZOIG\^T4RG@BTD,KGSA\880HLZN_HLLQQY>W@EIYK?8;ECWEZOIG\^T5RG@BTD,MGSA911OMYOPIOMVPZ?XAFH^J"AMUGV5?AEJWZZi7IMB_gug[lhs991OHI;KDE7G@A3?01:o7IJ]_@NJCKKBF]n0HH]DEV]B@OST\;1OJ<<4DGQ\BWCV]LDHURC@DD08@L0C3<2OJ>L??;DCBE1678=:;<9>?099FEDGFIHK?7HOJB028AFEDKJIHM=>?0123<>CBM9:N<=64EG:BACBA981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC682L;J=H?F133456789;;7K>I0G2EB2608>:<;<>4F1D@BF@DNHLNJHHJ8:D1B54589;;7K9KBG5GFC1CJ>=>Nl5IABVLV\YMN<1MMA]J2:DG1>@CKYO87KJJ3:DGV1=AL[O>7KJ]SD08B@773OO;<=>?010345678;1MJ<>4FG2244@A88LM<@A092;4=6?81:3<52;;GDEA46?991MJKHJA@CFEDGBIHK:<6HIFGDEB26789:;<564FGDEBC@>02LMJKHIFD33?C@ANOLMIKHIFGDEB463OXNIWKKFR;8BWCBRLNG@55IRNO\QF@63N;?7JKAESCWAA&WGZ^&JAGAT008C@HBZH^NH-^@SU/D755<4I508M020:KAQCYCMEKRS[OCUDa8MGSAWEE\S]Y]2:KM16=NF)0+=?>?0/23456789:+|^.5 WCOMA&=(88;<= ?01234567(yY+6-LJFNPF$?&%keaTalga"!8#VLUNFN?87D@/:!35231)89:;<=>?0!rP$?&QIECO,7.>6744*56789:;<=.S!8#F@@HZL*1,/mck^obmk$'2)XB_D@H529JJ%<':<:;<#>?0123456'xZ*1,[OCIE"9$73789$;<=>?0123$uU'2)HNJB\J ;"!gimXehce.-4/RHQJJB323@D+6-6?0123*56789:;<=.S!8#RDJNL)0+4=>?01,3456789:;,}]/:!@FBJTB(3*)oaePm`km&%<'Z@YBBJ=>;HL9576783zX6[OCIE8265672yY1NHH@RD8`hnYji`d1^D]FNF12?LH=9?<=;7~\:WCOMA<6>?<<6}]5BDDLV@8>?0;rP>SGKAM099=>?:qQ9F@@HZL0h`fQbahl9VLUNFN987D@5812345OI@LXBXRZVPD;8MKOS[]K_Ik5FNRGQP@BXJ\LBC??>;HLPAWRBLVH^JDA=FC3a?LHTM[^T@]QKFR]QAVCSWJCYOXG[3:KMR==NF_OYXHJ7;HLUAWRBZm1BB[K]T^KMTPRTm2CESCIK_@LG[GEC:2CD96G@BTD6?LIDDB<0EBM@MQc8MJUSIGNJ^I84INVZT@2KRP<1FYUMV5:OV\C1d3DkacXjrrklj741279Ngjsi|VxnhzPgdl-gjhsW;:;AEtPcx>24;Yu|;90Anaznu]qavcsWnoe"naat^034HN}Wjs7=<0=6:O`kphsW{oxiyQheo,`kkrX:9:FDwQly=32:Zts::1Fob{at^pfw`rXold%ob`{_323IM|Xkp6:>3<9;LalqkrXzlynxRijn/aljqY589GCvRmv<00=[wr5;2Ghcx`{_sgpaqY`mg$hcczP212NLYdq5;82?84MbmvjqYumzoSjka.bmmpZ478DBqSnw312<\vq443DidyczPrdqfpZabf'idbyQ=01OK~Ze~48>5>;5BcnwmpZtb{l~Tkh`!cnlw[767EApTot2>4?]qp747@m`uov\v`ub|Vmnb#m`nu]145KOrVir0<0Pru01?Heh}g~T~h}jt^efj+ehf}U9<=CGz^az8785=2Ghcx`{_sgpaqY`mg$hcczP212NLYdq585Sz=2:O`kphsW{oxiyQheo,`kkrX:9:FDwQly=1=60=JkfexR|jsdv\c`h)kfdS?>?MIx\g|:46Vx>?5BcnwmpZtb{l~Tkh`!cnlw[767EApTot2;>378Ifirf}Uyi~k{_fgm*fii|V8;<@Fu_b{?0;Yu|;80Anaznu]qavcsWnoe"naat^034HN}Wjs793<:;LalqkrXzlynxRijn/aljqY589GCvRmv<4<\vq453DidyczPrdqfpZabf'idbyQ=01OK~Ze~4?4996Clotlw[wctm}Ulic loov\656J@sUhu181_sv16>Kdg|dSk|eu]dak(dgg~T>=>BH{]`}919:<1Fob{at^pfw`rXold%ob`{_323IM|Xkp6<2R|{239Ngjsi|VxnhzPgdl-gjhsW;:;AEtPcx>;:731289Ngjsi|VxnhzPgdl-gjhsW;:;AEtPltv?4;YNF_U;>>5BcnwmpZtb{l~Tkh`!cnlw[767EApTtb|30?07?Heh}g~T~h}jt^efj+ehf}U9<=CGz^zlv9776;>0Anaznu]qavcsWnoe"naat^034HN}Wqey0:5BcnwmpZtb{l~Tkh`!cnlw[767EApTtb|314<\vq443DidyczPrdqfpZabf'idbyQ=01OK~Z~hz5;5>>5BcnwmpZtb{l~Tkh`!cnlw[767EApTtb|32?00?Heh}g~T~h}jt^efj+ehf}U9<=CGz^zlv959::1Fob{at^pfw`rXold%ob`{_323IM|Xpfx783<<;LalqkrXzlynxRijn/aljqY589GCvRv`r=7=66=JkfexR|jsdv\c`h)kfdS?>?MIx\|jt;>7887@m`uov\v`ub|Vmnb#m`nu]145KOrVrd~191229Ngjsi|VxnhzPgdl-gjhsW;:;AEtPxnp?<;443DidyczPrdqfpZabf'idbyQ=01OK~Z~hz535o6CfnnpUawungg80B==4N020?K75<2D:>=:4N0020>H6::90B<:;;O3611=I9<6168J406<2D::>:4N0470>H6><>0B<884:L22=27068J415<2D:;>:4N0560>H6??>0B<984:L23=2859M5=633G;3=95A1907?K7?;=1E=5:;;O3;11=I91==1E=49;;O3:=7=I::1E>=:4N3220>H58:>0B?>:4:L1422H59090B?<;;O0151=I:;9?7C<=559M67133G89595A2237?K44;=1E>>:;;O0011=I::<87C<;3:L126=I:190B>><;O117>H4<:1E?;=4N2:1?K243G>;?6@;229M06587C::3:L726=I<>90B96<;O6:7>H28:1E9<=4N400?K34;2D>8>5A5418J0043G?7;2D3>>5A8518J=043G2356@M_CWPMA^f3GHTNX]AALG4?KCS_FX@86@@ND:8JJRXXASX=6A<3:M>,-.yA9 #$sG>*)*J7/O3!"C>%$%'I0(J1,/.#!C;&D;&)+K1-60'&'~H1)M1/,A:#"'D<&)(+(,L6- !tB='G;)**J5/./v@9!E9'$I2+*-,/-v@?">>5@=)*+zL6- @8!E>'&+)K3.L7.!""B8'G:)(6:?J;/ !tB<'&F4*K6-,-/A9 #$sG>*)*}M7,N= !#E?$'I5)J1,/.!""B='&F4*K6-,/.!#tB?$::;N?+,-xN8#"uE>$qI5+*/-O7"!"uE?$'~H1)zL2.!""B>'&'~H1)zL2.#wC>%$'&)*|J5,433F7#$%pF0+K2-.O7!#"#rD<%I2+(,L4-A=#"%,-.yA9 B9$%'I1(+,{O6"@?"'%G>*)*+zL5-v@>"'D=&*H7*-,/.#@8"8=5@=)*J4/./v@8!$%&qI2(J0,-N; JuE8'&+)K1.-.yA:!#E>$qI5+*.L3.! # E<'>d:M>,-O7"!C:&D<&)**J7/.N<#C>%$'j;N?+,L6-A8#KE?'>d:M>,-O7H@;"&%G=+)K0/-O3#@?"%$'>9:M>,-O7HwC:%&&F2**J7.O3! #::6A2()K3D{O6!""uE?$qI2+*1`=H5!"uE=$'()|J5/./v@8!E>'$I3+*/-O6"!C9LD=&)((}M1/.#!C;&%&qI0(+M7-/A:!B8$'&+)K2.-.N:IC8%&G;)(+*-02%()*}M4,/ wC9&D=&+H0*-..N9#C8%$$qI5+*/-O7"!"uE<$'I3)+M6-N< #"'%G>*)K0/L2.! #"?k5@=)*}M5,/ !tB='&'~H0)M6/,A;#"'D?&*K7-,-/A9 #E<%'I3)+M6-N< #"%$;8;N?+,{O7"!"#rD?%(K1/-O5"@9"%$%'I0(}M6/."wC?%$%'I1(+,{O6"!tB>&&F3*K7-,/, @;!$sG<+H6*-,/.:o1D1%&qI1(+,-xN9#C9%&G>)AK0-,-/A9 #$D?%I3+(zL5.! >o7B3'(K3.-./v@;!E>'$(H3)M7/.#!C?'D;&)()+M5,/ wC:&%G<+)K7/L3.! !#E<$'I5)J1,/.! 9>7B3'(K3.-.N9#"B>'G<)()}M1/.#!C;&%&F1AK1-..yA:!uE9'&)(66?J;/ wC;&%&F1A|J6,,/A: uE9'&)**J4/./ wC:&%pF2+K0-,-/A8 #E?$F3(+*/L2.! >h7B3'(K3.-.N:#"B?'pF5(+(zL2.!""B<'&'~H3),-O5"!C8&sG:)()}M1/.#!C:&%G=@K7-,/.!=30C0&'~H2),-xN9#"#rD<%()|J7/xN= !#E>$qI5+*-..N:#tB8$'&+)K2.{O3! # $D>%~H6*-1d%()|J5/./v@8!$D=%I5+*/-O5"!tB?&G;)(+*/-O6"!C8&D:&)(+(,L6- wC8&sG:)(+66>I: !tB<'&'~H3),-xN:#"B?&pF4(+(,L4- wC8&sG;)(+*/-O6"!C8'sG;)(+*/-O7"!C:'%pF2*K0-,/.ik1D1%&qI1(+,{O6"!"uE?$'~H1),{O3"@?"%$%'I3(+zL2-A<#"%$%'I0(+M7-/v@9 $sG;+K6-,/.! !#E=$'(K2.-xN:#"B?'&F4AK6-,/.#!C:&%&qI3(+,{O4"!tB8&pF5(+(,L5- @>KE8'&)()+M7,/v@> rD;&)(+*-,273F7#$sG?*)*}M4,/ wC9&D=&+H6*-..N9#"uE?$F3(+*-..N8#"#rD?%I5+(M0/.!><0C0&'~H2),-xN9#"#rD<%I5+(M6/.#!C:&%&qI3(+M6FN< # $D<%(H1)zL2.! #"%&&F0+*+zL7- !tB>&&F2+K0-,,N< # $D?%(K1.-xN;#C?%$'&)(7b?J;/ wC;&%&qI0(+,{O5"wC?%&&F2+|J7,/.#!C:&%&qI3(}M1/, @8!rD;&)(+*/-O7"!"uE?$qI5+(,L4-v@9"%$'86:M>,-xN8#"#rD?%(H0),L5- @>!E8'&)()+M4,/A: #E9$F5(+*-,-/A9 #$sG>*)*}M7,/A: B8$'$(H0),L5- @>!E8'&)(+(,L7- @9!$D:%I4+*-,/.>>1D1%&qI1(+,{O6"!C9&%G<*)K7.{O2! #"'%G>*)K1.-O4"wC>%$'&)**J4/./v@;!$%pF2**J6/xN; #!E9'&+)K2.-xN:"tB?$'&)(72?J;/ wC;&%&qI0(+M7,/A: uE9'&)**J5/.yA: uE9'&)()+M5,/ !tB='&F2+K0-,-/A8 uE>'&+H6*-,0?3F7#$sG?*)*}M4,/A; #rD=%(H6)M0/.! !#E<$'~H1),L2-A<#"%$'$(H2),-.yA8 #E?$'~H1)zL2.! !#E<$'~H1)zL2.! !uE8'&)7:8K8./v@:!$%pF1+*J6/.yA: #rD:%I4+*-,-/A8 #rD=%(K7.L3.! #"'%G?*)*+zL7- @8!$D=%~H6*-,-/A8 #E>$qI5+*-.xN= #"9o5@=)*}M5,/ wC:&%G=*)|J0/O2! # $D?%(H0)M6/.! !#E=$'(K2.-O5#!C8'D:&)()+M4,/ wC9&sG<)*|J0,/.! 9>7B3'(K3.-.yA8 #E?$F3(+(,L7- @8 E>'&)()+M5,/v@;!rD<&)(44?J;/ wC;&%&qI0(+M7,yA<#"'%G>*)K1.-./v@9!E9'$I2+)zL3.! #"'%G?*)*}M4-/A8 #rD<$(H0),-xN;#C?%&G<)(+*-/xN= #"985@=)*}M5,/ wC:&%G=+)K0/-xN<"C>%$'&+)K2.-./v@8!$sG<*K7-,-/A; #E>$F4(+*/L3.! # $D>%I4+*37=H5!"uE=$'(K2.-O4"wC>%$%'I0(+,{O5"!C8&%G;*K6-,/, @8!$D=%~H7*-,/.!""B<'&'~H3(,L7- !tB>'&qI2)+M6,N< #"'D<&)((}M0/.!?20C0&'~H2),-xN9#"uE?$'~H1)zL2.! !#E<$'()|J6/O4!"C9%'pF4(+*-..N8#"#rD?%()|J6/xN; !B8$'$(H3),L4, @9 E9'&)(+*0<=H5!"uE=$'(K2.-xN:""uE>%qI5+*-..N9#"#rD<%~H1*/{O3! #"'%G?*)K2/-O4#wC?%$'&779L9-.yA9 #$sG>*)|J7/.yA= uE8'&)**J5/.yA; #rD=%(K7.{O2! #"%$%'I1(+,-xN9#"#rD=%(K7.{O2! !#E>$F5(+*/-O6"!C8&D;&)()}M7/.!<;0C0&'~H2),-xN9#"uE>$qI5+*/-O6"!C9&%G<*K7-,/.!""B<'&'(K2.{O4!""B='&F2+K0-,/,A=#"%864O<*+zL6- !tB='G=)**J5/.N:""uE>%qI5+*-,/, @:!$%pF1+*J6.xN= # $D?%(H0(,{O4#!tB8&pF5(+*-,/.'$I3+)zL2.! !#E=$'(K2.L2.#!C:&%G=+)K0/L2.! #"%:k4O<*+zL6- @;!$%&qI3(J7,-N: uE8'&)**J4/./v@;!$%pF2+*J7/.N<#tB9$'&+)K1.-O3"wC>%$'&+)K2.-.yA; #E>$'~H6)zL3.! !#E?$'~H6)zL3.! #"%$89;N?+,{O7"!C:&%&qI3(+M6,/A= uE8'&)**J6/.N<#tB9$'&)()+M5,/ wC:&%G=+H1*-..N9#"#$sG=*H1*/L4."!tB8&pF5(+*-,/212E6$%pF0+*J5/./v@8 $D<%~H1*-/xN= #"'%G?*)*+zL7-v@>"'%G>*)|J6..N:#"uE>%'I2(}M1/.! #"&sG:)(+77>I: !tB<'&F1+*J6/./v@9!E9'$(H1)M0/.! # $D>%(H0),-xN;#C?%&&F3+K6-,/.!?20C0&'~H2),L7- @8!$D=%(H6(M0/.! # $D>%()|J5/./ wC9&%G<*H6*-..N:#C?%$%F5(+(,L7- !tB>&&F2+|J7,/- @> E8'&)(+*24=H5!"uE=$'I0(+M7,/A: #rD:%~H7*-,/.#!C;&%&qI0(+M6,yA<#"'%G>*)*}M7,/A: uE8'&+)K1.-O4"!C?&sG:)(+*-,/.;:1D1%&qI1(+M4,/A; #E>$F4(+*-..N8#"B='&F2+*J7.xN< #"%$;7;N?+,{O7"!C:&%G=*)K0.{O2! #"'%G?*)*+zL7-v@>"'%G>*)*}M7,yA=# $D<%()|J7/xN< !B?$'&)((}M0/.!;90C0&'~H2),L7- @8!E>'&)**J4/.N<#C>%$'=a:M>,-xN8#"B='&F2+K0-,/, @:!$sG>+)|J6.O4! #"885@=)*}M5,/A8 #E>$F5(+*/-O7"!"uE<$'~H1(zL2.!""B='&F2**J7/.yA=!B9$'&)(+*7d=H5!"uE=$'I0(+zL4- @9!E8'&)()+M5,/ @;!$sG=*)K0.L3.! !uE9'&)4;8K8./v@:!$D?%(K1.-O4"wC>%$'&+)K3.-./v@;!rD:&+)K2.-.yA; #$sG<*K7-.O4! !#E?$qI5+*-,,yA<#"%9?4O<*+zL6- @;!$sG=*)|J7/.yA= B9$'&)()+M5,/A8!#rD<$(K0/-O3#@?"%$'&)2a8K8./v@:!$D?%(K1.-xN;#C>%$'&+)K3.-.N9#"uE?$'~H1)M0/.!"tB8$'&1`9L9-.yA9 #E<$F2(+(,L6-v@9"%9<4O<*+zL6- @;!E8'&+)K3.-.yA8 #E?$'I2(J0,/.#!C:&%&F2+*J7/O3! !B9$'&)(0b?J;/ wC;&%G>*H7*-..N8#"uE<$'~H0),L5-A=#"%$'>f:M>,-xN8#"B='pF2(+(,L6- @; E?'&)2:8K8./v@:!$D?$()|J6/.N<"C>%$%'I3(+M6-/A=!B9$'&)(+(,L6-A<#">55@=)*}M5,/A8!#E?%F3(+*/-O7"!C8'%G;*H7*-,/5j2E6$%pF0+*J5..N:"C8%$'$(H2),{O6#!tB>&pF3(+*-0?%(H3(,{O4"wC?%$'$(H2),-xN9#"#rD<%(K0.{O3! !#E?$'~H1),{O3"@?"%$'&+)K2.-xN:"C>%$'&)468K8./v@:!$D?$I3+*/-O7"!"uE<$'(K1.{O4!""B>'&qI2(+zL2-v@?"%$'&+)K2.-xN;#"uE9$qI4+*-,/.:=1D1%&qI1(+M4-N: # $D>%(H1),L2-v@?"%$';a:M>,-xN8#"B=MG<)()+M5,/ wC:&%G<*)|J0.O2! # $D?%(H0(,-xN;#C>%&&F3+|J0,/.! #"?55@=)*}M5,/A; B8$'$(H2),-xN9#"#E?$F4()J7,/, @;!$D<%I5+*-,/202E6$%pF0+*J6..yA: uE9'&)**J4/./v@;!$D<$(K0.{O3! # $D?%()|J6/.yA: #rD:%I4+*-..N:#C>%$'&)(0b?J;/ wC;&%G<*)|J0/O2! # $D>%()K2.{O5!"C8%$'<3:M>,-xN8#"B8'G:)()+M5,/ wC:&D:&+)K2.-O5#!C8'D:&)(+*-75%(H6(M0/.#!C;&%G>*)K1/L5.! #>;6A2()|J4/.yA8 #$sG=*)K0.L3.!""B>'&qI2(J0,/.! !#E=$'I0)+,{O5"!tB?&pF5(+(,L4- @9 rD:&)(+*-63%(K2.-O5"!C8&D:&)(+(,L6- @; $sG=+)|J7.O3! #"%>o4O<*+zL6- wC:&%G=*)K0.L3.! # $D>%()|J5/.N:#"B?'G:)(+(zL2.! ?27B3'(K3.-xN9#"B>'&F3+|J1,/.!""B<'&'(K2.-.yA; uE9'$(H0),-xN;#tB8$%F3(+*-..N9#tB8$'%~H7*-,5d3F7#$sG?*)|J5/.N:#"uE>$F5(+*-..N8#"#rD?%(H0),{O4"@?"%$%qI5+*-7g%(K2.-O5"@9"%$%'I1(+M4-/v@8 E>'&)(3b?J;/ wC;&%pF1+*J6/O4! # E9'>b:M>,-xN8#"uE<$'I3(J7,/.#wC?%>;4O<*+zL6- wC:&%pF2+*J7/O3! #"'%G?*)K2/-O5#!tB?&G;)(+*-6e%(K2.-xN:#"B?'G:)(+*/-O7"!"uE<$'~H0),L5-A<#"%&pF4(+*7`=H5!"uE=$'~H3),{O5"!tB?'&F4+K6-,/.!""B<'&qI0)+zL4, wC8'sG;)(+*-63%(K2.-xN:#"uE>$F4(+*-..N8#"B=&&F2**J7.O3! #"%>94O<*+zL6- wC:&%pF2+K0-,/, @:!$%pF1+K0-..N9#"uE?%qI2+*-,/402E6$%pF0+*}M4,/v@9!$sG;*H7*-,/, @:!$sG>+)|J6..yA:!B8$'&)(11?J;/ wC;&%pF1+|J6,/, !tB?'&qI5)}M0/.#!C8&%G;*H7*-,/3;2E6$%pF0+*}M4-/ wC9&sG<)*|J0,/.#!C;&%&qI0(+zL4- wC8&D:&)()+M4,N< #"%9l4O<*+zL6- wC:'%G=+H1*-,-/A9 #$sG>*)|J6.O4! !#E<$'(K1.{O4!""B>'&F4*K6-,/.! #946A2()|J4/.yA8!#rD<$~H1*-,-/A9 #rD<$~H1*-,473F7#$sG?*)|J5.xN: # $D>%(H3)M7/.!=<0C0&'~H2),{O5#!C8&%G;*K6-,/.#!C;&%&qI0(+zL4, @9!$D:%~H7*-,/, @;!E?'&)(72?J;/ wC;&%pF2**}M6,yA=#"%&&F0+*+zL7- wC9'%pF3+|J0,/.#!C:&%pF2**+zL5-v@>"'sG:)(+*-,7e3F7#$sG?*H3*/-O7"!tB>&pF3(+*51=H5!"uE=$F1()+M5,N: #:96A2()|J4/O6!""B<'pF2(+11>I: !tB<'G:)**J4/.yA8 #$D<%I2+(zL2.! #9o6A2()|J4/O2!""B<'&qI0)+zL4, wC8'%pF4*K6-,/.! 9=7B3'(K3/-O7"!tB=&&F1+*+zL4- !tB?'G;)*K0-,-N: #"%$NqI4+63>I: @:!$%pF1+*+,{O5"!C8LsG;)()+M7,N< #!rD;&)**J5/./v@8!$D:%~H7*-..N:#"uE>$'I5(}M0/.! #"%8>4O<*J4/./v@;!$%pF2+*J7/xN< # E8'&+)K2.-.yA; #E>%'I5)J1,/.#!C9&%pF3**}M1-N= #"%$'&289L9-O7"!"uE<$'I3(+M1,N= #"'%G>*)|J6/O4! #"=l5@=)K3.-.yA8!#E<$qI3+*.L5.!;k0C0&F0+*J5/./v@8!$D=%I5+*/-O5"!tB?&pF4(+*-,7b3F7#E=$'I0(+,{O5"@9"'%G=*H6*-,/6n2E6$D>%(H3),L4- !C8LsG;)*|J1,/.!8n0C0&F0+*J5/.N:#"B?'&F4+K6-,/.!8o0C0&F0+*J5/.N:#"B?'&F4+|J1,/.! ;27B3'I1(+M4,/A; #E>$qI5+*-,7?3F7#E=$'I0(+M7,/A:!B8$'&)068K8.N8#"B='&F2+|J7,/.9:1D1%G?*)K2.-O5#@9"%$?6;N?+M5,/A8 #rD<%(H1(M1/.! ;i7B3'I1(+M4,/v@8!$sG<*K7-,/.9<1D1%G?*)K2.-xN:"tB?$'&e:M>,L6- @;!E?'&f:M>,L6- @;!rD<&)0f8K8.N8#"B=&&F2**J7..N<"C>%$'&)d9L9-O7"!C:'D<&)0g8K8.N8#"uE<$'(H0)M6/, @>!E8'&)(37?J;/A9 #rD?%(H0)M6/.!8k0C0&F0+*}M4,/v@8!$D=%I5+*-,7d3F7#E=$'~H3),{O5"!tB?'pF4(+*-40%F4(+*-..N9#"#rD<$(H0)zL5.!#C?%$'&219L9-O7#!"uE<$'~H0)zL5.!""B='G=)(+2<>I: @: $D?%(H0),L5-A=#"%$?k;N?+M5-/A8!#E?%'I2)+M1-N= #"%$?j;N?+M5-/A8!#E?%'I2)+M1-yA<#"%$'>8:M>,L6, @; $D<$(H1(M1/.! ;m7B3'I1)+M4-/A;!#rD=$(K7/L3.! #"=>5@=)K3/-O6#!C9'D=&)(g8K8.N8""B=&G=)(;8K8.N8"C:%45@=)K3DL7.9l1D1%pF0+*+M4,/A; B?$'$(H6)M0/.!8>0C0&qI1(+,L7-A;# E>'&149L9-xN8#"#E<$F2()}M6/.:?1D1%pF0+*+zL7- @8!E>'&+)K2.-xN:"tB?$'&)378K8.yA9 #$sG>*)K1.L2.!""B='&qI3(J7,/.!;h0C0&qI1(+,{O6"!C9&sG;)()+M4,/v@8!$D=$I5+*-,/5j2E6$sG?*)*}M4,/A;!#E>$F4(+*/-O6"!tB>'pF3(+*-73'$I5+*-4c%(H3),L4,A:#"%<:4O<*}M5,/A8 #E?NF3(+*55=H5!tB<'&F1+|J6,/6k2E6$sG?*)K2/-xN:#"uE>$qI5+*-,`%&&F2+*J7/O3! #"%5:M>,{O7"!tB='&F2AK0-,/5;2E6$sG?*)|J5/.yA; #rD=%(K7.{O2! #"%%~H3*55=H5!tB<&&F1*|J6,/6=2E6$sG?+)|J5..N:"C8%$'=2:M>,{O7#!tB=&&qI3)+M6-/v@> rD;&)(+*64=H5!tB<&&qI0)+zL4, wC8'%G;+H7*-,/.::1D1%pF0**}M4-/v@8 $sG<+)|J0.xN= #"%$?8;N?+zL6, wC:'%pF2*|J7,/.981D1%pF0**}M4-yA;#"m6A2(K3/L7.j2E6$sG?+K2-0=H5wC;86AMUG78KGSA\<1DHI\=6:MEBVCS;2EEI95@P@F23>IW\@GBVHQ_RHOJPLPB[VDLO>5@UU0g?JSS(3*:<=>?.123456789*{_-4/AGVFV%<'*jf`S`ofn#0g?JSS(3*::;88.123456789*{_-4/AGVFV%<'*jf`S`ofn#0g?JSS(3*?<=>?.123456789*{_-4/AGVFV%<'*jf`S`ofn#3a?JSS28:;<=4S;CEP@T=keaTalga1c9LQQ<6>?<<6}]5AGVFV?ekcVgjec?m;NWW>167890{_7OITDP9gimXehce=6^n;Q,14456889h7] =0012445XD;1[=>5_1118T4733Y;:=95_1007?U76<=1[=<;;;Q3221=W98=?7]?>859S54?43Y;986^>2168T446<2Z:>>:4P0070>V6:?>0\<<84:R27423268T453<2Z:?::4P01;0>V6;090\<:;;Q3741=W9=;?7]?;259S51533Y;?8>5_1418T4043Y;<>6^=3:R156=W:;90\?=<;Q077>V5>:1[??=4P210?U53;2Z89>5_3918T6?43Y>;?6^;129S06587]::3:R726=W<>90\96=;Q70?U36;2Z>;>5_5918T0?53Y<87]8>3:R567=W?:1[;5<4P918T=643Y29?6^7329S<04V>0:1[54=4P@F7?UGU\:20\H\FOE"!gimXehce.-?9675-456789:;<-~\ IKDL%37&9:;<=>?01"&64=WM[CDH7mck^obmk<6>?<<6}]5HHEK>06";11[I_G@D;aooZkffnUhS<8BH{8WWZekcVgjec!?.3452301>>0CEJF551'1<>VBZ@EO6nbd_t]svje=\ZUh`fQbahl,0?NNOA0><(l4PICPAZUOAO^37]FVSHABHd=WAGUIY^GKXc9SMKYE]ZDJAHl4PHL\MVEKGJA27]EPHMWWJH>QRk~u`n\5ZiXag|:?=5_WS]6[jYumzoSjka.bmmpZ478DBqW<;R_dsveiY6WfUbb{<<0:RTVZ3XgVxnhzPgdl-gjhsW;:;AEtT14_\atsfdV;TcRgav217?UQUW=>BH{Y21XYby|kgS509Q3>TBEF\NH<>4RDOLR@BX\[KXDNOAe:PFTIGDMVZJ^H@[119QAWCTGE\NS]O]ALQ;?WHUWOFD\>5]OL18VVR>3[oxyaz30?c8V`urd}6;225;g2?c8V`urd}6:?3o4Rdqvhq:6<7k0^h}zlu>21;?>`9Qavsk|5;5=45]erwop949i2Xnxb{<3<2f>Tb{|f0?0>189Qavsk|59556\jstnw818>3[oxyaz35?;8V`urd}6=245]erwop91912Xnxb{<9<:?Wct}e~753?4S038W3YNLMXT__QLOOQ\42=TKHDDYY=4SBI;?VELW@H^J>5\EM;8W@JX_[hj~n5\ESFFWVRUGKO87^K[8:QFPWCTM]90_KH>1:QKV@AXMQ^YMNZPNFAf?VNUMNUFECQ\I[G:?VO@WJE^BYo4SJGTGIMNF8k0_FKXCMIJJ70<[BO\EC94SJGTKPR03ZAN[_][8:QHMUYWAG>0_AKX3:QMO46<[Y19:QWEQST48427^ZNTTQ?6;?<[]K_Y^2<>89PPDRR[5>556][AUWP808>3Z^JXX]36?`8WQGS]Z6<6=06;RVBPPU;?720_Y]NDSQ3<>US[HNY_<64SUQB@WU502Y__LJ]S2:8WQUFL[Y?46][UBI\EQ2<[PDH56]VNB]BPQT6:2YR_YKB_R[MGMTHFF_X=6Zi;UCQC@RXXHX_XT^J4:VAQC><\LXFECOC6:VJAJ@A02^BAH]_EB58PKKXFL^:?6Z]AOQEKWKF\@EES_[CEc9WVDHTXHXNBY=4TSK;?QTN[]K_I95[RTG:?QUXKEAL^]74TR]LBCUB\k1__RZFMDQSAF0<\ZU_B@l4TR]`hnYji`d:?6Z\_bnh[hgioViT=;CGze9WWZekcVT|al4:VZT@5<]JL=7X@ZSDF`?PUBZV\B_DLCEc9VW@YCG\HGI_=4VBA27>PBZPUCEJFPFSGRQ@HDQ01]EHYPTXRF57=PW[UYM@QLOOVQKIJBZ8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA:1SC_;4XNP@]3=_[]FBN3:ZglZKfxJey~@k}gdfWk410:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90UH];;[GQK02k4_^][HKKXWV;;=RQPnc]nahYdgg~$bdlmld]sefmXka9$oaePabs\vZ7Xzz~{cy=j;^]\\IHJWVU:;_^]mfZkbeVidby!}al]`kkruge%hcx`{_rnt[7Yu{}zdx>o4_^][HKKXWV;;9RQPnc]nahYdgg~$~lcPcnlwvjj(kfexR}cw^3\vvrwg}9n7RQPXMLN[ZY68?UTSclPmdo\gjhs'gcinakPp`ah[fn3'jf`Slm~_s]1[wusxf~8i6QP_YNMIZYX99=TSR`m_lgn[fii|&dbnobj_qc`oZeo:&iggRolq^p\6Ztt|ye9o5P_^ZOJHYXW8:3SRQab^ofiZehf}%ym`Qloovqki)JimnT~hbPpnq\gm7Xag~P4PQHNE]17ZiXimnT9=Q@UU]`}90998?i7RQPXMLN[ZY680UTSclPmdo\gjhs'{kfSnaatsmo+HgclVxn`R~`s^ak6Zoi|R2VSJ@K_31\kZgclV<:SB[[_b{?2;760^]\jgYjmdUhccz r`o\gjhszff$Aljk_sgo[uitWjb8Sd`{[9_\CKBX::UdSljk_60\KPRXkp6=2RQPnc]nahYdgg~$~lcPcnlwvjj(EhnoSkc_qmp[fn2W`dW5SPGOF\66YhWhnoS4:POTV\g|:168;>i6QP_YNMIZYX989TSRgatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-Nip~Xj`~Tob{atdpX6XY@FMU??RaPmtz\57YH]]8:8l5P_^ZOJHYXW8;?SRQab^ofiZehf}%eeolce^rbgnYd`<%Fob{at^ljfgjbWje~byk}_ymq87869=k0SRQWLOO\[Z76=VUTboQbel]`kkr(f`hi`hQabi\gm2(Eje~byQaic`oaZeh}g~n~Rv`r=0=542f3VUTTA@B_^]253YXWghTahcPcnlw+koejeoT|lmd_bj0+Heh}g~Tbdlmld]`kphsm{Usc2=>037e>YXWQFEARQP105\[ZheWdofSnaat.ljfgjbWykhgRmg2.O`kphsWgcinakPcnwmp`tXpfx7>3?>4`9\[Z^KFDUTS#nbd_`ar[cgkdVxxx}a{419\[Z^KFDUTS<YXWQFEARQP133\[ZheWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9:9886QP_YNMIZYX9;8TSR`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[7517?ZYXPEDFSRQ>22]\[kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR09:o0SRQWLOO\[Z75:4_^][HKKXWV;94RQPi3a\gjkw'DidyczPbhv\gjsi|lxTtb|31?3200=XWVRGB@QP_00:[ZYnf}oyjltPsqk,gddbklxjS`kboskb+Heh}g~T|y|Pmdo\|jt;97;:?h5P_^ZOJHYXW88TSR`m_lgn[fii|&dbnobj_qc`oZeo<&iggRolq^dbhiYu{}zdx>m4_^][HKKXWV;8;SRQab^ofiZehf}%eeolce^rbgnYd`8%FaxvPrdqvhZkbe8;;=>94_^][HKKXWV;?=RQPnc]nahYdgg~$bdlmld]sefmXka9$Sc>>26]jjs74?2UTSUBAM^]\514XWVdiS`kb_bmmp*hnjkfnS}olk^ak0*Yi888h5P_^ZOJHYXW85=?k4_^][HKKXWV;;66>3:45d3VUTTA@B_^]2=ZYXfkUfi`Qloov,vdkXkfd~bb Mlw{[dbczV}ySl{w_@4150??SbQ|emg`pZ70WF__0=0=1458[ZY_DGGTSR??6QP_YNMIZYX:8UTSclPmdo\gjhs'{kfSnaatsmo+wckWyexSnf:_hlw[5Yu{}zdxR]X2518[ZY_DGGTSR<=_^]mfZkbeVidby!}al]`kkruge%yiaQor]`l1Ynf}U;S}{pnv\WR43;2UTSUBAM^]\66YXWghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{_1]qwqvh|VY\>9=4_^][HKKXWV8?SRQab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh3]jjqY7W{y|bzPSV077>YXWQFEARQP24]\[kdXelgTob`{/scn[fii|{eg#kc_qmp[fn6W`dS=Q}surlpZUP:=n0SRQWLOO\[Z41WVUbbyk}f`x\wuo(khhnoh|n_lgnkwof'aojhh|Pctp\wqgsmVLXARHId0]qwqvh|2UTSUBAM^]\75YXWghTahcPcnlw+koejeoT|lmd_bj0+Zh79;9Tecx>379\[Z^KFDUTS>?P_^la[hcjWjeex"`fbcnf[ugdcVic>"Qa0000[lhq9:<0SRQWLOO\[Z55WVUenRcjm^aljq)iakhgiR~ncj]`l4)Xf9;9?Rgav060?ZYXPEDFSRQ<3^]\mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'ZI@Skocl^pppuis>k1TSRVCNL]\[62XWVdiS`kb_bmmp*hnjkfnS}olk^ak1*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2<40e3VUTTA@B_^]01ZYXfkUfi`Qloov,jldedlU{mnePci7,IhsWgcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT0522g=XWVRGB@QP_24\[ZheWdofSnaat.ljfgjbWykhgRmg5.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>204b?ZYXPEDFSRQ<7^]\jgYjmdUhccz nh`ah`YwijaToe; Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X;?56QP_YNMIZYX;VUTboQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:8:8Qfnw0\WR61j2UTSUBAM^]\05YXWghTahcPcnlw+koejeoT|lmd_bj7+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3153gSB[[104a?ZYXPEDFSRQ;2^]\jgYjmdUhccz nh`ah`YwijaToe= Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X<6>6c9\[Z^KFDUTS9=P_^la[hcjWjeex"`fbcnf[ugdcVic?"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:;<8m;^]\\IHJWVU?8RQPnc]nahYdgg~$bdlmld]sefmXka9$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\88::l5P_^ZOJHYXW=?TSR`m_lgn[fii|&dbnobj_qc`oZeo;&GfyuQaic`oaZeh}g~n~VSB[[1335e>YXWQFEARQP48]\[kdXelgTob`{/okaficXxhi`Snf=/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9489\[Z^KFDUTS9QP_o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?361\mkp5WZ];:o5P_^ZOJHYXW<:TSR`m_lgn[fii|&dbnobj_qc`oZeo9&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP416>k1TSRVCNL]\[04XWVdiS`kb_bmmp*hnjkfnS}olk^ak5*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2640f3VUTTA@B_^]67ZYXfkUfi`Qloov,jldedlU{mnePci3,IhsWgcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT0362>YXWQFEARQP55]\[lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&GfyuQHNE]77ZiXOGNT8>Q`_LW[[726Wf;:?95P_^ZOJHYXWYXWQFEARQP57]\[kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;:Uyy~`t5`8[ZY_DGGTSR;8_^]mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa53W{y|bz;b:]\[]JIEVUT95QP_o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg73Yu{}zdx9l4_^][HKKXWV?2SRQab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUM_@QIFe63[wusxf~?56QP_YNMIZYX=VUTboQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:84:Q}surlp1gXWVdiS`kb_bmmp*hnjkfnS}olk^ak7*eofVhbx~Qncp]amq6X9Vxxx}a{449\[Z^KFDUTS:7P_^la[hcjWjeex"`fbcnf[ugdcVic>"mgn^`jpvYfkxUiey=P1^pppuis<<1TSRVCNL]\[2YXW`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb Mlw{[riulVe~x<<>449\[Z^KFDUTS5>P_^la[hcjWjeex"`fbcnf[ugdcVic>"mgn^`jpvYfkxUiey?P1^pppuis<<1TSRVCNL]\[=7XWVdiS`kb_bmmp*hnjkfnS}olk^ak6*eofVhbx~Qncp]amq4X9Vxxx}a{449\[Z^KFDUTS5"mgn^`jpvYfkxUiey>P1^pppuis<<1TSRVCNL]\[=5XWVdiS`kb_bmmp*hnjkfnS}olk^ak5*eofVhbx~Qncp]amq5X9Vxxx}a{449\[Z^KFDUTS5:P_^la[hcjWjeex"`fbcnf[ugdcVic="mgn^`jpvYfkxUiey?P1^pppuis<<1TSRVCNL]\[=3XWVdiS`kb_bmmp*hnjkfnS}olk^ak5*eofVhbx~Qncp]amq4X9Vxxx}a{449\[Z^KFDUTS58P_^la[hcjWjeex"`fbcnf[ugdcVic="mgn^`jpvYfkxUiey>P1^pppuis?41>7:47382UTSUBAM^]\<11528[ZY_DGGTSR7?_^]mfZkbeVidby!}al]`kkruge%yiaQor]`l4Ynf}U;S}{pnv205=XWVRGB@QP_83\[ZheWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:VcexR?Prrvskq7382UTSUBAM^]\=7YXWghTahcPcnlw+wgjWjeexac/sgo[uitWjb9Sd`{_1]qwqvh|8>;7RQPXMLN[ZY>;VUTboQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov\5Ztt|ye=9>4_^][HKKXWV3?SRQab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh2]jjqY7W{y|bz>419\[Z^KFDUTS4;P_^la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|V;T~~zou374>YXWQFEARQP97]\[kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`dS=Q}surlp4273VUTTA@B_^]:3ZYXfkUfi`Qloov,vdkXkfd~bb rdn\tjuXka?TeczP1^pppuis9=:0SRQWLOO\[Z??WVUenRcjm^aljq)uidUhccz}om-qaiYwgzUhd8Qfnu]3[wusxf~:?i5P_^ZOJHYXW03TSR`m_lgn[fii|&dbnobj_qc`oZeo=&iggRolq^p\5Ztt|ye>k5P_^ZOJHYXW0UTSd99b`at;98437ljkr=31:==flmx7=>07;`fgv973611jhi|314<;?dbcz5;=255ndep?528f3hno~1?7:1<;?dbcz5;32:5ndep?5;169b`at;=7=0mij}<7<4?dbcz5=5;6okds>;:2=flmx753l4aefq[5YHJ\Lh7ljkr^33[JDRNj1jhi|P10]LFP@d3hno~R?=_N@VBf=flmxT=>Q@BTD`?dbczV;?SBLZFb9b`atX9gcl{U3SBLZFc9b`atX1VEIYK?>;bj\gjhsWjyS~zntd31?fni=VgnaR}i^hlmkr6n2icb8Qbel]ptlYmg`dSYGBERRFGZSDN890oe`Pbhvp[devWjb:0=0>3:akjZdn|zUjo|Qlh0>2:45eofVhbx~Qncp]`l4:468=0oe`Pbhvp[devWjb:S=QFBTD23>eofVhbx~Qncp]`l4Y6W@H^J<94cil\flrtWhizSnf>_3]JFP@6?2icbRlftr]bgtYd`8U8SDLZF018gmhXj`~xSlm~_bj18586;2icbRlftr]bgtYd`;6:2<=4cil\flrtWhizSnf=<3<21>eofVhbx~Qncp]`l7:4294:?6mgn^`jpvYfkxUhd?2<>058gmhXj`~xSlm~_bj1[5YNJ\L:;6mgn^`jpvYfkxUhd?Q>_H@VB417:akjZdn|zUjo|Qlh3]0[LDRN890oe`Pbhvp[devWjb80=0>3:akjZdn|zUjo|Qlh2>2:452<:1<27>eofVhbx~Qncp]`l6:468=0oe`Pbhvp[devWjb8S=QFBTD23>eofVhbx~Qncp]`l6Y6W@H^J<94cil\flrtWhizSnf<_3]JFP@6?2icbRlftr]bgtYd`:U8SDLZF018gmhXj`~xSlm~_bj78586;2icbRlftr]bgtYd`=6:2<=4cil\flrtWhizSnf;<3<21>eofVhbx~Qncp]`l1:4294:?6mgn^`jpvYfkxUhd92<>058gmhXj`~xSlm~_bj7[5YNJ\L:;6mgn^`jpvYfkxUhd9Q>_H@VB417:akjZdn|zUjo|Qlh5]0[LDRN890oe`Pbhvp[devWjb>0=0>3:akjZdn|zUjo|Qlh4>2:45eofVhbx~Qncp]`l0:468=0oe`Pbhvp[devWjb>S=QFBTD23>eofVhbx~Qncp]`l0Y6W@H^J<94cil\flrtWhizSnf:_3]JFP@6?2icbRlftr]bgtYd`??;bnh[devWjb8SDLZFc9`hnYfkxUhd9??;bnh[devWjb?SDLZFc9`hnYfkxUhd8??;bnh[devWjb>SDLZF99`hnYji`di7nbd_lcjjZoh12iggRcnnf-20>ekcVgjbj!KCL]PTZoi{}897nbd_lcmc*BDEVY[Sd`|t^OO[KCPWMC]OAE>d:aooZkffn%OO@Q\P^kmwqYJDVDN[RA>8:aooZkffn%OO@Q\P^kmwqYnf}8=7nbd_lcmc*BDEVY[Sd`|t^kmpZKKWGO\SIGYCMI15>ekcVgjbj!KCL]PTZoi{}UbbyQBL^LFSZI6;2iggRcnnf-L@AT5W`dxx<>4cmi\idh`'jU::@Fu149`hnYjigm$oR?9MIx\FP@@9=1h`fQbaoe,gZ71EApTecx>b:aooZkffn%hS<8BH{]jjs7XAG\T<<=4cmi\idh`'jf`SklPatz2e>ekcVgjbj!llj]efZgrpV8;<@Fu1g9`hnYjigm$oaePfc]bq}Y589GCvRLZFF3;?fjlWdkek"mck^da[dsWK_MK<>4cmi\idh`WjU::@Fua:aooZrhWhizj6mck^vl[devWFH^Jl5llj]v[uthko1h`fQz_qplgZDRNNo0hlzn_bwq[vrf|ll0hlzn_igb``tXzhg87ijb119kadbbzVi~~R}{augg?mcfllxTahc`rx37?mcfllxT}gPiovfvcgdm01b>nQlolr,6<=n:jUhc`~ GOF\5=YhWofjbnaPSBNX5XYby|kgS:Q`2e9j6fYdgdz$KCJP19]l[cjffjeT_NBT1\]fupgkW>Ud0<0>1g9j6fYdgdz$Anaznu]amqYdg|diQyam02?l4dWjef|"Clotlw[gosWje~byk}_wco544f3`8hSnabp.O`kphsWkcSnaznugq[}iu494:=RGAV^210>o5kVida}!BcnwmpZdn|Vidyczjr^zlv97998;97d2:k1gZehey%Yi~{ct=3=a>o5kVida}!\CMmf52=n:jUhc`~ SBNlaZak}lUxiyk4i3a\gjkw'ZNJch?:;h0`[fijx&YOMbkPrrvskq`3:417:k1gZehey%ieyQlotlwaw:568<0e?mPcnos+gosWje~byk}_135?l4dWjef|"lft^alqkrbzV;::6g=c^aliu)ea}Uhcx`{es]15a=n:jUhc`~ bhv\gjsi|lxT>R||tqmw5==n:jUhc`~ bhv\gjsi|lxTo~z>f:k1gZehey%ieyQlotlwawYd{};TECXP0048m7eXkfg{#kbnnbm\WFJ;87;=7do5kVida}!il`l`kZUDDV::96g=c^aliu)adhdhcR]LL^326>o5kVida}!fnb]`kphs02c9oRgasuf8mkrbzokqS~~f/0g8mkrbzokqS~~f/bcaafcuiVgnab|fa.1a?lhsm{ljvR}i.abf`ebzhUfi`a}i`-Ngjsi|Vz~Rcjm^zlv96998UBB[Q?349jjqcunhpT}g c``fg`tfWdofcgn/LalqkrXx}xTahcPxnp?5;76;91bbyk}f`x\wuo(khhnoh|n_lgnkwof'DxjaRjnt`]kadbbz8?9j6gatdpeeYtx`%hmoklesc\i`khz`k$Aob_ecweZnbimoy:9:4iovfvcg}Wzzb#nomebgqeZkbefxbm"^XR^03[jYomhnn~Rmzr^qweqc\9TUn}xoc_3]l72=nf}oyjltPsqk,gddbklxjS`kboskb+mcfllxTox|PsucwaZ@TEVLMh<=j;hlwaw`frVy{e"mnbdafvdYjmdeyel!ge`ffvZerzVymykPFRO\BCb6W{y|bz<7:kmp`taisUx|d!lacg`awgXelgd~do hdcgawYd}{Uxxlzj_GQN[C@c::o0eczjrgcy[vvn'jkiink}a^ofijtni&bnmik}_bwq[vrf|lUM_@QIFe0\vvrwg}?=7d`{esdb~Zuwa&ijnhmjr`]nahiuah%ciljjr^avvZusi}oT\Z\P21]l[mcfllxTox|Psucwa^7ZWl{~maQ=_n31<>oi|lxmmwQ|ph-`egcdm{kTahc`rhc,tqtXelg7<3<7;hlwaw`frVy{e"mnbdafvdYjmdeyel!ts]nah:66;20eczjrgcy[vvn'jkiink}a^ofijtni&z~Rcjm=0=62=nf}oyjltPsqk,gddbklxjS`kboskb+uruWdofS=<8;hlwaw`frVy{e"mnbdafvdYjmdeyel!ts]nahY6:>1bbyk}f`x\wuo(khhnoh|n_lgnkwof'y~yS`kb_306?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&8i7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.APv979:h1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a CRp\5168SbQBUY]104Yh<>1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a GOF\06YhWkcSnaznugq_7[Xmxj`R<>_n6a?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&MEHR:<_n]amqYdg|diU=]^grqdjX:8Ud0?0>2e9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(EFYB~1>12e9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(EFYB~1?12b9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(EFYB~R>=c:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)JGZCyS<:8;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*Kj}qULBIQ;3^m\CKBX<:UdS@[W_362[j76n7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.Onq}Yea}Uhcx`{esY1YZAILV>8SbQbuy]26ZIR\:;?j6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-Nip~Xj|lmiQ`uuY4YZbf|hUbbV9R_lw{[74XG\^:=9k4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+HkrpVh~jkk}_nww_2[Xlh~jSd`T7\]nq}Y5:VE^X?;=;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*Kj}qUiykhjr^mvp^1ZWmkmRga[6_\ip~X:;UDYYoi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%FaxvPbtdeawYh}}QT_Z>;e:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)Je|rTnxhies]lqq]0UVnjxlQfnZ5^[hsW;8TCXZ:539jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(EdsSo{ifdp\kpr\?TUomyoPioY4YZkrpV89SB[[5^QT41cWTaxvP23]LQQ0X[^:?i6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-Nip~Xj|lmiQ`uuY4YZbf|hUbbV9R_lw{[74XG\^<9?5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,IhsWkmjh|PotvX3XYci}kTecU8]^ov|Z45WF__;R]X05g8mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'Dg~tRlzfggq[jssS>WThlzn_hlX3XYj}qU9>RAZT971?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&GfyuQmugdfvZir|R=VSio{a^km_2[Xe|rT>?Q@UU:\WR6492cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!BsipfcZETzV;9h6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-PGNYaief886gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-PGNYaiefT~~zou0g?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&YHGR|fsd17?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&YHGR|fsd]qwqvh|;i0eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/RAHv969:j1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a SBIq8485j2cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!\CJp\462o5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,WFMuW89?7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.Q@OwY6Wnf~iR}jt3d8mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'Vd;<5oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%Tb=>72^kmr44a3`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"Qa01:5[lhq;91bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a _o23<3Ynf;9j6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-\j56>9Vcez>>4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+Zh780;Tecx>329jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j`~Tob{atdp?4;543`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"lft^alqkrbz5;5?>5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,flrXkfexh|32?6b?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&hbxRm`uovfv^4ZWNDOS9=Po^ov|Z75WF__0=0;a:kmp`taisUx|d!loovqkigcg{Ux|dQjsbnbrj)ea}Uhcx`{esY1YZAILV>8SbQbuy]26ZIR\5;58l5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,flrXkfexh|T2\]DJAY3;VeTaxvP13]LQQ:56:80eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/ckw[firf}oyS===;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*dn|Vidyczjr^306>oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%ieyQlotlwawY5;81bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYnf5:5?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{Ubb1?1309jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQfn=0=74=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]jj959;81bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYnf5>5?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{Ubb1;1309jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQfn=4=74=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]jj919;91bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYnfV:8<6gatdpeeYtx`%hccz}omcgkwYtx`Unnbnvn-aqc`bzVceS<=?;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySd`P2228mkrbzokqS~~f/bmmpwikimeyS~~f_dq`hdph'kmjh|Pio]075=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]jjZ2482cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!mugdfvZoiW<9;7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuW`dT:>>4iovfvcg}Wzzb#naatsmoeaiuWzzbSh}ll`tl+gsanlxTecQ8339jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQ`uu>3:64oi|lxmmwQ|ph-`kkrugekocQ|ph]fwfjf~f%iykhjr^mvp919WThlzn_hlX3XYj}qU9>RAZT=3=0g=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqq]0UVnjxlQfnZ5^[hsW;8TCXZ32?6a?lhsm{ljvR}i.aljqthdhnd~R}i^gpgigqg&h~jkk}_nww_2[Xlh~jSd`T7\]nq}Y5:VE^X1=14c9jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQ`uuY4YZbf|hUbbV9R_lw{[74XG\^783:m;hlwaw`frVy{e"m`nuplhdbhzVy{eRk|cmcuk*drnooySb{{[6_\`drfW`dP;PQbuy]16ZIR\5?58o5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{UdyyU8]^fbpdYnfR=VS`{w_30\KPR;>7>i7d`{esdb~Zuwa&idby|`l`flvZuwaVoxoaoyo.`vbccuWfW:SPd`vb[lh\?TUfyuQ=2^MVP919;81bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYh}}U;?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{UdyyQ>309jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQ`uu]174=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqqY4;81bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a btdeawYh}}U??<5fnugqbd|X{yc$ob`{rnnb`jtX{ycTi~mcawm,fp`am{UdyyQ:309jjqcunhpT}g cnlwvjjflfxT}gPeraoesi(j|lmiQ`uu]574=nf}oyjltPsqk,gjhszffjhb|Psqk\avekie$nxhies]lqqY0;k1bbyk}f`x\wuo(kfd~bbndnp\wuoXmzigm{a sqk\gptX{}kiRH\M^DE`45b3`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"}i^avvZusi}oTJ^CPFGf2)Lh4n2cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!|ph]`qwYt|h~nSK]B_GDg5(Oi9:h0eczjrgcy[vvn'jeexacaemq[vvnWlyh`lx`/rrj[fsuWz~jxhQISL]EBa44k2cexh|ia{]ptl)dgg~ycaokos]ptlYb{jfjzb!|ph]`qwYt|h~nS~~f_gpfa4553`dihnz^qsm*ehf}xd`lj`r^qsmZctkek}c"}i^pfeaXi|r9;6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+16?SbQHNE]70ZiX{lfnoyQ>7^MVP969UDYY2?>037e>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#J@K_56\kZAILV>?SbQ|emg`pZ70WF__0=0=4c9jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&MEHR:;_n]DJAY3<:n;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(OGNT89Q`_FLG[12XgVyn`hm{_05\KPR;994?n6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+BHCW=>TcRIAD^67[jYtmeohxR?8_NWW84699=k0eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-DJAY325;2e3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ310<20<=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"IAD^67[jY@FMU?8RaPsdnfgqY6?VE^X1?14`9jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&MEHR:;_n]DJAY31:42>3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ33?6b?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$KCJP45]l[BHCW=>TcR}jldaw[41XG\^7?3?;9:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'NDOS9:Po^EM@Z23WfUxiaklt^34[JSS4=4?m6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+BHCW=>TcRIAD^67[jYtmeohxR?8_NWW8186<01bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.EM@Z23WfULBIQ;4^m\w`jbk}U:;RAZT=7=0d=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"IAD^67[jY@FMU?8RaPsdnfgqY6?VE^X1;115;8mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%LBIQ;4^m\CKBX<=UdS~kcebv\52YH]]6=29o4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)@FMU?8RaPGOF\01YhWzoginzP16]LQQ:168>27d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,CKBX<=UdSJ@K_56\kZubdliS<9POTV?3;2f3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb GOF\01YhWNDOS9:Po^qfh`esW8=TCXZ37?37=>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#J@K_56\kZAILV>?SbQ|emg`pZ70WF__050;a:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'NDOS9:Po^EM@Z23WfUxiaklt^34[JSS414:845fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*AILV>?SbQHNE]70ZiX{lfnoyQ>7^MVP9?9]^EM@Z23WfUjhiQ>2^MVPZe~494>86gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HgclVidyczPp`aX54[XOGNT89Q`_`fg[44XG\^Tot2>0?74?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$Aljk_bmvjqYwijQ:=PQHNE]70ZiXimnT=?Q@UU]`}9776Vx9>5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*KflmUhcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__Snw31?75?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf$Aljk_bmvjqYwijQ:=PQHNE]70ZiXimnT=?Q@UU]`}979W{~>?6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HgclVidyczPp`aX54[XOGNT89Q`_`fg[44XG\^Tot2=>448mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%FmijPcnwmpZvfkR;:QRIAD^67[jYflmU:>RAZT^az878Xz}?87d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,IdbcWje~byQabY25XY@FMU?8RaPaef\57YH]]Uhu1=1579jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&GjhiQlotlw[ugdS8;VSJ@K_56\kZgclV;9SB[[_b{?7;Yu|<90eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-NeabXkfexR~ncZ32YZAILV>?SbQnde]26ZIR\Vir090:6:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'DkohRm`uov\tde\98WTKCJP45]l[dbcW88TCXZPcx>7:Zts=:1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Ob`aYdg|dS}ol[03^[BHCW=>TcRokd^31[JSSWjs793;9;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(EhnoSnaznu]sef]69TULBIQ;4^m\eabX9;UDYYQly=7=[wr2;2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/Lcg`Zeh}g~T|lmT10_\CKBX<=UdSljk_00\KPRXkp6=2884iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)JimnTob{at^rbg^76UVMEHR:;_n]b`aY6:VE^XRmv<7<\vq343`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb M`fg[firf}U{mnU>1\]DJAY3]^EM@Z23WfUjhiQ>2^MVPZe~414>:6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HgclVidyczPp`aX54[XOGNT89Q`_`fg[44XG\^Tot27>^pw16=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"Cnde]`kphsWykhWoi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#@okd^alqkrXxhiP=^KMRZ62<2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/Lcg`Zeh}g~T|lmT10_\CKBX<=UdSljk_00\KPRXpfx7<3;:;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(EhnoSnaznu]sef]69TULBIQ;4^m\eabX9;UDYYQwos>24;323`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb M`fg[firf}U{mnU>1\]DJAY325;Yu|<>0eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-NeabXkfexR~ncZ32YZAILV>?SbQnde]26ZIR\Vrd~1?1559jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&GjhiQlotlw[ugdS8;VSJ@K_56\kZgclV;9SB[[_ymq8782<2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/Lcg`Zeh}g~T|lmT10_\CKBX<=UdSljk_00\KPRXpfx7?3;;;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(EhnoSnaznu]sef]69TULBIQ;4^m\eabX9;UDYYQwos>7:02]^EM@Z23WfUjhiQ>2^MVPZ~hz5?5995fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*KflmUhcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__Sua}<7<60>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#@okd^alqkrXxhiP=468mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%FmijPcnwmpZvfkR;:QRIAD^67[jYflmU:>RAZT^zlv9?9;k1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Onq}YdemUeiuzPsucwa45a3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb Mlw{[fkcWgosxR}{aug2[VQ7;?1bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.Onq}Ypg{nTcxz>1258mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%FaxvPwnpg[jss98;8:6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+HkrpV}d~iQ`uu3172=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"Cbuy]tkwbXg|~:><=;;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(kdnTox|Psucwa63=4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol<1<00>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef:6879?7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,gjsi|Vzjo1?>>218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`8484;2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/bmvjqYwij692>=4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol<2<07>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef:36:90eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-`kphsWykh080<3:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'je~byQab>5:6548?6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mn27>218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`8<83j2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/bmvjqYwijQ:=PQHNE]70ZiXimnT=?Q@UU>3:1eoi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef]69TULBIQ;4^m\eabX9;UDYY2>>5`8mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`_47ZWNDOS9:Po^cg`Z75WF__0?0;b:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'je~byQabY25XY@FMU?8RaPaef\57YH]]6829l4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)dg|dS}ol[03^[BHCW=>TcRokd^31[JSS4=4?n6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnU>1\]DJAY34:1doi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#naznu]sef]69TULBIQ;4^m\eabX9;UDYY26>208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`[5553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb cnwmpZvfkV;8?6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnQ>0218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`[474:2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/bmvjqYwijU9??5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*eh}g~T|lmP3208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%hcx`{_qc`[1553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb cnwmpZvfkV?8>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+firf}U{mnQ9339jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&idyczPp`a\364:8;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj({ycTob`{rm]`qwYt|h~nSK]B_GDg7(Oi<11bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.qsmZehf}xgSn{}_rvbp`YA[DUMJi="Io370>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#~~f_bmmpwjXk|xTyo{e^DPIZ@Al=><7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,wuoXkfd~aQlus]ppdrbWOYFSKHk4,Km0==nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"}i^aljqtkWjyS~zntd]EWHYANm>&Ec?;9:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'zzbSnaatsn\gptX{}kiRH\M^DE`1+Nf8;?m6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+vvnWjeexbPctp\wqgsmVLXARHId5/Jj476<11bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.qsmZehf}xgSn{}_rvbp`YA[DUMJi:"Io07<>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#~~f_bmmpwjXk|xTyo{e^DPIZ@Al='Bb>:7;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj({ycTob`{rm]`qwYt|h~nSK]B_GDg0(Oi<=20eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-ptlYdgg~y`Rmzr^qweqcXNZGTJKj;-Hl60==nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"}i^aljqtkWjyS~zntd]EWHYANm>&Ec8;8:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'zzbSnaatsn\gptX{}kiRH\M^DE`1+Nf>>37d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,wuoXkfd~aQlus]ppdrbWOYFSKHk4,Km<1>11548mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%x|dQloovqhZerzVymykP_o224=:668>=7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,wuoXkfd~aQlus]ppdrbWVd;=9?30?372>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#~~f_bmmpwjXk|xTyo{e^]m4426484:?l5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUm~bc|ph]jjq:76:k0eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-tkwbXn{ef}gPiov?5;5f3`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[cthezzbSd`{<3<0e>oi|lxmmwQ|ph-`kkrugekocQ|ph]svlhdaykg#za}d^dqkhuwaVcex1=13`9jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQirnoptlYnf}6?2>o4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)pg{nTjabsqk\mkr;=79j7d`{esdb~Zuwa&idby|`l`flvZuwaVzyecmfp`n,sjtcWoxda~~f_hlw8384i2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Z`ugdy{eRgat=5=7<=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]evjktx`UbbyQ?389jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQirnoptlYnf}U:?45fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUm~bc|ph]jjqY5;01bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.ulvaYazfgx|dQfnu]07<=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]evjktx`UbbyQ;389jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQirnoptlYnf}U>?45fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUm~bc|ph]jjqY1;01bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.ulvaYazfgx|dQfnu]477=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]jjq:76:90eczjrgcy[vvn'jeexacaemq[vvnWyxbbngam-tkwbXag~7==0<3:kmp`taisUx|d!loovqkigcg{Ux|dQrhl`mugk'~eyhRgat=32:65218mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov?5184;2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Zoi|5;>2><4iovfvcg}Wzzb#naatsmoeaiuWzzbS}|fnbksei)pg{nTecz31?11?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf${b|k_hlw8784:2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Zoi|595??5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbby2;>208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov?1;553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[lhs4?48>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+riulVcex191339jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQfnu>;:64;hlwaw`frVy{e"m`nuplhdbhzVy{eR~}ioajtdj(fxoSd`{_011?lhsm{ljvR}i.aljqthdhnd~R}i^rqmkenxhf${b|k_hlw[464:2cexh|ia{]ptl)dgg~ycaokos]ptlYwz`dhe}oc/vmq`Zoi|V;:??5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbbyQ>2208mkrbzokqS~~f/bmmpwikimeyS~~f_qpjjfowie%|cjPiov\56553`dihnz^qsm*ehf}xd`lj`r^qsmZvuagib|lb wnpg[lhsW8>8>6gatdpeeYtx`%hccz}omcgkwYtx`U{~d`liqco+riulVcexR?:309jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQfnu]174=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]jjqY4;81bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.ulvaYnf}U??<5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbbyQ:309jjqcunhpT}g cnlwvjjflfxT}gPpskmglvfd&}d~iQfnu]574=nf}oyjltPsqk,gjhszffjhb|Psqk\twoik`zj`"y`re]jjqY0;81bbyk}f`x\wuo(kfd~bbndnp\wuoXx{ceod~nl.ulvaYnf}U3?<5fnugqbd|X{yc$ob`{rnnb`jtX{ycT|gachrbh*qhzmUbbyQ6199jjqcunhpT}g d`vb[hs494:56gatdpeeYtx`%omyoPmtz?558612cexh|ia{]ptl)ci}kTaxv310<2e>oi|lxmmwQ|ph-geqgXe|r7=<0>189jjqcunhpT}g d`vb[hs4885=45fnugqbd|X{yc$hlzn_lw{8459901bbyk}f`x\wuo(lh~jS`{w<06=5<=nf}oyjltPsqk,`drfWds0<;1199jjqcunhpT}g d`vb[hs484:56gatdpeeYtx`%omyoPmtz?5;7602cexh|ia{]ptl)ci}kTaxv32?3:?lhsm{ljvR}i.fbpdYj}q6929911bbyk}f`x\wuo(lh~jS`{w<8<10>oi|lxmmwQ|ph-nqirnxeoscQ}al]kadbbz&9>7d`{esdb~Zuwa&g~`ygldzlvZtfeVbnmik}/Lov|Zbf|hUc~~f1015?lhsm{ljvR}i.ovhqowdlrd~R|nm^jfeacu'Dg~tRjnt`]wkvvn9;;8:6gatdpeeYtx`%fyazfpmg{kwYuidUciljjr.Onq}Yci}kTxb}i01273=nf}oyjltPsqk,ipjsayfntb|Pr`o\l`gcm{%FaxvPd`vb[qitx`;?=>84iovfvcg}Wzzb#`{cthroa}iuW{kfSeknddp,IhsWmkmRz`sqk214513`dihnz^qsm*krd}c{`hv`r^pbiZnbimoy#@czx^fbpdYsgzzb=;?<5:kmp`taisUx|d!bumvjticg{Uym`Qge`ffv*Kj}qUomyoPtnqsm774=2cexh|ia{]ptl)j}e~b|akwos]qehYomhnn~"Cbuy]geqgX|fy{e9?<5:kmp`taisUx|d!bumvjticg{Uym`Qge`ffv*Kj}qUomyoPtnqsm074=2cexh|ia{]ptl)j}e~b|akwos]qehYomhnn~"Cbuy]geqgX|fy{e;?<5:kmp`taisUx|d!bumvjticg{Uym`Qge`ffv*Kj}qUomyoPtnqsm276?2cexh|ia{]ptl)umxUciljjr0`8mkrbzokqS~~f/sqw[mcfllxomyk>f:kmp`taisUx|d!|em]kadbbzmkiR|nm078mkrbzokqS~~f/rrj[ctbm?1gnRlnrc9ofZdfzVEIYK:4lnah=>jhkbUBNXH7;mm`oZoi~o1gcnePiot2[LHQW9i0`bmd_sqw[ggum2fdofQ}su]aewY69:1gcnePrrv\fdtXzz~{cy=4m`r5?hsWgz:;6`m_lgn[fii|&njxlQbuy>3:4>1199mfZkbeVidby!kauc\ip~;984:46`m_lgn[fii|&njxlQbuy>26;7?3ghTahcPcnlw+agsiVg~t1?<>0:8jgYjmdUhccz d`vb[hs48>5=55ab^ofiZehf}%omyoPmtz?5086?2diS`kb_bmmp*bf|hUfyu2>>058jgYjmdUhccz d`vb[hs4;4:;6`m_lgn[fii|&njxlQbuy>0:417:la[hcjWjeex"jnt`]nq}:268=0boQbel]`kkr(lh~jS`{w<7<23>heWdofSnaat.fbpdYj}q6<2<94nc]nahYdgg~$hlzn_lw{8=86?2diS`kb_bmmp*bf|hUfyu26>068jgYjmdUhccz hc]ofggu9:1enRcjm^aljq)ngVyn`"?l;o`\i`kXkfd#daPsdn,K@dfzVcez85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT03360=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\8;:>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT03160=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\8;8>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT03760=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\8;>>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT01260=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\899>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT01060=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\89?>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT01660=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\8>9>95ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT0711>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9<;996`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[14011>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9<9996`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[14611>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS9<=986`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[1906?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR6088>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>8306?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR60:8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>8506?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR60<8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>8706?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR60>8>7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ>8907?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR59;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<>1378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ46:;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<>3378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ46<;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<>5378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ46>;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<>7378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ460;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY<;249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP726:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X?:=249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP724:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X?:;249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP722:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X?:9249mfZkbeVidby!buy]geqg(EdsSIO[A^MVP720:<1enRcjm^aljq)j}qUomyo Mlw{[AGSIVE^X?:7259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP715=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__>:?=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6245=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__>:==5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6225=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__>:;=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW6205=2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__>:9=5:la[hcjWjeex"czx^fbpd)Je|rTHLZN_NWW62>5;2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__??:4nc]nahYdgg~$axvPd`vb+HkrpVNJXLQ@UU1360=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:::>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT22160=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\::8>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT22760=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\::>>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT22560=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\::<>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT22;61=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:;986`m_lgn[fii|&g~tRjnt`-Nip~XLH^JSB[[3307?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR4;;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=<1378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ54:;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=<3378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ54<;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=<5378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ54>;?0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=<7378jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ540;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY=;259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP635<2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__?;<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV024423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^8:?<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV026423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^8:9<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV020423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^8:;<:;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV022423ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^8:5<;;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV037285ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT2:060=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:2?>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT2:660=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:2=>85ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT2:46<=ijVgnaRm`nu-nq}Yci}k$A`{w_ECWEZIR\:23ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^?<8Q\W106?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR38>827clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ;06]PS5443ghTahcPcnlw+hsWmkm"Cbuy]GEQGXG\^>>95ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT4310>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS=:8?7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ:4348jgYjmdUhccz mtz\`drf'Dg~tRJNT@]LQQ33WO8=7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ:4^E10>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS=>8=7clPmdo\gjhs'dsSio{a.Onq}YCI]KTCXZ:7^D12>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS=>UL>>5ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT607?kdXelgTob`{/lw{[agsi&GfyuQKAUC\KPR09;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY9=259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP255<2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__;9<;;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV417295ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT6517>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS1;>0boQbel]`kkr(e|rThlzn/Lov|ZBF\HUDYY7>259mfZkbeVidby!buy]geqg(EdsSIO[A^MVP<45<2diS`kb_bmmp*krpVnjxl!Bmtz\@DRFWF__5><;;o`\i`kXkfd#`{w_ecwe*Kj}qUOMYOPOTV:072>95ab^ofiZehf}%fyuQkauc,IhsWMK_MRAZT8410>heWdofSnaat.ov|Zbf|h%FaxvPD@VB[JSS1>;m7clPmdo\gjhs'dsSio{a.]m45454=4:=k5ab^ofiZehf}%fyuQkauc,[k67:16?2<10d8jgYjmdUhccz mtz\`drf'Vd;heWdofSnaat.ov|Zbf|h%Tb=><40d8jgYjmdUhccz mtz\`drf'Vd;<>:34?32f>heWdofSnaat.ov|Zbf|h%Tb=>;00d8jgYjmdUhccz mtz\`drf'Vd;<9>34?314>heWdofSnaat.ov|Zbf|h%Tb=>;0=6=547a3ghTahcPcnlw+hsWmkm"Qa016381859k1enRcjm^aljq)j}qUomyo _o23037a3ghTahcPcnlw+hsWmkm"Qa016581869j1enRcjm^aljq)iakhgiR~ncj]`l4)382diS`kb_bmmp*hnjkfnS}olk^ak5*Kdg|dScgmbmg\gjsi|lxTtb|30?32[LHQW99j7clPmdo\gjhs'gcinakPp`ah[fn6'DidyczPnh`ah`Ydg|diQwos>2:474i2diS`kb_bmmp*hnjkfnS}olk^ak5*Kdg|dScgmbmg\gjsi|lxTtb|32?321f=ijVgnaRm`nu-mmgdkmVzjofQlh0-Nip~Xf`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[1136f>heWdofSnaat.ljfgjbWykhgRmg1.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>14a8jgYjmdUhccz nh`ah`YwijaToe? Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X5b9mfZkbeVidby!aic`oaZvfkbUhdo6`m_lgn[fii|&dbnobj_qc`oZeo9&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP4?6=j1enRcjm^aljq)iakhgiR~ncj]`l4)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW6572k2diS`kb_bmmp*hnjkfnS}olk^ak5*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV1543d3ghTahcPcnlw+koejeoT|lmd_bj2+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU0150eSB[[2536f>heWdofSnaat.ljfgjbWykhgRmg1.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ=54`8jgYjmdUhccz nh`ah`YwijaToe? Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X>?:b:la[hcjWjeex"`fbcnf[ugdcVic="Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^?=8l4nc]nahYdgg~$bdlmld]sefmXka;$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\<;>n6`m_lgn[fii|&dbnobj_qc`oZeo9&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP272j2diS`kb_bmmp*hnjkfnS}olk^ak5*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV;50dheWdofSnaat.ljfgjbWykhgRmg1.Onq}YumzgS`kb1021a>heWdofSnaat.ljfgjbWykhgRmg1.Onq}YumzgS`kb102260=ijVgnaRm`nu-mmgdkmVzjofQlh0-Qavsk|5:5>85ab^ofiZehf}%eeolce^rbgnYd`8%Yi~{ct=3=60=ijVgnaRm`nu-mmgdkmVzjofQlh0-Qavsk|585>?5ab^ofiZehf}%eeolce^rbgnYd`8%Tb=??2348jgYjmdUhccz nh`ah`YwijaToe? _o2247:5688=7clPmdo\gjhs'gcinakPp`ah[fn6'Vd;=?=Piot13>heWdofSnaat.ljfgjbWykhgRmg1.]m4444W`d}=?84nc]nahYdgg~$bdlmld]sefmXka;$Sc>>26]jjs403ghTahcPcnlw+koejeoT|lmd_bj2+Zh79;=Tecx>279mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.akjZdn|zUjo|Qmiu2?4;573ghTahcPcnlw+koejeoT|lmd_bj2+fniWkcRolq^`jp5:66;l0boQbel]`kkr(f`hi`hQabi\gm7(kadTndz|_`ar[gos8V:8:6`m_lgn[fii|&dbnobj_qc`oZeo9&icbRlftr]bgtYea}:T/bjm[gos{Vkh}Rlft0>2:7`2diS`kb_bmmp*hnjkfnS}olk^ak5*eofVhbx~Qncp]amq7X8Vxxx}a{2g9mfZkbeVidby!aic`oaZvfkbUhd_015?kdXelgTob`{/okaficXxhi`Snf>/bjm[gos{Vkh}Rlft0]2[wusxf~8<6`m_lgn[fii|&dbnobj_qc`oZeo9&icbRlftr]bgtYea}87<3=?;o`\i`kXkfd#cgmbmg\tdelWjb:#nfa_ckwwZgdyVhbx?2>>3d8jgYjmdUhccz nh`ah`YwijaToe? cil\flrtWhizSog{2^202>heWdofSnaat.ljfgjbWykhgRmg1.akjZdn|zUjo|Qmiu0\4Ztt|ye>k5ab^ofiZehf}%eeolce^rbgnYd`8%hdcQmiuq\efwXj`~9S<=9;o`\i`kXkfd#cgmbmg\tdelWjb:#nfa_ckwwZgdyVhbx?Q>_sqwtjr482diS`kb_bmmp*hnjkfnS}olk^ak5*eofVhbx~Qncp]amq5;879;7clPmdo\gjhs'gcinakPp`ah[fn6'jbeSog{s^c`uZdn|:6:2?h4nc]nahYdgg~$bdlmld]sefmXka;$oe`Pbhvp[devWkc?R><6:la[hcjWjeex"`fbcnf[ugdcVic="mgn^`jpvYfkxUiey=P0^pppuis:o1enRcjm^aljq)iakhgiR~ncj]`l4)d`gUiey}Pabs\flr4W89=7clPmdo\gjhs'gcinakPp`ah[fn6'jbeSog{s^c`uZdn|:U:S}{pnv1<>heWdofSnaat.ljfgjbWykhgRmg1.aooZgdyVlj`a358jgYjmdUhccz nh`ah`YwijaToe? cmi\efwXzV:9i6`m_lgn[fii|&dbnobj_qc`oZeo9&iggRolq^p\4Ztt|ye>:5ab^ofiZehf}%eeolce^rbgnYd`8%h`fQncp]q[44b3ghTahcPcnlw+koejeoT|lmd_bj2+fjlWhizSQ>_sqwtjr5?2diS`kb_bmmp*hnjkfnS}olk^ak5*ekcVkh}R|P23g8jgYjmdUhccz nh`ah`YwijaToe? cmi\efwXzV8T~~zou0;?kdXelgTob`{/okaficXxhi`Snf>/bnh[devW{cxi?h4nc]nahYdgg~$bdlmld]sefmXka;$oaePabs\vlubW{y|bz<3:la[hcjWjeex"`fbcnf[ugdcVic="jnt`]`qwYt|h~nSK]B_GDg56g/ecweZerzVymykPFRO\BCb5;h1enRcjm^aljq)iakhgiR~ncj]`l4)ci}kTox|PsucwaZ@TEVLMh?Q}surlp7b3:7b2:7b1:0146`m_lgn[fii|&dbnobj_qc`oZeo9&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>20;3?3ghTahcPcnlw+koejeoT|lmd_bj2+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?:>4:8jgYjmdUhccz nh`ah`YwijaToe? nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS48<5955ab^ofiZehf}%eeolce^rbgnYd`8%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?528202diS`kb_bmmp*hnjkfnS}olk^ak5*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X98?7;?kdXelgTob`{/okaficXxhi`Snf>/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;22894nc]nahYdgg~$bdlmld]sefmXka;$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW848202diS`kb_bmmp*hnjkfnS}olk^ak5*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\58:2864nc]nahYdgg~$bdlmld]sefmXka;$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8749=11enRcjm^aljq)iakhgiR~ncj]`l4)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ322<63>heWdofSnaat.ljfgjbWykhgRmg1.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]692894nc]nahYdgg~$bdlmld]sefmXka;$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8682?2diS`kb_bmmp*hnjkfnS}olk^ak5*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X9458jgYjmdUhccz nh`ah`YwijaToe? nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4<4>;6`m_lgn[fii|&dbnobj_qc`oZeo9&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>5:01SB[[<8<1g>heWdofSnaat.ljfgjbWykhgRmg1.ljfgjbWje~byk}_10`?kdXelgTob`{/okaficXxhi`Snf>/okaficXkfexh|P13a8jgYjmdUhccz nh`ah`YwijaToe? nh`ah`Ydg|diQ=269mfZkbeVidby!aic`oaZvfkbUhd3==;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblb312<06>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco8429;;1enRcjm^aljq)iakhgiR~ncj]`l4)umzgS`kb_hlwawhfd5;>2><4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxema2>6?11?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n?5284:2diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgk4825??5ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`1?6>238jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam>2:64<0<2:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc<30=77=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbh9446:;0boQbel]`kkr(f`hi`hQabi\gm7(zly~`Rcjm^kmp`tiie692>?4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxema2<>238jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam>7:67?4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxema28>238jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam>;:676::0boQbel]`kkr(f`hi`hQabi\gm7(zly~`Rcjm^kmp`tiieU;?=5ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`R?<1:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc_0205>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[47492diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkW888=6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgS<=<1:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc_0605>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[43492diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkW8<8=6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgS<9<1:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc_0:05>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[4?482diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkW;9:7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfT>==>;o`\i`kXkfd#cgmbmg\tdelWjb:#k|um]nahYnf}oyblbP2012?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\67563ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjX::9;7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfT?>>4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQ;319mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[3573ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjX?::0boQbel]`kkr(f`hi`hQabi\gm7(zly~`Rcjm^kmp`tiieU3?=5ab^ofiZehf}%eeolce^rbgnYd`8%yi~{c_lgn[lhsm{dj`R7<5:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc_`w{8584>2diS`kb_bmmp*hnjkfnS}olk^ak5*tb{|fTahcPiovfvkgkWhs0<>1379mfZkbeVidby!aic`oaZvfkbUhd2?15?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~;9:48:6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w<06=73=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrp5;>2>84nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQnuy>22;513ghTahcPcnlw+koejeoT|lmd_bj2+wct}eUfi`QfnugqjdjXi|r7=:0<6:la[hcjWjeex"`fbcnf[ugdcVic="|jstn\i`kXag~n~coc_`w{84>9;?1enRcjm^aljq)iakhgiR~ncj]`l4)umzgS`kb_hlwawhfdVk~t1?6>278jgYjmdUhccz nh`ah`YwijaToe? rdqvhZkbeVcexh|aam]bq}:66:<0boQbel]`kkr(f`hi`hQabi\gm7(zly~`Rcjm^kmp`tiieUjyu2=0?15?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~;:848:6`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w<30=73=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrp5882>;4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQnuy>1:63;4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQnuy>7:632>;4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQnuy>5:63;4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQnuy>;:63:4nc]nahYdgg~$bdlmld]sefmXka;$~h}zl^ofiZoi|lxemaQnuy]371=ijVgnaRm`nu-mmgdkmVzjofQlh0-qavskWdofSd`{eslbhZgrpV;896`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_0201>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW8;896`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_0001>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW89896`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_0601>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW8?896`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_0401>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW8=896`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_0:01>heWdofSnaat.ljfgjbWykhgRmg1.pfwpjXelgTeczjroco[dsW83886`m_lgn[fii|&dbnobj_qc`oZeo9&xnxbPmdo\mkrbzgkgSl{w_316?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X:99>7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxvP2016?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X:;9>7clPmdo\gjhs'gcinakPp`ah[fn6'{oxyaQbel]jjqcufhfTmxvP2217?kdXelgTob`{/okaficXxhi`Snf>/sgpqiYjmdUbbyk}n`n\ep~X;:>0boQbel]`kkr(f`hi`hQabi\gm7(zly~`Rcjm^kmp`tiieUjyuQ;359mfZkbeVidby!aic`oaZvfkbUhdheWdofSnaat.ljfgjbWykhgRmg1.pppZdfzVcez?64nc]nahYdgg~$bdlmld]sefmXka;$~~zPb`p\mkp6:>1enRcjm^aljq)iakhgiR~ncj]`l4)t|hxSnaznu0f?kdXelgTob`{/okaficXxhi`Snf>/rvbvqYdg|dS}{pnv2g>heWdofSnaat.ljfgjbWykhgRmg2.63?kdXelgTob`{/okaficXxhi`Snf=/LalqkrXf`hi`hQlotlwawYg{6;2"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:<<;m;o`\i`kXkfd#cgmbmg\tdelWjb9#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];:9n5ab^ofiZehf}%eeolce^rbgnYd`;%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS98;>o6`m_lgn[fii|&dbnobj_qc`oZeo:&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP426=j1enRcjm^aljq)iakhgiR~ncj]`l7)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5072k2diS`kb_bmmp*hnjkfnS}olk^ak6*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2243d3ghTahcPcnlw+koejeoT|lmd_bj1+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3450eSB[[1836g>heWdofSnaat.ljfgjbWykhgRmg2.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ=007`?kdXelgTob`{/okaficXxhi`Snf=/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X914a8jgYjmdUhccz nh`ah`YwijaToe< Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X?<>5b9mfZkbeVidby!aic`oaZvfkbUhd?!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__>>?:c:la[hcjWjeex"`fbcnf[ugdcVic>"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^98<;m;o`\i`kXkfd#cgmbmg\tdelWjb9#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]8>9o5ab^ofiZehf}%eeolce^rbgnYd`;%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS;8?i7clPmdo\gjhs'gcinakPp`ah[fn5'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ26=k1enRcjm^aljq)iakhgiR~ncj]`l7)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW143e3ghTahcPcnlw+koejeoT|lmd_bj1+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU421g=ijVgnaRm`nu-mmgdkmVzjofQlh3-Nip~Xf`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[707a?kdXelgTob`{/okaficXxhi`Snf=/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhd?!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__5<"\jstnw8585=2diS`kb_bmmp*hnjkfnS}olk^ak6*Tb{|f0<0=5:la[hcjWjeex"`fbcnf[ugdcVic>"\jstnw8785:2diS`kb_bmmp*hnjkfnS}olk^ak6*Yi88:9>;5ab^ofiZehf}%eeolce^rbgnYd`;%Tb=??2=0=570_hlu62=ijVgnaRm`nu-mmgdkmVzjofQlh3-\j5749Vcez<=?;o`\i`kXkfd#cgmbmg\tdelWjb9#nfa_ckwwZgdyVhbx=2?>228jgYjmdUhccz nh`ah`YwijaToe< cil\flrtWhizSog{0=3=6c=ijVgnaRm`nu-mmgdkmVzjofQlh3-`lkYea}yTmnPbhv3[5513ghTahcPcnlw+koejeoT|lmd_bj1+fniWkcRolq^`jp5Y7W{y|bz=f:la[hcjWjeex"`fbcnf[ugdcVic>"mgn^`jpvYfkxUiey>P1248jgYjmdUhccz nh`ah`YwijaToe< cil\flrtWhizSog{0^3\vvrwg}9;7clPmdo\gjhs'gcinakPp`ah[fn5'jbeSog{s^c`uZdn|86;2>>4nc]nahYdgg~$bdlmld]sefmXka8$oe`Pbhvp[devWkc=1?12g9mfZkbeVidby!aic`oaZvfkbUhd?!lho]amquXij{Tndz>_115?kdXelgTob`{/okaficXxhi`Snf=/bjm[gos{Vkh}Rlft0]3[wusxf~9j6`m_lgn[fii|&dbnobj_qc`oZeo:&icbRlftr]bgtYea};T=>84nc]nahYdgg~$bdlmld]sefmXka8$oe`Pbhvp[devWkc=R?Prrvskq573ghTahcPcnlw+koejeoT|lmd_bj1+fniWkcRolq^`jp7:76::0boQbel]`kkr(f`hi`hQabi\gm4(kadTndz|_`ar[gos:5;5>k5ab^ofiZehf}%eeolce^rbgnYd`;%hdcQmiuq\efwXj`~9S==9;o`\i`kXkfd#cgmbmg\tdelWjb9#nfa_ckwwZgdyVhbx?Q?_sqwtjr5n2diS`kb_bmmp*hnjkfnS}olk^ak6*eofVhbx~Qncp]amq4X9:<0boQbel]`kkr(f`hi`hQabi\gm4(kadTndz|_`ar[gos:V;T~~zou13?kdXelgTob`{/okaficXxhi`Snf=/bjm[gos{Vkh}Rlft2>3:66heWdofSnaat.ljfgjbWykhgRmg2.akjZdn|zUjo|Qmiu1\560>3:8jgYjmdUhccz nh`ah`YwijaToe< cmi\efwXz585>:5ab^ofiZehf}%eeolce^rbgnYd`;%h`fQncp]q[54b3ghTahcPcnlw+koejeoT|lmd_bj1+fjlWhizSQ?_sqwtjr5?2diS`kb_bmmp*hnjkfnS}olk^ak6*ekcVkh}R|P13g8jgYjmdUhccz nh`ah`YwijaToe< cmi\efwXzV;T~~zou04?kdXelgTob`{/okaficXxhi`Snf=/bnh[devW{U9>h5ab^ofiZehf}%eeolce^rbgnYd`;%h`fQncp]q[7Yu{}zdx?64nc]nahYdgg~$bdlmld]sefmXka8$oaePabs\vlub:o1enRcjm^aljq)iakhgiR~ncj]`l7)ddbUjo|Q}irg\vvrwg}987clPmdo\gjhs'gcinakPp`ah[fn5'mkmRmzr^qweqcXNZGTJKj>3`9mfZkbeVidby!aic`oaZvfkbUhd?!kauc\gptX{}kiRH\M^DE`4Yu{}zdx>=4nc]nahYdgg~$bdlmld]sefmXka8$hlzn_bwq[vrf|lUM_@QIFe00e>heWdofSnaat.ljfgjbWykhgRmg2.fbpdYd}{Uxxlzj_GQN[C@c:Vxxx}a{2e9mfZkbeVidby!aic`oaZvfkbUhd?!aic`oaZeh}g~n~1>12e9mfZkbeVidby!aic`oaZvfkbUhd?!aic`oaZeh}g~n~1?12e9mfZkbeVidby!aic`oaZvfkbUhd?!aic`oaZeh}g~n~1<1569mfZkbeVidby!aic`oaZvfkbUhd?!aic`oaZeh}g~n~V46`m_lgn[fii|&dbnobj_qc`oZeo:&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>27;3?3ghTahcPcnlw+koejeoT|lmd_bj1+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?;>4:8jgYjmdUhccz nh`ah`YwijaToe< nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS48?5955ab^ofiZehf}%eeolce^rbgnYd`;%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?538202diS`kb_bmmp*hnjkfnS}olk^ak6*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X97?7;?kdXelgTob`{/okaficXxhi`Snf=/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;32864nc]nahYdgg~$bdlmld]sefmXka8$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW84?9=>1enRcjm^aljq)iakhgiR~ncj]`l7)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ31?7;?kdXelgTob`{/okaficXxhi`Snf=/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\58;2864nc]nahYdgg~$bdlmld]sefmXka8$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8779=11enRcjm^aljq)iakhgiR~ncj]`l7)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ323<6<>heWdofSnaat.ljfgjbWykhgRmg2.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]69?3;8;o`\i`kXkfd#cgmbmg\tdelWjb9#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP949=>1enRcjm^aljq)iakhgiR~ncj]`l7)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ33?74?kdXelgTob`{/okaficXxhi`Snf=/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5>59:5ab^ofiZehf}%eeolce^rbgnYd`;%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?1;303ghTahcPcnlw+koejeoT|lmd_bj1+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X181569mfZkbeVidby!aic`oaZvfkbUhd?!aic`oaZeh}g~n~Vn5ab^ofiZehf}%eeolce^rbgnYd`;%eeolce^alqkrbzV89;6`m_lgn[fii|&dbnobj_qc`oZeo:&xouRcjm^cv|7c"|jstn\i`kXag~n~coc<03=77=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbh9756:80boQbel]`kkr(f`hi`hQabi\gm4(zly~`Rcjm^kmp`tiie6:?3==;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblb315<06>heWdofSnaat.ljfgjbWykhgRmg2.pfwpjXelgTeczjroco8439;;1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfd5;=2><4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxema2>7?11?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n?5=84:2diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgk4835?<5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`1?1339mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl=03:64?0<2:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc<31=74=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbh949;81enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfd595?<5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`1:1309mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl=7=74=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbh909;81enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfd5=5?<5ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`161309mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl=;=75=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZ6482diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkW89:7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfT===>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbP1012?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\57563ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjX9:9:7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfT=9=>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbP1412?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\53563ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjX9>9:7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfT=5=>;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbP1813?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\667?4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQ=2238jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam]17668<6`m_lgn[fii|&dbnobj_qc`oZeo:&xnxbPmdo\mkrbzgkgS8=?;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbP6228jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam]475=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZ>482diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkW09>7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxv30?15?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~;9948:6`m_lgn[fii|&dbnobj_qc`oZeo:&xnxbPmdo\mkrbzgkgSl{w<03=73=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZgrp5;92>84nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy>27;513ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|r7=90<6:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{8439;?1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t1?9>248jgYjmdUhccz nh`ah`YwijaToe< rdqvhZkbeVcexh|aam]bq}:6?79=7clPmdo\gjhs'gcinakPp`ah[fn5'{oxyaQbel]jjqcufhfTmxv319<02>heWdofSnaat.ljfgjbWykhgRmg2.pfwpjXelgTeczjroco[ds4835?85ab^ofiZehf}%eeolce^rbgnYd`;%yi~{c_lgn[lhsm{dj`Rozx=3=73=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZgrp58;2>84nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy>15;513ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|r7>?0<6:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{8759;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t1<1349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|959;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t1:1349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|939;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t181349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|919;<1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~t161349mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|9?9;=1enRcjm^aljq)iakhgiR~ncj]`l7)umzgS`kb_hlwawhfdVk~tR><4:la[hcjWjeex"`fbcnf[ugdcVic>"|jstn\i`kXag~n~coc_`w{[4523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT===:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\54523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=?=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\56523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=9=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\50523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=;=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\52523ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT=5=:;o`\i`kXkfd#cgmbmg\tdelWjb9#k|um]nahYnf}oyblbPatz\5<533ghTahcPcnlw+koejeoT|lmd_bj1+wct}eUfi`QfnugqjdjXi|rT>>;4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy]1463;4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy]1663:4nc]nahYdgg~$bdlmld]sefmXka8$~h}zl^ofiZoi|lxemaQnuy]071=ijVgnaRm`nu-mmgdkmVzjofQlh3-qavskWdofSd`{eslbhZgrpV>886`m_lgn[fii|&dbnobj_qc`oZeo:&xnxbPmdo\mkrbzgkgSl{w_417?kdXelgTob`{/okaficXxhi`Snf=/sgpqiYjmdUbbyk}n`n\ep~X>:>0boQbel]`kkr(f`hi`hQabi\gm4(zly~`Rcjm^kmp`tiieUjyuQ8359mfZkbeVidby!aic`oaZvfkbUhd?!}erwo[hcjW`di`nl^cv|Z>4<2diS`kb_bmmp*hnjkfnS}olk^ak6*tb{|fTahcPiovfvkgkWhsS4<8;o`\i`kXkfd#cgmbmg\tdelWjb9#~znru]`kphs:l1enRcjm^aljq)iakhgiR~ncj]`l7)t|hxSnaznu]qwqvh|8i0boQbel]`kkr(f`hi`hQabi\gm5(<91enRcjm^aljq)iakhgiR~ncj]`l6)JkfexR`fbcnf[firf}oySua}<1<25ZOI^V:8m6`m_lgn[fii|&dbnobj_qc`oZeo;&Ghcx`{_okaficXkfexh|Pxnp?5;76;h1enRcjm^aljq)iakhgiR~ncj]`l6)JkfexR`fbcnf[firf}oySua}<3<250eSB[[107`?kdXelgTob`{/okaficXxhi`Snf14a8jgYjmdUhccz nh`ah`YwijaToe= Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X<<>5b9mfZkbeVidby!aic`oaZvfkbUhd>!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=>?:c:la[hcjWjeex"`fbcnf[ugdcVic?"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^:8<;l;o`\i`kXkfd#cgmbmg\tdelWjb8#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];>=8m4nc]nahYdgg~$bdlmld]sefmXka9$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\8<:9n5ab^ofiZehf}%eeolce^rbgnYd`:%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS9>;>o6`m_lgn[fii|&dbnobj_qc`oZeo;&GfyuQaic`oaZeh}g~n~V9PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP766=j1enRcjm^aljq)iakhgiR~ncj]`l6)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW6472k2diS`kb_bmmp*hnjkfnS}olk^ak7*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV1643d3ghTahcPcnlw+koejeoT|lmd_bj0+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU0050eSB[[247a?kdXelgTob`{/okaficXxhi`Snf5c9mfZkbeVidby!aic`oaZvfkbUhd>!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__8<;m;o`\i`kXkfd#cgmbmg\tdelWjb8#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]?:9o5ab^ofiZehf}%eeolce^rbgnYd`:%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS>8?i7clPmdo\gjhs'gcinakPp`ah[fn4'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ16=k1enRcjm^aljq)iakhgiR~ncj]`l6)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW<43e3ghTahcPcnlw+koejeoT|lmd_bj0+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU;26a=ijVgnaRm`nu-mmgdkmVzjofQlh2-Nip~Xzly~`Rcjm0336`=ijVgnaRm`nu-mmgdkmVzjofQlh2-Nip~Xzly~`Rcjm0335732:73>0305?kdXelgTob`{/okaficXxhi`Snf?Piot275=ijVgnaRm`nu-mmgdkmVzjofQlh2-`lkYea}yTmnPbhv3858482diS`kb_bmmp*hnjkfnS}olk^ak7*eofVhbx~Qncp]amq6;978m7clPmdo\gjhs'gcinakPp`ah[fn4'jbeSog{s^c`uZdn|9U;?;5ab^ofiZehf}%eeolce^rbgnYd`:%hdcQmiuq\efwXj`~;S=Q}surlp7`2diS`kb_bmmp*hnjkfnS}olk^ak7*eofVhbx~Qncp]amq6X9Vxxx}a{319mfZkbeVidby!aic`oaZvfkbUhd>!lho]amquXij{Tndz><1<04>heWdofSnaat.ljfgjbWykhgRmg3.akjZdn|zUjo|Qmiu3?5;4a3ghTahcPcnlw+koejeoT|lmd_bj0+fniWkcRolq^`jp4Y7;?1enRcjm^aljq)iakhgiR~ncj]`l6)d`gUiey}Pabs\flr6W9Uyy~`t3d8jgYjmdUhccz nh`ah`YwijaToe= cil\flrtWhizSog{1^302>heWdofSnaat.ljfgjbWykhgRmg3.akjZdn|zUjo|Qmiu3\5Ztt|ye?=5ab^ofiZehf}%eeolce^rbgnYd`:%hdcQmiuq\efwXj`~90=0<0:la[hcjWjeex"`fbcnf[ugdcVic?"mgn^`jpvYfkxUiey<31?0e?kdXelgTob`{/okaficXxhi`SnfR?<6:la[hcjWjeex"`fbcnf[ugdcVic?"mgn^`jpvYfkxUieyQ?379mfZkbeVidby!aic`oaZvfkbUhd>!lho]amquXij{Tndz<_1]qwqvh|;l0boQbel]`kkr(f`hi`hQabi\gm5(kadTndz|_`ar[gos;V;8:6`m_lgn[fii|&dbnobj_qc`oZeo;&icbRlftr]bgtYea}9T=R||tqmw6==ijVgnaRm`nu-mmgdkmVzjofQlh2-`hnYfkxUmmab=f:la[hcjWjeex"`fbcnf[ugdcVic?"mck^c`uZ`fdeUyy~`t3:8jgYjmdUhccz nh`ah`YwijaToe= cmi\efwXz5:5>55ab^ofiZehf}%eeolce^rbgnYd`:%h`fQncp]q848502diS`kb_bmmp*hnjkfnS}olk^ak7*ekcVkh}R|32?04?kdXelgTob`{/okaficXxhi`Snfh5ab^ofiZehf}%eeolce^rbgnYd`:%h`fQncp]q[5Yu{}zdx?94nc]nahYdgg~$bdlmld]sefmXka9$oaePabs\vZ75m2diS`kb_bmmp*hnjkfnS}olk^ak7*ekcVkh}R|P1^pppuis:>1enRcjm^aljq)iakhgiR~ncj]`l6)ddbUjo|Q}_30f?kdXelgTob`{/okaficXxhi`SnfheWdofSnaat.ljfgjbWykhgRmg3.aooZgdyVxbh!kauc\gptX{}kiRH\M^DE`45f3ghTahcPcnlw+koejeoT|lmd_bj0+agsiVi~~R}{aug\BVKXNOn:S}{pnv07>heWdofSnaat.ljfgjbWykhgRmg3.fbpdYd}{Uxxlzj_GQN[C@c::k0boQbel]`kkr(f`hi`hQabi\gm5(lh~jSn{}_rvbp`YA[DUMJi1599mfZkbeVidby!aic`oaZvfkbUhd>!aic`oaZeh}g~n~V46`m_lgn[fii|&dbnobj_qc`oZeo;&dbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU>25;3?3ghTahcPcnlw+koejeoT|lmd_bj0+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1?=>4:8jgYjmdUhccz nh`ah`YwijaToe= nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS4895955ab^ofiZehf}%eeolce^rbgnYd`:%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?518202diS`kb_bmmp*hnjkfnS}olk^ak7*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95?7;?kdXelgTob`{/okaficXxhi`Snf>SPwhff[hsW8?TCXZ319<6<>heWdofSnaat.ljfgjbWykhgRmg3.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:53;8;o`\i`kXkfd#cgmbmg\tdelWjb8#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP979=11enRcjm^aljq)iakhgiR~ncj]`l6)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ321<6<>heWdofSnaat.ljfgjbWykhgRmg3.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]69=3;7;o`\i`kXkfd#cgmbmg\tdelWjb8#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9456<20boQbel]`kkr(f`hi`hQabi\gm5(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<31=12=ijVgnaRm`nu-mmgdkmVzjofQlh2-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7>3;8;o`\i`kXkfd#cgmbmg\tdelWjb8#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP959=>1enRcjm^aljq)iakhgiR~ncj]`l6)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ34?74?kdXelgTob`{/okaficXxhi`Snf!aic`oaZeh}g~n~VheWdofSnaat.ljfgjbWykhgRmg3.ljfgjbWje~byk}_00`?kdXelgTob`{/okaficXxhi`Snf1339mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl=33:64heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco8419;;1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfd5;32><4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxema2>9?12?kdXelgTob`{/okaficXxhi`Snf6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkg0??1339mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl=01:643=>;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblb33?12?kdXelgTob`{/okaficXxhi`Snf;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblb37?12?kdXelgTob`{/okaficXxhi`Snf>4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQ>309mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^3374=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZ76;81enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdV;9?<5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`R?<309mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^3774=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZ72;81enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdV;=?<5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`R?8309mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^3;74=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZ7>;91enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdV88=6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgS?><1:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_3305>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[74492diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkW;98<6`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgS>=?;o`\i`kXkfd#cgmbmg\tdelWjb8#k|um]nahYnf}oyblbP4228jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]675=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZ0482diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkW>9;7clPmdo\gjhs'gcinakPp`ah[fn4'{oxyaQbel]jjqcufhfT4>>4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQ6349mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^cv|969;?1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdVk~t1??>248jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}:6979=7clPmdo\gjhs'gcinakPp`ah[fn4'{oxyaQbel]jjqcufhfTmxv313<02>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[ds4895?;5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`Rozx=37:602diS`kb_bmmp*hnjkfnS}olk^ak7*tb{|fTahcPiovfvkgkWhs0<91379mfZkbeVidby!aic`oaZvfkbUhd>!}erwo[hcjW`di`nl^cv|97?6:<0boQbel]`kkr(f`hi`hQabi\gm5(zly~`Rcjm^kmp`tiieUjyu2>9?16?kdXelgTob`{/okaficXxhi`SnfheWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[ds4;;5?;5ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`Rozx=01:60?85ab^ofiZehf}%eeolce^rbgnYd`:%yi~{c_lgn[lhsm{dj`Rozx^3570=ijVgnaRm`nu-mmgdkmVzjofQlh2-qavskWdofSd`{eslbhZgrpV;heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[dsW;:896`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgSl{w_3301>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[dsW;8896`m_lgn[fii|&dbnobj_qc`oZeo;&xnxbPmdo\mkrbzgkgSl{w_3100>heWdofSnaat.ljfgjbWykhgRmg3.pfwpjXelgTeczjroco[dsW:9?7clPmdo\gjhs'gcinakPp`ah[fn4'{oxyaQbel]jjqcufhfTmxvP4268jgYjmdUhccz nh`ah`YwijaToe= rdqvhZkbeVcexh|aam]bq}Y2;=1enRcjm^aljq)iakhgiR~ncj]`l6)umzgS`kb_hlwawhfdVk~tR8<4:la[hcjWjeex"`fbcnf[ugdcVic?"|jstn\i`kXag~n~coc_`w{[2533ghTahcPcnlw+koejeoT|lmd_bj0+wct}eUfi`QfnugqjdjXi|rT4>:4nc]nahYdgg~$bdlmld]sefmXka9$~h}zl^ofiZoi|lxemaQnuy]:62=ijVgnaRm`nu-mmgdkmVzjofQlh2-ppdtsWje~byc:la[hcjWjeex"`fbcnf[ugdcVic8":?;o`\i`kXkfd#cgmbmg\tdelWjb?#@m`uov\jldedlUhcx`{es]{kw:768;TECXP02c8jgYjmdUhccz nh`ah`YwijaToe: MbmvjqYiakhgiRm`uovfvZ~hz5;5=<=n;o`\i`kXkfd#cgmbmg\tdelWjb?#@m`uov\jldedlUhcx`{es]{kw:568;>o6`m_lgn[fii|&dbnobj_qc`oZeo<&GfyuQaic`oaZeh}g~n~VSB[[1436g>heWdofSnaat.ljfgjbWykhgRmg4.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ>607`?kdXelgTob`{/okaficXxhi`Snf;/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95b9mfZkbeVidby!aic`oaZvfkbUhd9!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=4?:c:la[hcjWjeex"`fbcnf[ugdcVic8"Cbuy]mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^9<<;l;o`\i`kXkfd#cgmbmg\tdelWjb?#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]8:=8m4nc]nahYdgg~$bdlmld]sefmXka>$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\;8:9n5ab^ofiZehf}%eeolce^rbgnYd`=%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS::;>o6`m_lgn[fii|&dbnobj_qc`oZeo<&GfyuQaic`oaZeh}g~n~VSB[[507a?kdXelgTob`{/okaficXxhi`Snf;/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhd9!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__;<;m;o`\i`kXkfd#cgmbmg\tdelWjb?#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]2:9o5ab^ofiZehf}%eeolce^rbgnYd`=%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS188o7clPmdo\gjhs'gcinakPp`ah[fn3'Dg~tR|jstn\i`k6998n7clPmdo\gjhs'gcinakPp`ah[fn3'Dg~tR|jstn\i`k699;996`m_lgn[fii|&dbnobj_qc`oZeo<&Xnxb{<1<11>heWdofSnaat.ljfgjbWykhgRmg4.Pfwpjs484996`m_lgn[fii|&dbnobj_qc`oZeo<&Xnxb{<3<16>heWdofSnaat.ljfgjbWykhgRmg4.]m4465:?1enRcjm^aljq)iakhgiR~ncj]`l1)Xf9;;>1<11348jgYjmdUhccz nh`ah`YwijaToe: _o2266Ynf8<7clPmdo\gjhs'gcinakPp`ah[fn3'Vd;=?=Piot263=ijVgnaRm`nu-mmgdkmVzjofQlh5-\j575?Vcez?94nc]nahYdgg~$bdlmld]sefmXka>$Sc>>26]jjs75>2diS`kb_bmmp*hnjkfnS}olk^ak0*Yi889:Sd`y269mfZkbeVidby!aic`oaZvfkbUhd9!Pn1305Zoi~89;7clPmdo\gjhs'gcinakPp`ah[fn3'jbeSog{s^c`uZdn|96;2>>4nc]nahYdgg~$bdlmld]sefmXka>$oe`Pbhvp[devWkc<1?12g9mfZkbeVidby!aic`oaZvfkbUhd9!lho]amquXij{Tndz?_115?kdXelgTob`{/okaficXxhi`Snf;/bjm[gos{Vkh}Rlft1]3[wusxf~9j6`m_lgn[fii|&dbnobj_qc`oZeo<&icbRlftr]bgtYea}:T=>84nc]nahYdgg~$bdlmld]sefmXka>$oe`Pbhvp[devWkck5ab^ofiZehf}%eeolce^rbgnYd`=%hdcQmiuq\efwXj`~:S==9;o`\i`kXkfd#cgmbmg\tdelWjb?#nfa_ckwwZgdyVhbx3:66heWdofSnaat.ljfgjbWykhgRmg4.akjZdn|zUjo|Qmiu0\5602?>228jgYjmdUhccz nh`ah`YwijaToe: cil\flrtWhizSog{3=3=6c=ijVgnaRm`nu-mmgdkmVzjofQlh5-`lkYea}yTmnPbhv0[5513ghTahcPcnlw+koejeoT|lmd_bj7+fniWkcRolq^`jp6Y7W{y|bz=f:la[hcjWjeex"`fbcnf[ugdcVic8"mgn^`jpvYfkxUiey=P1248jgYjmdUhccz nh`ah`YwijaToe: cil\flrtWhizSog{3^3\vvrwg}837clPmdo\gjhs'gcinakPp`ah[fn3'jf`Slm~_gcoh7`$oaePabs\v949:>1enRcjm^aljq)iakhgiR~ncj]`l1)ddbUjo|Q}_10f?kdXelgTob`{/okaficXxhi`Snf;/bnh[devW{U;S}{pnv13>heWdofSnaat.ljfgjbWykhgRmg4.aooZgdyVxT=?k4nc]nahYdgg~$bdlmld]sefmXka>$oaePabs\vZ7Xzz~{cy<8;o`\i`kXkfd#cgmbmg\tdelWjb?#nbd_`ar[wY5:l1enRcjm^aljq)iakhgiR~ncj]`l1)ddbUjo|Q}_3]qwqvh|;20boQbel]`kkr(f`hi`hQabi\gm2(keaTmnPrhqf6c=ijVgnaRm`nu-mmgdkmVzjofQlh5-`hnYfkxUye~kPrrvskq543ghTahcPcnlw+koejeoT|lmd_bj7+agsiVi~~R}{aug\BVKXNOn:?l5ab^ofiZehf}%eeolce^rbgnYd`=%omyoPctp\wqgsmVLXARHId0]qwqvh|:90boQbel]`kkr(f`hi`hQabi\gm2(lh~jSn{}_rvbp`YA[DUMJi<i5ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbz5:5>i5ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbz5;5>i5ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbz5859:5ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?4;3?3ghTahcPcnlw+koejeoT|lmd_bj7+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1??>4:8jgYjmdUhccz nh`ah`YwijaToe: nh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS48;5955ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?578202diS`kb_bmmp*hnjkfnS}olk^ak0*hnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X93?7;?kdXelgTob`{/okaficXxhi`Snf;/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;?2864nc]nahYdgg~$bdlmld]sefmXka>$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8439=11enRcjm^aljq)iakhgiR~ncj]`l1)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ317<6<>heWdofSnaat.ljfgjbWykhgRmg4.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:;3;7;o`\i`kXkfd#cgmbmg\tdelWjb?#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP97?6<20boQbel]`kkr(f`hi`hQabi\gm2(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<0;=12=ijVgnaRm`nu-mmgdkmVzjofQlh5-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=3;7;o`\i`kXkfd#cgmbmg\tdelWjb?#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9476<20boQbel]`kkr(f`hi`hQabi\gm2(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<33=1==ijVgnaRm`nu-mmgdkmVzjofQlh5-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7>?0:8:la[hcjWjeex"`fbcnf[ugdcVic8"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:5;7?<7clPmdo\gjhs'gcinakPp`ah[fn3'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=0=12=ijVgnaRm`nu-mmgdkmVzjofQlh5-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7?3;8;o`\i`kXkfd#cgmbmg\tdelWjb?#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP929=>1enRcjm^aljq)iakhgiR~ncj]`l1)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ35?74?kdXelgTob`{/okaficXxhi`Snf;/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5<59:5ab^ofiZehf}%eeolce^rbgnYd`=%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?3;303ghTahcPcnlw+koejeoT|lmd_bj7+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X161569mfZkbeVidby!aic`oaZvfkbUhd9!aic`oaZeh}g~n~V6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkg0heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco84?9;81enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfd5;5??5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`1208jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam>15;553ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`Qfnugqjdj;:;48>6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkg0?=1309mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl=0=74=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbh959;81enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfd5>5?<5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`1;1309mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl=4=74=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbh919;81enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfd525?<5ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`171319mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^204>heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[4563ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjX999:7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT=<=>;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbP1312?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\56563ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjX9=9:7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT=8=>;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbP1712?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\52563ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjX919:7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT=4=?;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbP2238jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]1467>?4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQ=3228jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]075=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZ2482diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkW<9;7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfT:>>4nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQ8319mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^:04>heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[<523ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|r7<3=9;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbPatz?5584>2diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkWhs03?15?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~;9=48:6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgSl{w<07=73=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrp5;=2>84nc]nahYdgg~$bdlmld]sefmXka>$~h}zl^ofiZoi|lxemaQnuy>23;513ghTahcPcnlw+koejeoT|lmd_bj7+wct}eUfi`QfnugqjdjXi|r7=50<6:la[hcjWjeex"`fbcnf[ugdcVic8"|jstn\i`kXag~n~coc_`w{84?9;<1enRcjm^aljq)iakhgiR~ncj]`l1)umzgS`kb_hlwawhfdVk~t1?1379mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|9476:<0boQbel]`kkr(f`hi`hQabi\gm2(zly~`Rcjm^kmp`tiieUjyu2=1?15?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~;:;48:6`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgSl{w<31=70=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrp585?85ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`Rozx=1=70=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrp5>5?85ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`Rozx=7=70=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrp5<5?85ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`Rozx=5=70=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrp525?85ab^ofiZehf}%eeolce^rbgnYd`=%yi~{c_lgn[lhsm{dj`Rozx=;=71=ijVgnaRm`nu-mmgdkmVzjofQlh5-qavskWdofSd`{eslbhZgrpV:886`m_lgn[fii|&dbnobj_qc`oZeo<&xnxbPmdo\mkrbzgkgSl{w_016?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~X999>7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxvP1016?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~X9;9>7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxvP1216?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~X9=9>7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxvP1416?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~X9?9>7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxvP1616?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~X919>7clPmdo\gjhs'gcinakPp`ah[fn3'{oxyaQbel]jjqcufhfTmxvP1817?kdXelgTob`{/okaficXxhi`Snf;/sgpqiYjmdUbbyk}n`n\ep~X::?0boQbel]`kkr(f`hi`hQabi\gm2(zly~`Rcjm^kmp`tiieUjyuQ=0278jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]bq}Y59:?0boQbel]`kkr(f`hi`hQabi\gm2(zly~`Rcjm^kmp`tiieUjyuQ=2278jgYjmdUhccz nh`ah`YwijaToe: rdqvhZkbeVcexh|aam]bq}Y5;:>0boQbel]`kkr(f`hi`hQabi\gm2(zly~`Rcjm^kmp`tiieUjyuQ<359mfZkbeVidby!aic`oaZvfkbUhd9!}erwo[hcjW`di`nl^cv|Z24<2diS`kb_bmmp*hnjkfnS}olk^ak0*tb{|fTahcPiovfvkgkWhsS8=;;o`\i`kXkfd#cgmbmg\tdelWjb?#k|um]nahYnf}oyblbPatz\262heWdofSnaat.ljfgjbWykhgRmg4.pfwpjXelgTeczjroco[dsW08<7clPmdo\gjhs'gcinakPp`ah[fn3'z~j~yQlotlw6`=ijVgnaRm`nu-mmgdkmVzjofQlh5-ppdtsWje~byQ}surlp4e1^KMRZ64i2diS`kb_bmmp*hnjkfnS}olk^ak1*Kdg|dScgmbmg\gjsi|lxTtb|31?327d=ijVgnaRm`nu-mmgdkmVzjofQlh4-Ngjsi|Vdbnobj_bmvjqcuWqey0?0>14a8jgYjmdUhccz nh`ah`YwijaToe; Mlw{[koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X<>>5c9mfZkbeVidby!aic`oaZvfkbUhd8!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__=<;l;o`\i`kXkfd#cgmbmg\tdelWjb>#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]];:=8m4nc]nahYdgg~$bdlmld]sefmXka?$A`{w_okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\88:9n5ab^ofiZehf}%eeolce^rbgnYd`<%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS9:;>o6`m_lgn[fii|&dbnobj_qc`oZeo=&GfyuQaic`oaZeh}g~n~VPQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP406=j1enRcjm^aljq)iakhgiR~ncj]`l0)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW5272k2diS`kb_bmmp*hnjkfnS}olk^ak1*Kj}qUeeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV2<43d3ghTahcPcnlw+koejeoT|lmd_bj6+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU3:50eSB[[2036g>heWdofSnaat.ljfgjbWykhgRmg5.Onq}YiakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ=207`?kdXelgTob`{/okaficXxhi`Snf:/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X95c9mfZkbeVidby!aic`oaZvfkbUhd8!Bmtz\jldedlUhcx`{esY1YZtb{|fTahcPiovfvkgkS;9VSzgke^ov|Z72WF__>8;m;o`\i`kXkfd#cgmbmg\tdelWjb>#@czx^ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]9:9o5ab^ofiZehf}%eeolce^rbgnYd`<%FaxvPnh`ah`Ydg|diU=]^pfwpjXelgTeczjroco_75ZW~coiRczx^36[JSS<8?i7clPmdo\gjhs'gcinakPp`ah[fn2'Dg~tR`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ36=k1enRcjm^aljq)iakhgiR~ncj]`l0)Je|rTbdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW243e3ghTahcPcnlw+koejeoT|lmd_bj6+HkrpVdbnobj_bmvjqcuS;WT~h}zl^ofiZoi|lxemaU=3\]tmacXe|rT=8Q@UU521g=ijVgnaRm`nu-mmgdkmVzjofQlh4-Nip~Xf`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[807a?kdXelgTob`{/okaficXxhi`Snf:/Lov|ZhnjkfnSnaznugq_7[Xzly~`Rcjm^kmp`tiieQ9?PQxieg\ip~X92e9mfZkbeVidby!aic`oaZvfkbUhd8!Bmtz\v`urdVgna>378jgYjmdUhccz nh`ah`YwijaToe; Rdqvhq:56;80boQbel]`kkr(f`hi`hQabi\gm3(Wg::#R`?110?6;75>2diS`kb_bmmp*hnjkfnS}olk^ak1*Yi8888Sd`y269mfZkbeVidby!aic`oaZvfkbUhd8!Pn1317Zoi~88=7clPmdo\gjhs'gcinakPp`ah[fn2'Vd;=?9Piot13>heWdofSnaat.ljfgjbWykhgRmg5.]m4440W`d}=?84nc]nahYdgg~$bdlmld]sefmXka?$Sc>>30]jjs403ghTahcPcnlw+koejeoT|lmd_bj6+Zh79:;Tecx>319mfZkbeVidby!aic`oaZvfkbUhd8!lho]amquXij{Tndz?<1<04>heWdofSnaat.ljfgjbWykhgRmg5.akjZdn|zUjo|Qmiu2?5;4a3ghTahcPcnlw+koejeoT|lmd_bj6+fniWkcRolq^`jp5Y7;?1enRcjm^aljq)iakhgiR~ncj]`l0)d`gUiey}Pabs\flr7W9Uyy~`t3d8jgYjmdUhccz nh`ah`YwijaToe; cil\flrtWhizSog{0^302>heWdofSnaat.ljfgjbWykhgRmg5.akjZdn|zUjo|Qmiu2\5Ztt|ye?=5ab^ofiZehf}%eeolce^rbgnYd`<%hdcQmiuq\efwXj`~:0=0<0:la[hcjWjeex"`fbcnf[ugdcVic9"mgn^`jpvYfkxUiey?31?0e?kdXelgTob`{/okaficXxhi`Snf:/bjm[gos{Vkh}Rlft0]373=ijVgnaRm`nu-mmgdkmVzjofQlh4-`lkYea}yTmnPbhv2[5Yu{}zdx?h4nc]nahYdgg~$bdlmld]sefmXka?$oe`Pbhvp[devWkc=R?<6:la[hcjWjeex"`fbcnf[ugdcVic9"mgn^`jpvYfkxUiey?P1^pppuis;91enRcjm^aljq)iakhgiR~ncj]`l0)d`gUiey}Pabs\flr54948<6`m_lgn[fii|&dbnobj_qc`oZeo=&icbRlftr]bgtYea}87=3#nfa_ckwwZgdyVhbx?Q?379mfZkbeVidby!aic`oaZvfkbUhd8!lho]amquXij{Tndz=_1]qwqvh|;l0boQbel]`kkr(f`hi`hQabi\gm3(kadTndz|_`ar[gos:V;8:6`m_lgn[fii|&dbnobj_qc`oZeo=&icbRlftr]bgtYea}8T=R||tqmw75=ijVgnaRm`nu-mmgdkmVzjofQlh4-`lkYea}yTmnPbhv0858482diS`kb_bmmp*hnjkfnS}olk^ak1*eofVhbx~Qncp]amq5;978m7clPmdo\gjhs'gcinakPp`ah[fn2'jbeSog{s^c`uZdn|:U;?;5ab^ofiZehf}%eeolce^rbgnYd`<%hdcQmiuq\efwXj`~8S=Q}surlp7`2diS`kb_bmmp*hnjkfnS}olk^ak1*eofVhbx~Qncp]amq5X9Vxxx}a{299mfZkbeVidby!aic`oaZvfkbUhd8!llj]bgtYaief9j6`m_lgn[fii|&dbnobj_qc`oZeo=&iggRolq^dbhiYu{}zdx?64nc]nahYdgg~$bdlmld]sefmXka?$oaePabs\v969:11enRcjm^aljq)iakhgiR~ncj]`l0)ddbUjo|Q}<0<1<>heWdofSnaat.ljfgjbWykhgRmg5.aooZgdyVx7>3<8;o`\i`kXkfd#cgmbmg\tdelWjb>#nbd_`ar[wY7:l1enRcjm^aljq)iakhgiR~ncj]`l0)ddbUjo|Q}_1]qwqvh|;=0boQbel]`kkr(f`hi`hQabi\gm3(keaTmnPr^31a>heWdofSnaat.ljfgjbWykhgRmg5.aooZgdyVxT=R||tqmw62=ijVgnaRm`nu-mmgdkmVzjofQlh4-`hnYfkxUyS?#nbd_`ar[wY5W{y|bz=8:la[hcjWjeex"`fbcnf[ugdcVic9"mck^c`uZtn{l8m7clPmdo\gjhs'gcinakPp`ah[fn2'jf`Slm~_skpaZtt|ye?>5ab^ofiZehf}%eeolce^rbgnYd`<%omyoPctp\wqgsmVLXARHId01b?kdXelgTob`{/okaficXxhi`Snf:/ecweZerzVymykPFRO\BCb6W{y|bz<3:la[hcjWjeex"`fbcnf[ugdcVic9"jnt`]`qwYt|h~nSK]B_GDg66g1?7;?kdXelgTob`{/okaficXxhi`Snf:/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5;92864nc]nahYdgg~$bdlmld]sefmXka?$bdlmld]`kphsm{Q9QR|jstn\i`kXag~n~coc[31^[rocmVg~tR?:_NWW8459=11enRcjm^aljq)iakhgiR~ncj]`l0)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ315<6<>heWdofSnaat.ljfgjbWykhgRmg5.ljfgjbWje~byk}[3_\v`urdVgnaRgatdpmei]5;TU|eikPmtz\50YH]]6:93;7;o`\i`kXkfd#cgmbmg\tdelWjb>#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP9716<20boQbel]`kkr(f`hi`hQabi\gm3(f`hi`hQlotlwaw]5UVxnxbPmdo\mkrbzgkgW?=R_vkgaZkrpV;>SB[[<05=1==ijVgnaRm`nu-mmgdkmVzjofQlh4-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7=50:8:la[hcjWjeex"`fbcnf[ugdcVic9"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:617?<7clPmdo\gjhs'gcinakPp`ah[fn2'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=3=1==ijVgnaRm`nu-mmgdkmVzjofQlh4-mmgdkmVidyczjrZ0^[wct}eUfi`Qfnugqjdj\::WT{djj_lw{[43XG\^7>=0:8:la[hcjWjeex"`fbcnf[ugdcVic9"`fbcnf[firf}oyW?SPrdqvhZkbeVcexh|aamY17XYpamoTaxvP14]LQQ:597?37clPmdo\gjhs'gcinakPp`ah[fn2'gcinakPcnwmp`t\:TUyi~{c_lgn[lhsm{dj`V<<]^uj``Yj}qU:9RAZT=01:0>#cgmbmg\gjsi|lxP>PQ}erwo[hcjW`di`nlZ00YZqnllUfyuQ>5^MVP939=>1enRcjm^aljq)iakhgiR~ncj]`l0)iakhgiRm`uovfv^4ZW{oxyaQbel]jjqcufhfP>>SPwhff[hsW8?TCXZ36?74?kdXelgTob`{/okaficXxhi`Snf:/okaficXkfexh|T2\]qavskWdofSd`{eslbh^44UV}bhhQbuy]21ZIR\5=59:5ab^ofiZehf}%eeolce^rbgnYd`<%eeolce^alqkrbzR8VSk|um]nahYnf}oyblbT22_\slbbWdsS<;POTV?<;303ghTahcPcnlw+koejeoT|lmd_bj6+koejeoTob{atdpX6XYumzgS`kb_hlwawhfdR88QRyfdd]nq}Y6=VE^X1712b9mfZkbeVidby!aic`oaZvfkbUhd8!aic`oaZeh}g~n~R>=c:la[hcjWjeex"`fbcnf[ugdcVic9"`fbcnf[firf}oyS<#cgmbmg\gjsi|lxT>?94nc]nahYdgg~$bdlmld]sefmXka?$~iwPmdo\ep~5m2diS`kb_bmmp*hnjkfnS}olk^ak1*tcqVgnaRozx^pppuis;81enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfd5:5??5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`1??>208jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam>25;553ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`Qfnugqjdj;9;48>6`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkg0<=1339mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl=37:64;o`\i`kXkfd#cgmbmg\tdelWjb>#k|um]nahYnf}oyblb31?11?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n?6584:2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgk4;;5??5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`1<=>208jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam>17;563ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`Qfnugqjdj;:79:7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhf7?3=>;o`\i`kXkfd#cgmbmg\tdelWjb>#k|um]nahYnf}oyblb34?12?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n?1;563ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`Qfnugqjdj;>79:7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhf7;3=>;o`\i`kXkfd#cgmbmg\tdelWjb>#k|um]nahYnf}oyblb38?12?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n?=;573ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjX8::0boQbel]`kkr(f`hi`hQabi\gm3(zly~`Rcjm^kmp`tiieU:?<5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`R??309mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^3274=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZ75;81enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdV;8?<5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`R?;309mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^3674=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZ71;81enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdV;heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[75482diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkW:9;7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfT8>>4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQ:319mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^404>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[2573ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjX0::0boQbel]`kkr(f`hi`hQabi\gm3(zly~`Rcjm^kmp`tiieU2?85ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`Rozx=2=73=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZgrp5;;2>84nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy>25;513ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjXi|r7=?0<6:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{8459;?1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~t1?;>248jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]bq}:6=79=7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxv317<02>heWdofSnaat.ljfgjbWykhgRmg5.pfwpjXelgTeczjroco[ds48=5?;5ab^ofiZehf}%eeolce^rbgnYd`<%yi~{c_lgn[lhsm{dj`Rozx=3;:60#k|um]nahYnf}oyblbPatz?5;513ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjXi|r7>=0<6:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{8779;?1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~t1<=>248jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]bq}:5;79>7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxv32?16?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~;;79>7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxv34?16?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~;=79>7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxv36?16?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~;?79>7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxv38?16?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~;179?7clPmdo\gjhs'gcinakPp`ah[fn2'{oxyaQbel]jjqcufhfTmxvP0268jgYjmdUhccz nh`ah`YwijaToe; rdqvhZkbeVcexh|aam]bq}Y6;<1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~tR??349mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^cv|Z76;<1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~tR?=349mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^cv|Z74;<1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~tR?;349mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^cv|Z72;<1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~tR?9349mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^cv|Z70;<1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~tR?7349mfZkbeVidby!aic`oaZvfkbUhd8!}erwo[hcjW`di`nl^cv|Z7>;=1enRcjm^aljq)iakhgiR~ncj]`l0)umzgS`kb_hlwawhfdVk~tR<<5:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{[764=2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhsS??<5:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{[744=2diS`kb_bmmp*hnjkfnS}olk^ak1*tb{|fTahcPiovfvkgkWhsS?=<4:la[hcjWjeex"`fbcnf[ugdcVic9"|jstn\i`kXag~n~coc_`w{[6533ghTahcPcnlw+koejeoT|lmd_bj6+wct}eUfi`QfnugqjdjXi|rT8>:4nc]nahYdgg~$bdlmld]sefmXka?$~h}zl^ofiZoi|lxemaQnuy]671=ijVgnaRm`nu-mmgdkmVzjofQlh4-qavskWdofSd`{eslbhZgrpV<886`m_lgn[fii|&dbnobj_qc`oZeo=&xnxbPmdo\mkrbzgkgSl{w_617?kdXelgTob`{/okaficXxhi`Snf:/sgpqiYjmdUbbyk}n`n\ep~X0:>0boQbel]`kkr(f`hi`hQabi\gm3(zly~`Rcjm^kmp`tiieUjyuQ6269mfZkbeVidby!aic`oaZvfkbUhd8!|t`pw[firf}8n7clPmdo\gjhs'gcinakPp`ah[fn2'z~j~yQlotlw[wusxf~:56`m_lgn[fii|&enSnfPvdaw858612diS`kb_bmmp*ibWjbTzhm{<0<2=>heWdofSnaat.mf[fnX~li0?0>9:la[hcjWjeex"aj_bj\r`es4:4:56`m_lgn[fii|&enSnfPvdaw818602diS`kb_bmmp*tfeVidby|`l.6g?kdXelgTob`{/scn[fii|{eg#@okd^cg`wYbzhynSl{w_hlw_47ZWNDOS?=Po^cg`Z5?WF__Snw30?6f?kdXelgTob`{/scn[fii|{eg#@okd^cg`wYbzhynSl{w_hlw_47ZWNDOS?=Po^cg`Z5?WF__Snw311<65>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRmv<02=[wr3l2diS`kb_bmmp*tfeVidby|`l.Ob`aYflmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT^az848282diS`kb_bmmp*tfeVidby|`l.Ob`aYflmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT^az848Xz}>o7clPmdo\gjhs'{kfSnaatsmo+HgclVkohQjr`qf[dsW`dW4nc]nahYdgg~$~lcPcnlwvjj(EhnoSljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPRXkp682R|{4e9mfZkbeVidby!}al]`kkruge%FmijPaefq[`tf{lUjyuQfnuY25XY@FMU9?RaPaef\7=YH]]Uhu1:1519mfZkbeVidby!}al]`kkruge%FmijPaefq[`tf{lUjyuQfnuY25XY@FMU9?RaPaef\7=YH]]Uhu1:1_sv7`>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRmv<4<64>heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRmv<4<\vq2c3ghTahcPcnlw+wgjWjeexac/Lcg`Zgcl{Un~l}j_`w{[lhsS8;VSJ@K_31\kZgclV93SB[[_b{?2;373ghTahcPcnlw+wgjWjeexac/Lcg`Zgcl{Un~l}j_`w{[lhsS8;VSJ@K_31\kZgclV93SB[[_b{?2;Yu|=n0boQbel]`kkr(zhgTob`{rnn,IdbcWhno~Rk}arg\ep~Xag~P=6POTV\g|:06<:0boQbel]`kkr(zhgTob`{rnn,IdbcWhno~Rk}arg\ep~Xag~P=6POTV\g|:06Vx8i5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYdq5259=5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYdq525Sz;d:la[hcjWjeex"|nm^aljqthd&GjhiQndep\awgtmVk~tRgatZ32YZAILV88SbQnde]0heWdofSnaat.pbiZehf}xd`"Cnde]b`atXm{kxiRozx^kmp^76UVMEHR<<_n]b`aY40VE^XRbzt=2=[LHQW9>n7clPmdo\gjhs'{kfSnaatsmo+HgclVkohQjr`qf[dsW`dW]^EM@Z44WfUjhiQ<8^MVPZ~hz5;;29h4nc]nahYdgg~$~lcPcnlwvjj(EhnoSljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPRXpfx7=<0:2:la[hcjWjeex"|nm^aljqthd&GjhiQndep\awgtmVk~tRgatZ32YZAILV88SbQnde]0>^pw0`=ijVgnaRm`nu-qehYdgg~yca!Baef\eabuWlxjhQnuy]jjq]69TULBIQ=3^m\eabX;1UDYYQwos>2:1c6POTV\|jt;>7>n7clPmdo\gjhs'{kfSnaatsmo+HgclVkohQjr`qf[dsW`dW4?i6`m_lgn[fii|&xjaRm`nuplh*KflmUjhi|PescpaZgrpVcexV?>]^EM@Z44WfUjhiQ<8^MVPZ~hz5258h5ab^ofiZehf}%ym`Qloovqki)JimnTmij}_dpbw`Yf}qUbbyU>1\]DJAY5;VeTmijP39]LQQYg{622974nc]nahYdgg~$~lcPcnlwvjj(EhnoSkc_qmp[fn6W`dW5SPGOF\66YhWhnoS8>POTV\g|:16=h0boQbel]`kkr(zhgTob`{rnn,IdbcW{ogS}a|_bj2[lhsS1WTKCJP22]l[dbcW<:TCXZPcx>5:47312diS`kb_bmmp*tfeVidby|`l.Ob`aYumeU{c~Qlh3]jjq]?UVMEHR<<_n]b`aY19VE^XRmv<7<7f>heWdofSnaat.pbiZehf}xd`"Cnde]qaiYwgzUhd?QfnuY;YZAILV88SbQnde]55ZIR\Vir0;0>15;8jgYjmdUhccz r`o\gjhszff$Aljk_sgo[uitWjb8Sd`{[9_\CKBX::UdSljk_60\KPRXkp6=29l4nc]nahYdgg~$~lcPcnlwvjj(EhnoSkc_qmp[fn4W`dW5SPGOF\66YhWhnoS:>Q`_`fg[<2XG\^Tot29>5`8jgYjmdUhccz r`o\gjhszff$Aljk_sgo[uitWjb>Sd`{[9_\CKBX::UdSljk_86\KPRXkp6=2k5ab^ofiZehf}%ym`Qloovqki)JkfexRokds]q`ZgrpVcex9;=1enRcjm^aljq)uidUhccz}om-Ngjsi|VkohQ}d^cv|Zoi|Vir0=0<5:la[hcjWjeex"|nm^aljqthd&Ghcx`{_`fgvZtcWhsSd`{_b{?5584<2diS`kb_bmmp*tfeVidby|`l.O`kphsWhno~R|k_`w{[lhsWjs7=3=;;o`\i`kXkfd#ob_bmmpwik'DidyczPaefq[wbXi|rTeczPcx>1:62heWdofSnaat.pbiZehf}xd`"Clotlw[dbczVxoSl{w_hlw[f;=79?7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Tot29>268jgYjmdUhccz r`o\gjhszff$Anaznu]b`atXzmUjyuQfnu]`}919;=1enRcjm^aljq)uidUhccz}om-Ngjsi|VkohQ}d^cv|Zoi|Vir050<4:la[hcjWjeex"|nm^aljqthd&Ghcx`{_`fgvZtcWhsSd`{_b{?=;523ghTahcPcnlw+wgjWjeexac/LalqkrXimnySjPatz\mkrXd|~7<3=9;o`\i`kXkfd#ob_bmmpwik'DidyczPaefq[wbXi|rTeczPltv?5584>2diS`kb_bmmp*tfeVidby|`l.O`kphsWhno~R|k_`w{[lhsWe01379mfZkbeVidby!}al]`kkruge%Fob{at^cg`wYulVk~tRgat^zlv9776:<0boQbel]`kkr(zhgTob`{rnn,Ifirf}Ujhi|Pre]bq}Ynf}Usc2>1?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;979>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|32?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;;79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|34?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;=79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|36?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;?79>7clPmdo\gjhs'{kfSnaatsmo+Heh}g~Tmij}_sf\ep~Xag~Ttb|38?16?kdXelgTob`{/scn[fii|{eg#@m`uov\eabuW{nTmxvPiov\|jt;178>7clPmdo\gjhs'{kfSnaatsmo+HkrpVkoh??1005?kdXelgTob`{/scn[fii|{eg#@czx^cg`w7798;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>00011>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at698;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>20311>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at6;8;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>40311>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at6=8;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>60311>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at6?8;996`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|>80311>heWdofSnaat.pbiZehf}xd`"Cbuy]b`at618;986`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|71007?kdXelgTob`{/scn[fii|{eg#@czx^cg`w?69;n0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[D779;n0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[D769;i0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[D26:j1enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\E075k2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]B244d3ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^C567bheWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQM13a8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSO?>2b9mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rTN<<=c:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUI=>n5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XJ;;9o6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}YE:;8h7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZD5;;h0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[G55k2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]A744d3ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^@067dheWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQM400`?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tRL;23`8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSO;=c:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUI9<>?l4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsWK<9o6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}YE>88h7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZD1:;h0boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[G15k2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]A344d3ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^@467dheWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQM800`?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tRL723`8jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsSO7=c:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUI5<>84nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsW{yTM1>1_lcm`4513ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[D:66Vgjbi?<0:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUyRO32?13?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_@>0:66228jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}PA=:=75=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]B8<8482diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZD;879;7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWK6:2>?4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsW{yTot2?>208jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}Pcx>24;563ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[f;979:7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWjs7>3=>;o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVxxSnw33?12?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_b{?0;563ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[f;=79:7clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWjs7:3=>;o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVxxSnw37?12?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_b{?<;563ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[f;17997clPmdo\gjhs'{kfSnaatsmo+HkrpVkohQxr^cv|ZttWe0=0<2:la[hcjWjeex"|nm^aljqthd&GfyuQndep\swYf}qUyRbzt=3=77=ijVgnaRm`nu-qehYdgg~yca!Bmtz\eabuW~xTmxvPrr]oqq:56:80boQbel]`kkr(zhgTob`{rnn,IhsWhno~Ry}_`w{[wuXd|~7?3==;o`\i`kXkfd#ob_bmmpwik'Dg~tRokds]tvZgrpVxxSa{{<5<06>heWdofSnaat.pbiZehf}xd`"Cbuy]b`atX{UjyuQ}s^nvp939;;1enRcjm^aljq)uidUhccz}om-Nip~XimnySz|Patz\vvYk}}6=2><4nc]nahYdgg~$~lcPcnlwvjj(EdsSljkr^uq[dsW{yT`xz37?11?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_mww8=84:2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZ~hz5:5?>5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUsc2>0?11?kdXelgTob`{/scn[fii|{eg#@czx^cg`wYpzVk~tR||_ymq8484:2diS`kb_bmmp*tfeVidby|`l.Onq}YflmxT{Qnuy]qwZ~hz585??5ab^ofiZehf}%ym`Qloovqki)Je|rTmij}_vp\ep~XzzUsc2<>208jgYjmdUhccz r`o\gjhszff$A`{w_`fgvZquWhsS}Pxnp?0;553ghTahcPcnlw+wgjWjeexac/Lov|Zgcl{U|~Rozx^pp[}iu4<48>6`m_lgn[fii|&xjaRm`nuplh*Kj}qUjhi|Pws]bq}Yu{Vrd~181339mfZkbeVidby!}al]`kkruge%FaxvPaefq[rtXi|rT~~Qwos>4:64?TCXZ>1073?kdXelgTob`{/scn[fii|{eg#@czx^pbiZehf}xTox|Psucwa^3ZWNDOS?=Po^uj``Yj}qU:;8Q@UU02506Xg5;:2<:8;o`\i`kXkfd#ob_bmmpwik'Y]YS<:Po^cg`wYbzhynSl{w_hlw_47ZWl{~maQ<8^m?5485<:1enRcjm^aljq)uidUhccz}om-SSWY65Q`<03=51246]l8686;k1enRcjm^aljq)uidUhccz}om-SSWY652]l[55d3ghTahcPcnlw+wgjWjeexac/QUQ[42XgVidyczPsmuX7XYby|kgS<;<_n]3574<8<00=64=ijVgnaRm`nu-qehYdgg~yca!Pn1113979:81enRcjm^aljq)uidUhccz}om-\j555?585><5ab^ofiZehf}%ym`Qloovqki)Xf999;1=1209mfZkbeVidby!}al]`kkruge%Tb===7=6=64=ijVgnaRm`nu-qehYdgg~yca!Pn1113939:81enRcjm^aljq)uidUhccz}om-\j555?5<5><5ab^ofiZehf}%ym`Qloovqki)Xf999;191209mfZkbeVidby!}al]`kkruge%Tb===7=:=64=ijVgnaRm`nu-qehYdgg~yca!Pn11139?9:;1enRcjm^aljq)uidUhccz}om-\j554>Vcez?=4nc]nahYdgg~$~lcPcnlwvjj(Wg:8?;Qfnw316>heWdofSnaat.pbiZehf}xd`"Qa0274[lhq:;1enRcjm^aljq)uidUhccz}om-\j551=Vcez?<4nc]nahYdgg~$~lcPcnlwvjj(Wg:8;>Qfnw01?kdXelgTob`{/scn[fii|{eg#R`?393\mkp5:2diS`kb_bmmp*tfeVidby|`l.]m46>>W`d}=h5ab^ofiZehf}%ym`Qloovqki)Xf9?:5;>?j;o`\i`kXkfd#ob_bmmpwik'Vd;:=7>e:la[hcjWjeex"|nm^aljqthd&Ue<;972e9mfZkbeVidby!}al]`kkruge%jhi|PescpaZgrpVcex1>12d9mfZkbeVidby!}al]`kkruge%jhi|PescpaZgrpVcex1??>3g8jgYjmdUhccz r`o\gjhszff$mij}_dpbw`Yf}qUbby2>1?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz31?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz32?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz33?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz34?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz35?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz36?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz37?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz38?0g?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTecz39?66?kdXelgTob`{/scn[fii|{eg#ljkr^gqevcXi|rTeczT10_\CKBX::UdSljk_2:\KPR;87>=7clPmdo\gjhs'{kfSnaatsmo+dbczVoym~kPatz\mkr\98WTKCJP22]l[dbcW:2TCXZ311<72>heWdofSnaat.pbiZehf}xd`"okds]fvdubWhsSd`{[03^[BHCW;9TcRokd^1;[JSS48;5885ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT=3=00=ijVgnaRm`nu-qehYdgg~yca!ndep\awgtmVk~tRgatZ32YZAILV88SbQnde]05885ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|R;:QRIAD^00[jYflmU84RAZT=7=00=ijVgnaRm`nu-qehYdgg~yca!ndep\awgtmVk~tRgatZ32YZAILV88SbQnde]0heWdofSnaat.pbiZehf}xd`"okds]fvdubWhsSd`{_1]qwqvh|;i0boQbel]`kkr(zhgTob`{rnn,eabuWlxjhQnuy]jjqY6:m1enRcjm^aljq)uidUhccz}om-b`atXm{kxiRozx^kmpZ77;=1enRcjm^aljq)uidUhccz}om-b`atXm{kxiRozx^kmpZ77W{y|bz=d:la[hcjWjeex"|nm^aljqthd&kohQjr`qf[dsW`dSn5ab^ofiZehf}%ym`Qloovqki)flmxTio|e^cv|Zoi|V88?6`m_lgn[fii|&xjaRm`nuplh*gcl{Un~l}j_`w{[lhsW;Uyy~`t3a8jgYjmdUhccz r`o\gjhszff$mij}_dpbw`Yf}qUbbyQ<329mfZkbeVidby!}al]`kkruge%jhi|PescpaZgrpVcexR=Prrvskq4d3ghTahcPcnlw+wgjWjeexac/`fgvZcuizoTmxvPiov\0653`8jgYjmdUhccz r`o\gjhszff$mij}_sf\ep~Xag~7==0=b:la[hcjWjeex"|nm^aljqthd&kohQ}d^cv|Zoi|5;:2?o4nc]nahYdgg~$~lcPcnlwvjj(imnySjPatz\mkr;978j7clPmdo\gjhs'{kfSnaatsmo+dbczVxoSl{w_hlw8785i2diS`kb_bmmp*tfeVidby|`l.cg`wYulVk~tRgat=1=6d=ijVgnaRm`nu-qehYdgg~yca!ndep\vaYf}qUbby2;>3c8jgYjmdUhccz r`o\gjhszff$mij}_sf\ep~Xag~793;:7g>4nc]nahYdgg~$~lcPcnlwvjj(imnySjPatz\mkrX;Vxxx}a{289mfZkbeVidby!}al]`kkruge%jhi|Pre]bq}Ynf}U??=5ab^ofiZehf}%ym`Qloovqki)flmxT~iQnuy]jjqY3W{y|bz=9:la[hcjWjeex"|nm^aljqthd&kohQ}d^cv|Zoi|V?8<6`m_lgn[fii|&xjaRm`nuplh*gcl{UyhRozx^kmpZ3Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'hno~R|k_`w{[lhsW?9;7clPmdo\gjhs'{kfSnaatsmo+dbczVxoSl{w_hlw[3Yu{}zdx?74nc]nahYdgg~$~lcPcnlwvjj(imnySjPatz\mkrX?::0boQbel]`kkr(zhgTob`{rnn,eabuW{nTmxvPiov\3Ztt|ye>45ab^ofiZehf}%ym`Qloovqki)flmxT~iQnuy]jjqY?;91enRcjm^aljq)uidUhccz}om-b`atXzmUjyuQfnu];[wusxf~956`m_lgn[fii|&xjaRm`nuplh*gcl{UyhRozx^kmpZ?482diS`kb_bmmp*tfeVidby|`l.cg`wYulVk~tRgat^;\vvrwg}8=7clPmdo\gjhs'{kfSnaatsmo+dbczV}ySl{w<1<13>heWdofSnaat.pbiZehf}xd`"okds]tvZgrp5;;2?84nc]nahYdgg~$~lcPcnlwvjj(imnySz|Patz?5;413ghTahcPcnlw+wgjWjeexac/`fgvZquWhs0?0=6:la[hcjWjeex"|nm^aljqthd&kohQxr^cv|959:?1enRcjm^aljq)uidUhccz}om-b`atX{Ujyu2;>348jgYjmdUhccz r`o\gjhszff$mij}_vp\ep~;=78=7clPmdo\gjhs'{kfSnaatsmo+dbczV}ySl{w<7<12>heWdofSnaat.pbiZehf}xd`"okds]tvZgrp5=5>;5ab^ofiZehf}%ym`Qloovqki)flmxT{Qnuy>;:70heWdofSnaat.pbiZehf}xd`"mg_bmmpZerzVymykPFRO\BCb6%@d8:6`m_lgn[fii|&xjaRm`nuplh*eoWjeexRmzr^qweqcXNZGTJKj>-Hl27<=ijVgnaRm`nu-qehYdgg~yca!lh^aljqYd}{Uxxlzj_GQN[C@c9Vxxx}a{339mfZkbeVidby!}al]`kkruge%hdRm`nu]`qwYt|h~nSK]B_GDg66?heWdofSnaat.pbiZehf}xd`"mg_bmmpZerzVymykPFRO\BCb3;?1enRcjm^aljq)uidUhccz}om-`lZehf}UhyQ|t`vf[CUJWOLo8 Ga1248jgYjmdUhccz r`o\gjhszff$oeQloov\gptX{}kiRH\M^DE`1+Nf;927clPmdo\gjhs'{kfSnaatsmo+fnXkfdSn{}_rvbp`YA[DUMJi:Prrvskq433ghTahcPcnlw+wgjWjeexac/bmvjqYtd~6;2?:4nc]nahYdgg~$~lcPcnlwvjj(kfexR}cw=3=61=ijVgnaRm`nu-qehYdgg~yca!lotlw[vjp4;4986`m_lgn[fii|&xjaRm`nuplh*eh}g~Tay33?00?kdXelgTob`{/scn[fii|{eg#naznu]phrY7:h1enRcjm^aljq)uidUhccz}om-`kphsWzf|S=Q}surlp75368jgYjmdUhccz r`o\gjhszff$b=>;5=:=[VQ7:=1enRcjm^aljq)uidUhccz}om-m4522414T_Z?>d:la[hcjWjeex"|nm^aljqthd&d;<89=0:la[hcjWjeex"|nm^aljqthd&d;<8938?07?kdXelgTob`{/scn[fii|{eg#c>?56>;:ZUP8;>0boQbel]`kkr(zhgTob`{rnn,j562?525S^Y>1e9mfZkbeVidby!}al]`kkruge%e<=86219mfZkbeVidby!}al]`kkruge%e<=86<9<10>heWdofSnaat.pbiZehf}xd`"`?07;?<;YT_98?7clPmdo\gjhs'{kfSnaatsmo+k67>0632R]X10f8jgYjmdUhccz r`o\gjhszff$b=>71328jgYjmdUhccz r`o\gjhszff$b=>71=:=61=ijVgnaRm`nu-qehYdgg~yca!a01:28=8X[^:986`m_lgn[fii|&xjaRm`nuplh*h781;743Q\W03g?kdXelgTob`{/scn[fii|{eg#c>?9203?kdXelgTob`{/scn[fii|{eg#c>?92>;:729WZ];>95ab^ofiZehf}%ym`Qloovqki)i8938050PSV37f>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{eZ7^[BHCW;9TcRyfdd]nq}Y6?5`8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykT5\]DJAY5;VeT{djj_lw{[412WF__0<0;b:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiV;R_FLG[75XgV}bhhQbuy]230YH]]6929l4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oP9PQHNE]17ZiX`nnS`{w_056[JSS4:4886`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId016?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c999>7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk101;?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c98'Bb>74nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf25(Oi9:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj>1^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi?=3b9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa75W{y|bz<5:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`454=2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh<:<8:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`42*Ag927clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk15/Jj45d3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo=9Q}surlp63;4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf226>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al8=&Ec?heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al83846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId0;.Mk5>3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo=4#Fn01`?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c90Uyy~`t2`8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb6W{y|bz<4:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRH\M^DE`7503ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo> Ga3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa4*AgUX[==:;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg65523ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo><=7;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg64+Nf:30boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj=1,Km56eheWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al;>846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId36.Mk5>3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo>9#Fn01`?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c:=Uyy~`t278jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb5=:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj=5^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi<9349mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa40;11enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi<8-Hl0=>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al;=&Ec?5Q}surlp63m4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf1=Ztt|ye?o5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUM_@QIFe0\vvrwg}9?7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk3258jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb4%@d846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId2/Jj4523ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?==:;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg745?3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?<#Fn2;8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb49$Ce=>m4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf05Ztt|ye?85ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUM_@QIFe117f=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm99S}{pnv01>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al:9846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId21.Mk5>3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?>#Fn01b?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;:'Bb7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk351;?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;='Bb>74nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf00(Oi9:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj<4^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi=:399mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa52%@d856`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId27.Mk74k2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh>;Prrvskq523ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo?;=7;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg73+Nf:30boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj<6,Km56e9"Io30g>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al:=T~~zou16?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c;1937clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWOYFSKHk39/Jj6?>74nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf0<(Oi;:i0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj<8^pppuis;<1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi=63c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa5Xzz~{cy=;;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg06364nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf74(Oi;01enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YA[DUMJi:?-Hl27f=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm>;S}{pnv01>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^DPIZ@Al=;846`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId53.Mk5>3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[CUJWOLo8<#Fn01`?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_GQN[C@c<8Uyy~`t278jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPFRO\BCb3::h0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXNZGTJKj;_sqwtjr4<2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZ@TEVLMh8=;;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSK]B_GDg261:4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTJ^CPFGf;71=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]EWHYANm38;6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVLXARHId8/Jj6>3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQISL]EBa?Xzz~{cy=8;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?335?5586;11enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1??>030<>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m464048:5=?=7;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?335?5586;:20boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:8>:2>0?3772=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j555?5;;2?=8;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?335?5486;>1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1?=>015?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3771;97;8:6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<><8<3<273=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j555?595=>84nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><26>7:4513ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7;;=793?<6:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRQa02048386;?1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf999;1911248jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2062:?689=7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;??939?303>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m4630W`d}=>64nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><56]jjs76;>1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf99>;Rgav31a?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3701Xag|9S^Y?3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQPn1163Zoi~;UX[<=m;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?345\mkp5WZ]9?i5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb==:7^kmr7YT_;UM?i5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb==:7^kmr7YT_;UL?:5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb==95^kmr45?3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7;??Tecx>1258jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2020Ynf88n6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<>8:_hlu6ZUP8:h0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:8:8Qfnw0\WR74j2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8:<>Sd`y2^QT66b3c9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQPn1147Zoi~;UX[?=k;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?361\mkp5WZ]9SK=k;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?361\mkp5WZ]9SJ=8;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSR`?393\mkp6;11enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YXf993=Rgav0303>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m46>6W`d}>>l4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc><80]jjs4X[^:8n6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<>6>_hlu6ZUP9:h0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:842;8jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2722:56VY\<>84nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc>;66>0:4513ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[Zh7=;1811248jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o2722:0689>7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;8;938?15?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l3031;07;8:6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<988<9<173=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j521?525?><4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc>:1815?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_^l314?X[^:8:6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVUe<8?6_RU27==ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]\j5361VY\=RH<8:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRQa043:[VQ6WN9=7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbWVd;9<7PSV002>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m407>WZ]8??5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUTb=;83218jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykP_o263674;2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZYi8<=8>>=4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oTSc>:72107>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^]m436>9:90boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXWg:=;5?<7:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiRaj_scn[ggu9?:0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXzlfT|b}Pci3\mkr\0TUyiaQor]`l4Ynf}Q3QRczx^71[JSS4;4::95ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l4Ynf}Q3QR|jl^rlwZeo9VcexV6R_lw{[04XG\^7>3?PSV255>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^pfhZvh{Vic=RgatZ:^[wckWyexSnf>_hlw_=[Xe|rT9?Q@UU>0:471:2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg1^kmp^>ZW{ogS}a|_bj2[lhsS1WTaxvP53]LQQ:468;::=5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l4Ynf}Q3QR|jl^rlwZeo9VcexV6R_lw{[04XG\^783?94:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiR|jl^rlwZeo9VcexV6R_sgo[uitWjb:Sd`{[9_\ip~X=;UDYY2;>0]PS7073ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf>_hlw_=[XzlfT|b}Pci3\mkr\0TUfyuQ:2^MVP9399?>0boQbel]`kkr(zhgTob`{rnn,vdkXkfd~Rmzr^qweqcXzlfT|b}Pci3\mkr\0TUyiaQor]`l4Ynf}Q3QRczx^71[JSS4<4:S^Y=5g9mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`8UbbyU7]^pfhZvh{Vic=RgatZ:^[hsW<8TCXZ36?40?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_sgo[uitWjb:Sd`{[9_\v`jXxfyToe?PiovX>RAZT=4=[VQ7>91enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh0]jjq]?UVxn`R~`s^ak5Zoi|R2VS`{w_40\KPR;07;=<6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak6Zoi|R2VSkc_qmp[fn5W`dW5SPmtz\26YH]]692<8;;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSkc_qmp[fn5W`dW5SPrdn\tjuXka8TeczT8\]nq}Y1;VE^X1<11^QT43791enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh3]jjq]?UVxn`R~`s^ak6Zoi|R2VS`{w_71\KPR;=7;=86`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak6Zoi|R2VSkc_qmp[fn5W`dW5SPmtz\26YH]]6>2ZWdsS;=POTV?2;YT_9<;7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbW{ogS}a|_bj1[lhsS1WT~hbPpnq\gm4Xag~P4PQbuy]57ZIR\525=;>4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oT~hbPpnq\gm5Xag~P4PQ}em]skvYd`:UbbyU7]^ov|Z13WF__0?0>659mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`:UbbyU7]^pfhZvh{Vic?RgatZ:^[hsW>>TCXZ32?3\WR6192diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg3^kmp^>ZW{ogS}a|_bj0[lhsS1WTaxvP75]LQQ:468;=>6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak7Zoi|R2VSkc_qmp[fn4W`dW5SPmtz\31YH]]682619mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`:UbbyU7]^pfhZvh{Vic?RgatZ:^[hsW>>TCXZ34?350>heWdofSnaat.pbiZehf}xd`"|nm^aljqtXk|xTyo{e^pfhZvh{Vic?RgatZ:^[wckWyexSnf<_hlw_=[Xe|rT;9Q@UU>7:4YT_;<;7clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbW{ogS}a|_bj0[lhsS1WT~hbPpnq\gm5Xag~P4PQbuy]40ZIR\5?5=;:4nc]nahYdgg~$~lcPcnlwvjj(zhgTob`{r^avvZusi}oT~hbPpnq\gm5Xag~P4PQ}em]skvYd`:UbbyU7]^ov|Z13WF__080>_RU11c=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]qaiYwgzUhd>QfnuY;YZtbdVzdRmg3^kmp^>ZWdsS::POTV?2;043ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf<_hlw_=[XzlfT|b}Pci1\mkr\0TUfyuQ84^MVP909WZ];:=5ab^ofiZehf}%ym`Qloovqki)uidUhccz}_bwq[vrf|lUyiaQor]`l6Ynf}Q3QR|jl^rlwZeo;VcexV6R_lw{[22XG\^743?90:la[hcjWjeex"|nm^aljqthd&xjaRm`nup\gptX{}kiR|jl^rlwZeo047?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_sgo[uitWjb?Sd`{[9_\v`jXxfyToe:PiovX2WF__0>0>1043?kdXelgTob`{/scn[fii|{eg#ob_bmmpwYd}{Uxxlzj_sgo[uitWjb?Sd`{[9_\v`jXxfyToe:PiovX0]PS73a3ghTahcPcnlw+wgjWjeexac/scn[fii|{UhyQ|t`vf[wckWyexSnf;_hlw_=[XzlfT|b}Pci6\mkr\0TUfyuQ75^MVP909>:1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh5]jjq]?UVxn`R~`s^ak0Zoi|R2VS`{w_97\KPR;>7UX[=8?;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSkc_qmp[fn3W`dW5SPrdn\tjuXka>TeczT8\]nq}Y?=VE^X1611728jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPrdn\tjuXka?TeczT8\]qaiYwgzUhd8QfnuY;YZkrpV3=SB[[<3<221=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]qaiYwgzUhd8QfnuY;YZtbdVzdRmg5^kmp^>ZWdsS48POTV?6;7X[^:==6`m_lgn[fii|&xjaRm`nuplh*tfeVidby|Pctp\wqgsmVxn`R~`s^ak1Zoi|R2VSkc_qmp[fn2W`dW5SPmtz\=3YH]]682Sd`{[9_\ip~X1?UDYY2<>03225=ijVgnaRm`nu-qehYdgg~yca!}al]`kkruWjyS~zntd]qaiYwgzUhd8QfnuY;YZtbdVzdRmg5^kmp^>ZWdsS48POTV?0;71<2diS`kb_bmmp*tfeVidby|`l.pbiZehf}xTox|PsucwaZtbdVzdRmg5^kmp^>ZW{ogS}a|_bj6[lhsS1WTaxvP97]LQQ:368UX[?8?;o`\i`kXkfd#ob_bmmpwik'{kfSnaats]`qwYt|h~nSkc_qmp[fn2W`dW5SPrdn\tjuXka?TeczT8\]nq}Y>>VE^X1;11768jgYjmdUhccz r`o\gjhszff$~lcPcnlwvZerzVymykPrdn\tjuXka?TeczT8\]qaiYwgzUhd8QfnuY;YZkrpV3=SB[[<4<2[VQ5=o1enRcjm^aljq)uidUhccz}om-qehYdgg~ySn{}_rvbp`YumeU{c~Qlh4]jjq]?UVxn`R~`s^ak1Zoi|R2VS`{w_84\KPR;>7<87clPmdo\gjhs'{kfSnaatsmo+wgjWjeexQlus]ppdrbW{ogS}a|_bj6[lhsS1WT~hbPpnq\gm3Xag~P4PQbuy]:2ZIR\5<5S^Y?619mfZkbeVidby!}al]`kkruge%ym`Qloovq[fsuWz~jxhQ}em]skvYd`heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo9Vcex1>12`9mfZkbeVidby!}al]`kkruge%yiaQor]`l4Ynf}6:2?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkr;:78j7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw8685i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat=6=6d=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`8Ubby2:>3c8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm7Xag~7:349m6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg1^kmp9>9ZWdsS8>RAZT=6=0g=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`8UbbyU7]^pfhZvh{Vic=RgatZ:^[hsW<8TCXZ35?6a?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn6W`dW5SPrdn\tjuXka;TeczT8\]nq}Y2:VE^X1814c9mfZkbeVidby!}al]`kkruge%yiaQor]`l4Ynf}Q3QR|jl^rlwZeo9VcexV6R_lw{[04XG\^743<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj2[lhsW99;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw[5Yu{}zdx>?4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkrX8Vxxx}a{1268jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm7Xag~T_sqwtjr492diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat^3\vvrwg};956`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg1^kmpZ4482diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic=Rgat^0\vvrwg}827clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf>_hlw[6573ghTahcPcnlw+wgjWjeexac/sgo[uitWjb:Sd`{_2]qwqvh|:;0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe?Piov\7Ztt|ye=?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkrX<::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe?Piov\0Ztt|ye>45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh0]jjqY2;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd_hlw[2573ghTahcPcnlw+wgjWjeexac/sgo[uitWjb:Sd`{_6]qwqvh|:;0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe?Piov\3Ztt|ye=?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci3\mkrX0::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe?Piov\l5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh3]jjq:76;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToeheWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:Vcex1=12`9mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}6?2?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkr;=78j7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw8385i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic>Rgat=5=6d=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`;Ubby27>5`8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm4Xag~P4PQ}em]skvYd`;UbbyU7]^ov|Z04WF__0?0;b:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak6Zoi|R2VSkc_qmp[fn5W`dW5SPmtz\26YH]]6?29l4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkr\0TUyiaQor]`l7Ynf}Q3QRczx^40[JSS4<4?n6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg2^kmp^>ZW{ogS}a|_bj1[lhsS1WTaxvP62]LQQ:16=h0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToeRgat^204>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:VcexR>Prrvskq563ghTahcPcnlw+wgjWjeexac/sgo[uitWjb9Sd`{_1]qwqvh|89?7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf=_hlw[5Yu{}zdxR]X23;8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm4Xag~T=>>4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci0\mkrX9Vxxx}a{309mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}U:S}{pnv26<=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`;UbbyQ=319mfZkbeVidby!}al]`kkruge%yiaQor]`l7Ynf}U9S}{pnv1=>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:VcexR=<0:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak6Zoi|V9T~~zou12?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dS>Q}surlp44>3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb9Sd`{_513?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dS9Q}surlp7?heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo:VcexR9<0:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak6Zoi|V=T~~zou12?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dS:Q}surlp44>3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb9Sd`{_913?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn5W`dS5Q}surlp7gl5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh2]jjq:46;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov?0;4f3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{<4<1e>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;Vcex1812`9mfZkbeVidby!}al]`kkruge%yiaQor]`l6Ynf}6<2?o4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkr;07>i7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf<_hlw_=[XzlfT|b}Pci1\mkr\0TUfyuQ84^MVP949QfnuY;YZtbdVzdRmg3^kmp^>ZWdsS::POTV?0;2e3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{[9_\v`jXxfyToe=PiovX>TCXZ36?6a?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn4W`dW5SPrdn\tjuXka9TeczT8\]nq}Y0heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo;VcexR>PrrvskqYT_;827clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf<_hlw[4573ghTahcPcnlw+wgjWjeexac/sgo[uitWjb8Sd`{_0]qwqvh|:;0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov\5Ztt|ye=?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkrX:::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov\6Ztt|ye>45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh2]jjqY4;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd>Qfnu]0[wusxf~8=6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ5Xzz~{cy?=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak7Zoi|V>8<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ2Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj0[lhsW<9;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf<_hlw[0Yu{}zdx?74nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci1\mkrX>::0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe=Piov\2Ztt|ye>45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh2]jjqY0;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd>Qfnu]4[wusxf~8=6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ1Xzz~{cy?=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak7Zoi|V28<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg3^kmpZ>Xzz~{cy1:7gTecz33?0b?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`d090=a:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|5?5>l5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh5]jjq:16;k0boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe:Piov?3;4f3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb?Sd`{<9<7f>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo5`8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm2Xag~P4PQ}em]skvYd`=UbbyU7]^ov|Z>2WF__090;b:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak0Zoi|R2VSkc_qmp[fn3W`dW5SPmtz\<0YH]]6>29l4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci6\mkr\0TUyiaQor]`l1Ynf}Q3QRczx^:6[JSS4?4?n6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg4^kmp^>ZW{ogS}a|_bj7[lhsS1WTaxvP84]LQQ:?6;30boQbel]`kkr(zhgTob`{rnn,v`jXxfyToe:Piov\466TeczP0^pppuis;81enRcjm^aljq)uidUhccz}om-qaiYwgzUhd9Qfnu]3[wusxf~:?95ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh5]jjqY7W{y|bzPSV01=>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb?Sd`{_313?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`dS?Q}surlp7?TeczP3228jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm2Xag~T?R||tqmw74=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`=UbbyQ<_sqwtjr6:01enRcjm^aljq)uidUhccz}om-qaiYwgzUhd9Qfnu]775=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`=UbbyQ;_sqwtjr512diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic8Rgat^704>heWdofSnaat.pbiZehf}xd`"|jl^rlwZeo3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb?Sd`{_713?kdXelgTob`{/scn[fii|{eg#kc_qmp[fn3W`dS;Q}surlp7?TeczP7228jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm2Xag~T;R||tqmw74=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`=UbbyQ8_sqwtjr6:01enRcjm^aljq)uidUhccz}om-qaiYwgzUhd9Qfnu];75=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`=UbbyQ7_sqwtjr5i2diS`kb_bmmp*tfeVidby|`l.pfhZvh{Vic9Rgat=2=6d=ijVgnaRm`nu-qehYdgg~yca!}em]skvYd`>3c8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm3Xag~7>36:7gi7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf:_hlw_=[XzlfT|b}Pci7\mkr\0TUfyuQ66^MVP929ZWdsS48POTV?1;2e3ghTahcPcnlw+wgjWjeexac/sgo[uitWjb>Sd`{[9_\v`jXxfyToe;PiovX45ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh4]jjqY6;91enRcjm^aljq)uidUhccz}om-qaiYwgzUhd8Qfnu]2[wusxf~8=6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg5^kmpZ7Xzz~{cy?=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak1Zoi|V88<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg5^kmpZ4Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj6[lhsW:9;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf:_hlw[6Yu{}zdx>?4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci7\mkrX;Vxxx}a{13;8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm3Xag~T8>>4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci7\mkrX?=5ab^ofiZehf}%ym`Qloovqki)umeU{c~Qlh4]jjqY2W{y|bz=9:la[hcjWjeex"|nm^aljqthd&xn`R~`s^ak1Zoi|V<8<6`m_lgn[fii|&xjaRm`nuplh*tbdVzdRmg5^kmpZ0Xzz~{cy<6;o`\i`kXkfd#ob_bmmpwik'{ogS}a|_bj6[lhsW>9;7clPmdo\gjhs'{kfSnaatsmo+wckWyexSnf:_hlw[2Yu{}zdx>?4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci7\mkrX?Vxxx}a{13;8jgYjmdUhccz r`o\gjhszff$~hbPpnq\gm3Xag~T4>>4nc]nahYdgg~$~lcPcnlwvjj(zlfT|b}Pci7\mkrX0Vxxx}a{8:ld`gsndmh0bdlmld]sefm53gej7bkPr`o\fdta3foT~lcPb`p\KGSA9o1{dt}fc`n\cwirxViggRcnnf-`[40J@s8n7}fvshabhZaug|zToaePm`ld+fjlWohTmxvP212NLYE]OM9;6~gyrk`eiY`zf{Snbd_lcmc*ekcVliSl{w_CWEC4c3ybrdmnl^eqkpvXkeaTyR~}ob18tuu?3yzxSDLZF99stvYu49437}~|_s>2:2=wxzUyS=94pqq\vZ703yzxS}{129qehYdgg~ySn{}_rvbp`0>0;8v`ub|Vmnb#m`nu]145KOr5;92<74rdqfpZabf'idbyQ=01OK~9746830~h}jt^efj+ehf}U9<=CGz=37:4?0:8v`ub|Vmnb#m`nu]145KOr5;5=55}ergw[bci&jeexRtb{l~Tkh`!cnlw[767EAp7;3?7;sgpaqY`mg$hcczP212NL:?6820~h}jt^efj+ehf}U9<=CGz=;=52=umzoSjka.bmmpZ478DBqS=?8;sgpaqY`mg$hcczP212NLY6911yi~k{_fgm*fii|V8;<@Fu_022<>tb{l~Tkh`!cnlw[767EApT=8:pfw`rXold%ob`{_323IM|X9<;<7k|eu]dak(dgg~T>=>BH{]152=umzoSjka.bmmpZ478DBqS>?8;sgpaqY`mg$hcczP212NLY39>1yi~k{_fgm*fii|V8;<@Fu_434?wctm}Ulic loov\656J@sU==:5}ergw[bci&jeexR8:zoj}Yf}}eT=n01`96d0fkrd?=o4>;o62g?0<,=:i69>;;|Qg5?26l399:7?<3`23f?4f>k90_4<6;:k;32c34g<5i?hj7^?n6;4a5?4=9:9j<=l52`4a`>U6j=0=n<4<248276g78k09m;k7;Rf2>3d62;0:?>o?0c81e3c?3m>o57>51;0xWa3=<8n1??85121b45d=:hN6;;1e=o8556607c`23-;i97kja:&2f2<4:<1}X4<1imqXh84;1e8063<6;:k;:19~ 6152?30(<:=:19'516=mo>0(<:>:0;a?g2c13:18l49c785ea}O;oo0(>hm:5f:?_g?2>q>97;9:4591=<2139n6>j5}%1a0?263-9i?7:>e:l75=<73`>oj7>5$25b>1bb3g9<57>4;h6g`?6=,:=j69jj;o14=?7<3`>oo7>5$25b>1bb3g9<57<4;h6gf?6=,:=j69jj;o14=?5<3`>n47>5$25b>1c03g9<57>4;h6f2?6=,:=j69k8;o14=?7<3`>n97>5$25b>1c03g9<57<4;h6f0?6=,:=j69k8;o14=?5<3`>m=7>5$25b>1`73g9<57>4;h6fb?6=,:=j69h?;o14=?7<3`>ni7>5$25b>1`73g9<57<4;h6f`?6=,:=j69h?;o14=?5<3`>mm7>5$25b>1`>3g9<57>4;h6em;7>5$25b>1`>3g9<57<4;h6e2?6=,:=j69h6;o14=?5<3`?;?7>5$25b>0653g9<57>4;h735?6=,:=j68>=;o14=?7<3`?;<7>5$25b>0653g9<57<4;h6eb?6=,:=j68>=;o14=?5<3`5;hga=?6=3`?:>7>5;h735;h73g?6=3`?;i7>5;h724?6=3`;in7>5;h1bf?6=3`n=6=44o5`a>5<#;>k18oo4n25:>5=5<#;>k18oo4n25:>7=54o5`5>5<#;>k18oo4n25:>1=6=4+36c90gg5<#;>k18oo4n25:>3=5<#;>k18oo4n25:>==5<#;>k18oo4n25:>d=5<#;>k18oo4n25:>f=5<#;>k18oo4n25:>`=5<#;>k18oo4n25:>46<3f>io7>5$25b>1df3g9<57?>;:m7f4<72-94;*g:>5<#;>k1il5a36;95>=,m10;6)=8a;gb?k5013807&k8:18'72g=mh1e?:753:9(a3<72-96=4+36c9ad=i;>31965$f583>!50i3oj7c=89;48?.`4290/?:o5e`9m72?=?21 j?4?:%14e?cf3g9<5764;*d2>5<#;>k1il5a36;9=>=,n90;6)=8a;gb?k5013k07&ki:18'72g=mh1e?:75b:9(a`<72-931h65$eb83>!50i3oj7c=89;g8?.c3290/?:o5e`9m72?=n21dik?50;9la``=831d:l650;9lag4=831d=o650;9l173=831 ih<50;9(a`0=831i8=751;394?6|,:li6<9:;I633>N4nl1/8<8540d8 4g22ll87c:>8;38 6e02=n27b?82;29 1712:;o76sm41c95?7=83:p(>hm:523?M27?2B8jh5+404974g<,8k>6hh<;o62?k;:aag`=9321<7>t$2da>42c3A>;;6F6hh<;[1e3?7|=;=1qc:>8;18 6e02=n27do6:18'040=j?10eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76gm0;29 1712k:07d=m0;29 1712:h;7E=id:9j7<5=83.?=;4<929K7cb<3`9j47>5$535>6ee32wiioj51;:94?6|,:li6<:k;I633>N4nl1/=4=53:&753<4j;1C?4>4$0c6>``43S9m;7?t5359yk2603>0(>m8:5f:?lg>290/8<85b798m`6=83.?=;4>8798m6>d290/8<853b;8?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l5e83:1(9?9:2`3?M5al21b?4=50;&753<41:1C?kj4;h1bmm;:aagc=9321<7>t$2da>42c3A>;;6F6hh<;[1e3?7|=;=1qc:>8;78 6e02=n27do6:18'040=j?10eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76gm0;29 1712k:07d=m0;29 1712:h;7E=id:9j7<5=83.?=;4<929K7cb<3`9j47>5$535>6ee32wiii<51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603<0(>m8:5f:?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xdbl:0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>2=#;j=18i74i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}cgge?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<658:&0g2<3l01bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnhjm:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh391027)=l7;6g=>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{emmi1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`f`a<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:c9'7f1=21bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qokke;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e06;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjlnm6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:aa`6=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;g8 6e02=n27do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907plje082>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=991/?n954e;8md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:954=#;j=18i74i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}cgg2?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65139'7f1=21bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qokk7;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e05+3b590a?=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=9=1/?n954e;8md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:950=#;j=18i74i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c76g?7=03:1hj;%3:7?5<,=;=6>l=;I1:4>"6i<0nj>5U3g595~35?3we8<65179'7f1===o0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132c84n4?:%622?5d121d?5o50;&753<49m10e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=9i4>:983>5}#;oh1=9k4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5m8:46f?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9j7=e=83.?=;4f290/8<8530f8?l5e83:1(9?9:2`3?M5al21b?4=50;&753<41:1C?kj4;h1bmm;:a10c=9321<7>t$2da>42b3A>;;6F6hh<;[1e3?7|=;=1qc:>8;3;?!5d?3??i6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76g!26>39i<6F:087>5<7s-9mn7?me:J742=O;oo0(9?9:0`g?!7f=3om?6`;1982=>"4k>0?h45fb383>!26>3;2<65f13a94?"39?0:4k54i2c;>5<#<8<1?lj4;n06`?6=,=;=6>?k;:a5`6=9391<7>t$2da>1613A>;;6Foe:3:1(9?9:0:5?>o6i=0;6):>6;3;2>=h:::7?md:&2e0!26>39:h65rb0g;>4<4290;w)=ib;632>N38>1C?kk4$535>1623-;j97ki3:l75=<6k2.8o:4;d89jf7<72->::7?76:9j5d2=83.?=;4>8798k73c290/8<8530f8?xd6kl0:694?:1y'7cd=9ko0D9>8;I1ea>"39?0:ni5+1`79ac51b>3`h96=4+40495<6<3`;9o7>5$535>4>a32c8m54?:%622?5fl21d>8j50;&753<49m10qo?j9;397?6=8r.8jo4;079K051<@:ln7):>6;631>"6i<0nj>5a40:95`=#;j=18i74ic094?"39?0:4;54i0c7>5<#<8<1=584;n06`?6=,=;=6>?k;:a5a6=93>1<7>t$2da>4db3A>;;6Foe:3:1(9?9:0;3?>o6:j0;6):>6;3;b>=n;h21<7*;1780ea=:283>5}#;oh18=84H524?M5am2.?=;4;049'5d3=mo90b9?7:328 6e02=n27dl=:18'040=91<07d?n4;29 171282=76a=5e83>!26>39:h65rb0f1>4<3290;w)=ib;3aa>N38>1C?kk4$535>4dc3-;j97ki3:l75=<592.8o:4;d89jf7<72->::7?60:9j57e=83.?=;4>8g98m6g?290/8<853`f8?j42l3:1(9?9:23g?>{e9lh1=7=50;2x 6`e2=:=7E:?7:J0b`=#<8<18=;4$0c6>``43g>:47<=;%1`3?2c12ci>7>5$535>4>132c:m94?:%622?7?>21d>8j50;&753<49m10qo?k4;390?6=8r.8jo4>bd9K051<@:ln7):>6;3a`>"6i<0nj>5a40:966=#;j=18i74ic094?"39?0:5=54i00`>5<#<8<1=5h4;h1bok;:m11a<72->::7=>d:9~f4cd28086=4?{%1ef?27>2B?<:5G3gg8 1712=:>7)?n5;ge7>h3910986*6;3;2>=n9h>1<7*;1782<3=:583>5}#;oh1=ok4H524?M5am2.?=;4>be9'5d3=mo90b9?7:378 6e02=n27dl=:18'040=90:07d?=c;29 171282m76g!26>39jh65`24f94?"39?08=i54}c3f`?7=;3:1hj;%622?27=2.:m84jf29m04>=:?1/?n954e;8mg4=83.?=;4>8798m4g3290/8<851948?j42l3:1(9?9:23g?>{e9m21=7:50;2x 6`e28hn7E:?7:J0b`=#<8<1=oj4$0c6>``43g>:47<8;%1`3?2c12ci>7>5$535>4?732c:>n4?:%622?7?n21b?l650;&753<4im10c?;k:18'040=;8n07pl>ed82>6<729q/?kl54148L1603A9mi6*;178740=#9h?1ik=4n53;>7><,:i<69j6;h`1>5<#<8<1=584;h3b0?6=,=;=6<69;:m11a<72->::7=>d:9~f4bf280?6=4?{%1ef?7em2B?<:5G3gg8 17128ho7)?n5;ge7>h3910956*6;3:4>=n9;i1<7*;1782h7>5$535>67c32wi=hh51;194?6|,:li69>9;I633>N4nl1/8<854178 4g22ll87c:>8;0b?!5d?3>o56gm2;29 171282=76g>a583>!26>3;3:65`24f94?"39?08=i54}c3gg?7=<3:1hj;%622?7el2.:m84jf29m04>=:k1/?n954e;8mg4=83.?=;4>9198m44d290/8<8519d8?l5f03:1(9?9:2cg?>i5=m0;6):>6;12`>=zj8l;6<4<:183!5aj3>;:6F;069K7cc<,=;=69>:;%3b1?ca;2d?=54=c:&0g2<3l01bn?4?:%622?7?>21b=l:50;&753<60?10c?;k:18'040=;8n07pl>c382>1<729q/?kl51cg8L1603A9mi6*;1782fa=#9h?1ik=4n53;>7b<,:i<69j6;h`1>5<#<8<1=4>4;h31g?6=,=;=6<6i;:k0e=<72->::7=nd:9l60b=83.?=;4<1e98yg7b93;1?7>50z&0bg<38?1C8=94H2df?!26>3>;96*>a48fb6=i<821>h5+3b590a?h7>5$535>67c32wi=n:51;694?6|,:li6N4nl1/8<851cf8 4g22ll87c:>8;0e?!5d?3>o56gm2;29 171283;76g>2b83>!26>3;3j65f3`:94?"39?08mi54o37g>5<#<8<1?m8:5f:?ld5290/8<851948?l7f<3:1(9?9:0:5?>i5=m0;6):>6;12`>=zj8i=6<4;:183!5aj3;ii6F;069K7cc<,=;=6821b=?m50;&753<60o10e>o7:18'040=;hn07b<:d;29 1712:;o76sm1d195?5=83:p(>hm:525?M27?2B8jh5+4049053<,8k>6hh<;o62::7?76:9l60b=83.?=;4<1e98yg7d03;187>50z&0bg<6jl1C8=94H2df?!26>3;ih6*>a48fb6=i<821?>5+3b590a?5$535>6gc32e99i4?:%622?56l21vn5<7s-9mn7:?6:J742=O;oo0(9?9:526?!7f=3om?6`;19800>"4k>0?h45fb383>!26>3;3:65f1`694?"39?0:4;54o37g>5<#<8<1?m8:5f:?ld5290/8<851828?l75k3:1(9?9:0:e?>o4i10;6):>6;1b`>=h:::7:?5:&2e0hm:525?M27?2B8jh5+4049053<,8k>6hh<;o62::7?76:9l60b=83.?=;4<1e98yg7?;3;1>7>50z&0bg<60;1C8=94H2df?!56k3oi=6*nd;305>"60<08j>5+4049f6=#9h;1=584H0;e?!7f=3om?6*>8580?k2603937)=l7;6g=>o6?00;6):>6;3;2>=h:67?3-326>l>;%3b1?ca;2d?=54<9:&0g2<29=1bi<4>::kf6?7=3`kj6<4G36`8^6`028q>>94r;hc`>4h0V>h8:0y6617`=83:p(>hm:006?M27?2B8jh5Ua9822d=u-9:o7km1:d`1?41/=?l51`c8 45728kj7)?<4;06f>"6;<08j>5+15:970=i;>=1<6*6`43A9nn6*;178260=#1008n<5+1`79ac5o4$2a4>0733A;286F>949lfg<722c84<4>:I14f>h4mj0:76gM5ej21b?h=50;J0fg=>ie13:17d=j1;29L6de32co57>5H2`a?>o4j10;6E=mb:9j``<72A9in65fdc83>M5ej21b?4=51;l0af<632e8ml4?:I1af>=n;1>1=7`odj3;1D>9m;[1e3?7|=881q6gl6;39L61e32coo7>5H2`a?>i4j00;6E=mb:9j7g0=83B8no5G36`8?l5?;3;1b>kl:098ma`=83B8no54i04e>55<5H2`a?>ic:3:1D>lm;:k235<72A9in65f3ed94?N4jk10cn:50;9l7=4=831dnn4?::m2e=<722c:?>4?::m0``<72-957=ld:l11=<032e8hn4?:%14e?5cl2d8;44>;%06=?5dl2d99549;:m0`g<72-957=ld:l11=<232e8hl4?:%14e?5cl2d8;44<;%06=?5dl2d9954;;:m0`<<72-957=ld:l11=<432e8h54?:%14e?5cl2d8;44:;%06=?5dl2d9954=;:m0`2<72-957=ld:l11=<632e8h;4?:%14e?5cl2d8;448;%06=?5dl2d9954?;:a143=938m6=4?{%1ef?75=2B?<:5G3gg8^d>=9r=i6p*<1b8ff4=ak<097cm6:g9mgd<33-ih6<:6;%ag>6e<,jo1>8l4$bd905=#9;31?h94$00a>4gf3-;8<7?na:&271<5=k1/=>;53g18 42?2:?0b>98:19'7fe=9=30(9>>:06:?!7>>39m?6F8;1a?!5d?3?:86F>959K5<354<=831dn44?::k0a4<72A9in65fd883>M5ej21b?o650;J0fg=46;:08m7`e=921boo4>:I14f>\4n>0:w8?=:|9jg3<62A9M5ej21d?o750;J0fg=4>:o1fg?7<3`nm6=4G3c`8?l71n3:1D>lm;:k0a5<72A9in65`b682>>id03:17d=m7;29L6de3A9M5ej21dh?4?:I1af>=n9>:1<7F5<31<6*=5880ga=i:<21;65`3ea94?"4?h08hi5a36;95>"5=008oi5a24:92>=h;mh1<7*<7`80`a=i;>31>6*=5880ga=i:<21965`3ec94?"4?h08hi5a36;97>"5=008oi5a24:90>=h;m31<7*<7`80`a=i;>3186*=5880ga=i:<21?65`3e:94?"4?h08hi5a36;91>"5=008oi5a24:96>=h;m=1<7*<7`80`a=i;>31:6*=5880ga=i:<21=65`3e494?"4?h08hi5a36;93>"5=008oi5a24:94>=zj<>96<47:183!5aj3;?i6F;069K7cc<,8386>5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<4k2.8o:4:2g9je<<72->::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;h1;g?6=,=;=6>m6;:m0::7=>d:9j7g6=83.?=;45$535>6?43A9mh65f3`:94?"39?08oo54}c775?7=03:1hj;%3:7?5<,=;=6>l=;I1:4>"6i<0nj>5U3g595~35?3we8<653e9'7f1==;l0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132c84n4?:%622?5d121d?5o50;&753<49m10e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=8l4>:983>5}#;oh1=9j4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5m8:40e?lg>290/8<85b798m`6=83.?=;4>8798m6>d290/8<853b;8?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l5e83:1(9?9:2`3?M5al21b?4=50;&753<41:1C?kj4;h1bmm;:a11?=9321<7>t$2da>42c3A>;;6F6hh<;[1e3?7|=;=1qc:>8;1e?!5d?3?9j6gn9;29 1712k<07dk?:18'040=91<07d=7c;29 1712:i276a<8`83>!26>39:h65fb183>!26>3h;76g!26>39i<6F5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910?<6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zj>i>6<47:183!5aj3;?i6F;069K7cc<,8386>5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<392.8o:48579je<<72->::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;h1;g?6=,=;=6>m6;:m0::7=>d:9j7g6=83.?=;45$535>6?43A9mh65f3`:94?"39?08oo54}c5`0?7=03:1hj;%3:7?5<,=;=6>l=;I1:4>"6i<0nj>5U3g595~35?3we8<65439'7f1=?<<0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132c84n4?:%622?5d121d?5o50;&753<49m10e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=4>:983>5}#;oh1=9k4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5m8:675?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9j7=e=83.?=;4f290/8<8530f8?l5e83:1(9?9:2`3?M5al21b?4=50;&753<41:1C?kj4;h1bmm;:a<76=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;67?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm80d95?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47::;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi4N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603>=7)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e08n1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a<4d=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;6;?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm80c95?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47:6;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi4<751;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603>j7)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e0821=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a<41=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;6`?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm80495?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47:k;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi4<;51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603>n7)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e08>1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a<45=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;73?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm80095?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;>;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi4?951;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?97)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e0;<1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a<73=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;77?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm83695?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;:;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi4?=51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?=7)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e0;81=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a<77=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;7;?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm80a95?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;6;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi4N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?j7)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e08:1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a3c6=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;7`?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm7dd95?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;k;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi;hk51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?n7)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e?ln1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a3`d=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;43?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm7dc95?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:478>;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi;h751;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603<97)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e?l21=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a3`1=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;47?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm7d495?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:478:;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi;h;51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603<=7)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e?l>1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a3`5=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;4;?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm7d095?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:4786;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi;k951;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e?o<1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a3c3=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;4`?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm7g695?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:478k;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi;k=51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e?o81=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a3c7=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;53?!5d?3=>:6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sm7da95?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:479>;%1`3?12>2cj57>5$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432wi;h?51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603=97)=l7;562>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e?l:1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:a<t$2da>42b3A>;;6F6hh<;[1e3?7|=;=1qc:>8;57?!5d?329o6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76g!26>39i<6F5<7s-9mn7?;e:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910<96*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?l5?k3:1(9?9:2a:?>i40h0;6):>6;12`>=n;k:1<7*;1780f5=O;on07d=63;29 1712:387E=id:9j7d>=83.?=;4>13;147>50z&0bg<6;390(9?9:2`1?M5>82.:m84jf29Y7c1=9r?9;7sa40:933=#;j=14?m4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76g<8b83>!26>39h565`39c94?"39?08=i54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pl7f782>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac520(>m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?n=0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>2?<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;b6<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:6c8 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7f082>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5i0(>m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?mo0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>2b<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;a`<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:6g8 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7ee82>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?mk0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>=7<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;ad<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:908 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7e882>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac50(>m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?nm0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>=3<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;bf<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:948 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7fc82>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?n00:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>=?<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;b=<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:9c8 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7f682>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?m>0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>=b<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;a3<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:9g8 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7c782>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?k=0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;><7<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;g6<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:808 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7c082>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac50(>m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?jo0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;><3<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;f`<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:848 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7be82>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?jk0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>5<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;fd<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:8c8 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7b882>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?km0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>5<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;gf<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:8g8 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7cc82>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?k00:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>d7<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;g=<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:`08 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl7c682>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662=4d3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac50(>m8:90`?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd?j>0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>d3<,:i<655<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;f3<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:`48 6e0218h7do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907pl66g82>=<729q/?kl515g8L1603A9mi6*>9280?!26>39i>6F<919'5d3=mo90V>h8:0y662<673`k26=4+4049f3==nm90;6):>6;3;2>=n;1i1<7*;1780g<=5$535>6d73A9mh65f38194?"39?085>5G3gf8?l5f03:1(9?9:2aa?>{e1?o1=7650;2x 6`e28>n7E:?7:J0b`=#9091?6*;1780f7=O;0:0(5<#<8<1n=54id294?"39?0:4;54i2:`>5<#<8<1?n74;n1;e?6=,=;=6>?k;:k0f5<72->::7=m0:J0ba=!26>39hn65rb84g>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6T891bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3`93o7>5$535>6e>32e84l4?:%622?56l21b?o>50;&753<4j91C?kj4;h1:7?6=,=;=6>7<;I1e`>=n;h21<7*;1780gg=4353A92<6*>a48fb6=];o=1=v;=7;m04>=ih1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9eg=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;`3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65ab9'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo7l7;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=il1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9ec=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;`7?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65b19'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo7l2;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=j;1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9f6=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;ab?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65b59'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo7me;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=j?1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9f2=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;g5?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65b99'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo7k0;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=jh1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9fg=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;``?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65bb9'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo7lc;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=jl1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;43;1:7>50z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9fc=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;af?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65c19'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo7ma;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=k;1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;413;1:7>50z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9g6=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;:3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65c59'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo767;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=k?1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;4<3;1:7>50z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9g2=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;:7?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65c99'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo762;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=kh1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;483;1:7>50z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9gg=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;;b?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65cb9'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo77e;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=kl1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9gc=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;b5?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65d19'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo7n0;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=l;1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;4m3;1:7>50z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9`6=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;:`?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65d59'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo76c;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=l?1/?n959128md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;4>3;1:7>50z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9`2=#;j=15=>4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c;;f?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<65d99'7f1=19:0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qo77a;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=6d53A92<6*>a48fb6=];o=1=v;=7;m04>=lh1/?n959e68md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:k0::7=l9:9l7=g=83.?=;4<1e98m6d7290/8<853c28L6`c32c85>4?:%622?5>;2B8ji54i2c;>5<#<8<1?nl4;|`b07<6210;6=u+3g`951c<@=:<7E=ie:&2=6<43->::7=m2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:e`8 6e020n?7do6:18'040=j?10eo>50;&75321b?5m50;&753<4k010c>6n:18'040=;8n07d=m0;29 1712:h;7E=id:9j7<5=83.?=;4<929K7cb<3`9j47>5$535>6ee32wim9?51;:94?6|,:li6<:j;I633>N4nl1/=4=53:&753<4j;1C?4>4$0c6>``43S9m;7?t5359yk2603nh7)=l7;;g0>of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798m6>d290/8<853b;8?j5?i3:1(9?9:23g?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~fd?b280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198g`>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`;g>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnl7l:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910oj6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjh3i6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fd?>280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198f5>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`;;>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnl78:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910n?6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjh3=6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fd?2280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198f1>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`;7>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnl7<:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910n;6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjh396<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fd?6280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198f=>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`;3>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnlo::085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910nn6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjhk?6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fdg4280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198f`>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`c1>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnlo>:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910nj6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjhk;6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fd?a280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198e5>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`;b>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnl6i:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910m?6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjh2n6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fd0b280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198e1>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`4g>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnl8l:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910m;6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjh5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fd0>280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198e=>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`4;>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnl88:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910mn6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjh<=6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fd02280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198e`>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`47>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tl=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnl8<:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910mj6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjh<96<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6891/?n959e68md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9557<,:i<64j;;hc:>5<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`b25<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:021?!5d?33o86gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876sma6795?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47??3:&0g2<>l=1bm44?:%622?d132ci<7>5$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vnl9;:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910:<95+3b59=a2=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=99?0(>m8:8f7?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xdf?;0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>4613-9h;77k4:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:ae27=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;333>"4k>02h95fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rb`53>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T099'7f1=1m>0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qoo9f;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0=nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{eih7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fd3b280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19824f=#;j=15i:4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c`23?7=03:1hj;%3:7?5<,=;=6>l=;I1:4>"6i<0nj>5U3g595~35?3we8<6511f8 6e02hk37do6:18'040=j?10eo>50;&75321b?5m50;&753<4k010c>6n:18'040=;8n07d=m0;29 1712:h;7E=id:9j7<5=83.?=;4<929K7cb<3`9j47>5$535>6ee32win<851;:94?6|,:li6<:j;I633>N4nl1/=4=53:&753<4j;1C?4>4$0c6>``43S9m;7?t5359yk2603;;i6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?l5?k3:1(9?9:2a:?>i40h0;6):>6;12`>=n;k:1<7*;1780f5=O;on07d=63;29 1712:387E=id:9j7d>=83.?=;450z&0bg<6;390(9?9:2`1?M5>82.:m84jf29Y7c1=9r?9;7sa40:955`<,:i<6lo7;hc:>5<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65f39a94?"39?08o454o2:b>5<#<8<1?l?;I1e`>=n;091<7*;1780=6=O;on07d=n8;29 1712:ii76smb6095?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47?>0:&0g25$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vno9>:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910:=<5+3b59ed>=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=9880(>m8:`c;?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xde>o0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>4743-9h;7on8:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:af3b=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;320>"4k>0jm55fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rbc4`>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T149'7f1=ih20el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qol9b;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0dg?3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{ej?31=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fg0?280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19825<=#;j=1ml64i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c`53?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<6510c8 6e02hk37do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907plm6782>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432win;;51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603;:o6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjk5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<69m1/?n95a`:8md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:954c<,:i<6lo7;hc:>5<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`a3=<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:03e?!5d?3kj46gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876smb6595?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47?=0:&0g25$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vno99:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910:><5+3b59ed>=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=9;80(>m8:`c;?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xde?=0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>4443-9h;7on8:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:af25=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;310>"4k>0jm55fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rbc4f>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T249'7f1=ih20el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qol93;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e0dg?3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{ej=81=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fg26280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19826<=#;j=1ml64i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c`74?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<6513c8 6e02hk37do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907plm3g82>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432win>j51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603;9o6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjk9h6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6:m1/?n95a`:8md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:957c<,:i<6lo7;hc:>5<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`a7d<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:00e?!5d?3kj46gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876smb2;95?0=83:p(>hm:06`?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47?<0:&0g25$535>g6<3`o;6=4+40495=0<3f93m7>5$535>67c32c8;k4?:%622?5e821bh=4?:%622?5>;21vno=7:085>5<7s-9mn7?;c:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910:?<5+3b59ed>=nj90;6):>6;`3?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=9:80(>m8:`c;?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xde;?0:6;4?:1y'7cd=9=i0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>4543-9h;7on8:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54o2:b>5<#<8<1?l?;:kg4?6=,=;=6>7<;:af63=93<1<7>t$2da>42d3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;300>"4k>0jm55fa883>!26>3h=76gm0;29 1712k:07dk?:18'040=91<07b=7a;29 1712:;o76g<7g83>!26>39i<65fd183>!26>392?65rbc17>4<1290;w)=ib;37g>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T349'7f1=ih20el750;&75321bn=4?:%622?d732cn<7>5$535>4>132e84l4?:%622?56l21b?:h50;&753<4j910ei>50;&753<41:10qol;9;392?6=8r.8jo4>4b9K051<@:ln7)?63;18 17128?97E=60:&2e084$2a4>dg?3`k26=4+4049f3==nm90;6):>6;3;2>=h;1k1<7*;17805a=:783>5}#;oh1=9m4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798k6>f290/8<8530f8?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{ej==1=7850;2x 6`e28>h7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:ka4?6=,=;=6o>4;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:k03c<72->::7=m0:9j`5<72->::7=63:9~fg21280=6=4?{%1ef?73k2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19827<=#;j=1ml64i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76a<8`83>!26>39:h65f36d94?"39?08n=54ie294?"39?085>54}c`71?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<6512c8 6e02hk37do6:18'040=j?10eo>50;&75321d?5o50;&753<49m10e>9i:18'040=;k:07dj?:18'040=;0907plm4582>3<729q/?kl515a8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`h;6=4+4049f5=5$535>6d732co<7>5$535>6?432win9=51;494?6|,:li6<:l;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603;8o6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjk9n6<49:183!5aj3;?o6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6;m1/?n95a`:8md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:m0::7=>d:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:956c<,:i<6lo7;hc:>5<#<8<1n;54ic294?"39?0i<65fe183>!26>3;3:65`39c94?"39?08=i54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`a77<62?0;6=u+3g`951e<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:01e?!5d?3kj46gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10c>6n:18'040=;8n07d=8f;29 1712:h;76gk0;29 1712:3876smc2495?5=83:p(>hm:525?M27?2B8jh5+4049053<,8k>6hh<;o62::7?76:9j5d2=83.?=;4>8798k73c290/8<8530f8?xdd:;0:694?:1y'7cd=9ko0D9>8;I1ea>"39?0:ni5+1`79ac5;%1`3?d?92ci>7>5$535>4?732c:>n4?:%622?7?n21b?l650;&753<4im10c?;k:18'040=;8n07pll3g82>6<729q/?kl54148L1603A9mi6*;178740=#9h?1ik=4n53;>4253-9h;7l71:ka6?6=,=;=6<69;:k2e1<72->::7?76:9l60b=83.?=;4<1e98yge5j3;187>50z&0bg<6jl1C8=94H2df?!26>3;ih6*>a48fb6=i<821=9=4$2a4>g>63`h96=4+40495<6<3`;9o7>5$535>4>a32c8m54?:%622?5fl21d>8j50;&753<49m10qom6;631>"6i<0nj>5a40:9512<,:i<6o6>;h`1>5<#<8<1=584;h3b0?6=,=;=6<69;:m11a<72->::7=>d:9~ff4f280?6=4?{%1ef?7em2B?<:5G3gg8 17128ho7)?n5;ge7>h3910:885+3b59f=75$535>6gc32e99i4?:%622?56l21vnn=k:080>5<7s-9mn7:?6:J742=O;oo0(9?9:526?!7f=3om?6`;198203=#;j=1n5?4ic094?"39?0:4;54i0c7>5<#<8<1=584;n06`?6=,=;=6>?k;:ag7?=93>1<7>t$2da>4db3A>;;6F6;3:4>=n9;i1<7*;1782h7>5$535>67c32wio>m51;194?6|,:li69>9;I633>N4nl1/8<854178 4g22ll87c:>8;37<>"4k>0i4<5fb383>!26>3;3:65f1`694?"39?0:4;54o37g>5<#<8<1?27)=l7;`;5>oe:3:1(9?9:0;3?>o6:j0;6):>6;3;b>=n;h21<7*;1780ea=:283>5}#;oh18=84H524?M5am2.?=;4;049'5d3=mo90b9?7:06b?!5d?3h3=6gm2;29 171282=76g>a583>!26>3;3:65`24f94?"39?08=i54}ca13?7=<3:1hj;%622?7el2.:m84jf29m04>=9=h0(>m8:c:2?ld5290/8<851828?l75k3:1(9?9:0:e?>o4i10;6):>6;1b`>=h:::7:?5:&2e0!26>39:h65rbb05>4<3290;w)=ib;3aa>N38>1C?kk4$535>4dc3-;j97ki3:l75=<69198m44d290/8<8519d8?l5f03:1(9?9:2cg?>i5=m0;6):>6;12`>=zjj926<4<:183!5aj3>;:6F;069K7cc<,=;=69>:;%3b1?ca;2d?=54>4d9'7f1=j1;0eo<50;&753<60?10ehm:0`f?M27?2B8jh5+40495gb<,8k>6hh<;o62::7?60:9j57e=83.?=;4>8g98m6g?290/8<853`f8?j42l3:1(9?9:23g?>{ek:21=7=50;2x 6`e2=:=7E:?7:J0b`=#<8<18=;4$0c6>``43g>:47?:0:&0g221b=l:50;&753<60?10c?;k:18'040=;8n07pll2582>1<729q/?kl51cg8L1603A9mi6*;1782fa=#9h?1ik=4n53;>4363-9h;7l71:ka6?6=,=;=6<7?;:k26f<72->::7?7f:9j7d>=83.?=;40:6>4?:1y'7cd=<9<0D9>8;I1ea>"39?0?<85+1`79ac57>5$535>4>132c:m94?:%622?7?>21d>8j50;&753<49m10qom=3;390?6=8r.8jo4>bd9K051<@:ln7):>6;3a`>"6i<0nj>5a40:9505<,:i<6o6>;h`1>5<#<8<1=4>4;h31g?6=,=;=6<6i;:k0e=<72->::7=nd:9l60b=83.?=;4<1e98yge4=3;1?7>50z&0bg<38?1C8=94H2df?!26>3>;96*>a48fb6=i<821=8:4$2a4>g>63`h96=4+40495=0<3`;j87>5$535>4>132e99i4?:%622?56l21vnn<>:087>5<7s-9mn7?me:J742=O;oo0(9?9:0`g?!7f=3om?6`;198210=#;j=1n5?4ic094?"39?0:5=54i00`>5<#<8<1=5h4;h1bok;:m11a<72->::7=>d:9~fg?e28086=4?{%1ef?27>2B?<:5G3gg8 1712=:>7)?n5;ge7>h3910:9;5+3b59f=7h7>5$535>67c32win4o51;194?6|,:li69>9;I633>N4nl1/8<854178 4g22ll87c:>8;363>"4k>0i4<5fb383>!26>3;3:65f1`694?"39?0:4;54o37g>5<#<8<1?oe:3:1(9?9:0;3?>o6:j0;6):>6;3;b>=n;h21<7*;1780ea=:283>5}#;oh18=84H524?M5am2.?=;4;049'5d3=mo90b9?7:07:?!5d?3h3=6gm2;29 171282=76g>a583>!26>3;3:65`24f94?"39?08=i54}c`:1?7=<3:1hj;%622?7el2.:m84jf29m04>=9m8:c:2?ld5290/8<851828?l75k3:1(9?9:0:e?>o4i10;6):>6;1b`>=h:::7:?5:&2e0!26>39:h65rbc;0>4<3290;w)=ib;3aa>N38>1C?kk4$535>4dc3-;j97ki3:l75=<6=j1/?n95b938mg4=83.?=;4>9198m44d290/8<8519d8?l5f03:1(9?9:2cg?>i5=m0;6):>6;12`>=zjkk86<4<:183!5aj3>;:6F;069K7cc<,=;=69>:;%3b1?ca;2d?=54>5e9'7f1=j1;0eo<50;&753<60?10ehm:0`f?M27?2B8jh5+40495gb<,8k>6hh<;o62::7?60:9j57e=83.?=;4>8g98m6g?290/8<853`f8?j42l3:1(9?9:23g?>{ejh81=7=50;2x 6`e2=:=7E:?7:J0b`=#<8<18=;4$0c6>``43g>:47?:f:&0g221b=l:50;&753<60?10c?;k:18'040=;8n07plm8g82>1<729q/?kl51cg8L1603A9mi6*;1782fa=#9h?1ik=4n53;>4073-9h;7l71:ka6?6=,=;=6<7?;:k26f<72->::7?7f:9j7d>=83.?=;44?:1y'7cd=<9<0D9>8;I1ea>"39?0?<85+1`79ac5;%1`3?d?92ci>7>5$535>4>132c:m94?:%622?7?>21d>8j50;&753<49m10qol7d;390?6=8r.8jo4>bd9K051<@:ln7):>6;3a`>"6i<0nj>5a40:9534<,:i<6o6>;h`1>5<#<8<1=4>4;h31g?6=,=;=6<6i;:k0e=<72->::7=nd:9l60b=83.?=;4<1e98ygdf83;1?7>50z&0bg<38?1C8=94H2df?!26>3>;96*>a48fb6=i<821=;=4$2a4>g>63`h96=4+40495=0<3`;j87>5$535>4>132e99i4?:%622?56l21vno6m:087>5<7s-9mn7?me:J742=O;oo0(9?9:0`g?!7f=3om?6`;198221=#;j=1n5?4ic094?"39?0:5=54i00`>5<#<8<1=5h4;h1bok;:m11a<72->::7=>d:9~fg?a28086=4?{%1ef?27>2B?<:5G3gg8 1712=:>7)?n5;ge7>h3910::85+3b59f=7h7>5$535>67c32win5751;694?6|,:li6N4nl1/8<851cf8 4g22ll87c:>8;352>"4k>0i4<5fb383>!26>3;2<65f13a94?"39?0:4k54i2c;>5<#<8<1?lj4;n06`?6=,=;=6>?k;:aft$2da>1613A>;;6F6;3;2>=n9h>1<7*;1782<3=:583>5}#;oh1=ok4H524?M5am2.?=;4>be9'5d3=mo90b9?7:04;?!5d?3h3=6gm2;29 171283;76g>2b83>!26>3;3j65f3`:94?"39?08mi54o37g>5<#<8<1?oe:3:1(9?9:0:5?>o6i=0;6):>6;3;2>=h:::7?md:&2e0!26>39jh65`24f94?"39?08=i54}c`:=?7=;3:1hj;%622?27=2.:m84jf29m04>=9?h0(>m8:c:2?ld5290/8<851948?l7f<3:1(9?9:0:5?>i5=m0;6):>6;12`>=zjk296<4;:183!5aj3;ii6F;069K7cc<,=;=66b9'7f1=j1;0eo<50;&753<61910e<!26>39:h65rbe43>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6T6e9'7f1=j1;0el750;&75321bi=4?:%622?7?>21b?5m50;&753<4k010c>6n:18'040=;8n07dl?:18'040=j910e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=:983>5}#;oh1=9j4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?l5?k3:1(9?9:2a:?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~ff`028036=4?{%1ef?73l2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;19822c=#;j=1n5?4i`;94?"39?0i:65fe183>!26>3;3:65f39a94?"39?08o454o2:b>5<#<8<1?5<#<8<1n=54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pllfd82>=<729q/?kl515f8L1603A9mi6*>9280?!26>39i>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3`93o7>5$535>6e>32e84l4?:%622?56l21bn=4?:%622?d732c8n=4?:%622?5e82B8ji54i2;0>5<#<8<1?4=4H2dg?>o4i10;6):>6;1`f>=zjm:86<47:183!5aj3;?h6F;069K7cc<,8386>5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6?81/?n95b938md?=83.?=;4m6:9ja5<72->::7?76:9j7=e=83.?=;4f290/8<8530f8?ld7290/8<85b198m6d7290/8<853c28L6`c32c85>4?:%622?5>;2B8ji54i2c;>5<#<8<1?nl4;|`g4f<6210;6=u+3g`951b<@=:<7E=ie:&2=6<43->::7=m2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:051?!5d?3h3=6gn9;29 1712k<07dk?:18'040=91<07d=7c;29 1712:i276a<8`83>!26>39:h65fb183>!26>3h;76g!26>39i<6F5<7s-9mn7?;d:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910:;>5+3b59f=7=nm90;6):>6;3;2>=n;1i1<7*;1780g<=!26>392?6F8;I1ea>"61:087):>6;1a6>N4191/=l;5eg18^6`028q>>:4rn53;>4133-9h;7l71:kb=?6=,=;=6o84;hg3>5<#<8<1=584;h1;g?6=,=;=6>m6;:m0::7=>d:9jf5<72->::7l?;:k0f5<72->::7=m0:J0ba=!26>39hn65rbe00>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6T749'7f1=j1;0el750;&75321bi=4?:%622?7?>21b?5m50;&753<4k010c>6n:18'040=;8n07dl?:18'040=j910e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=:983>5}#;oh1=9j4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?l5?k3:1(9?9:2a:?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~fa3428036=4?{%1ef?73l2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;198232=#;j=1n5?4i`;94?"39?0i:65fe183>!26>3;3:65f39a94?"39?08o454o2:b>5<#<8<1?5<#<8<1n=54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07plmd482>6<729q/?kl54148L1603A9mi6*;178740=#9h?1ik=4n53;>41?3-9h;7l71:ka6?6=,=;=6<69;:k2e1<72->::7?76:9l60b=83.?=;4<1e98ygdc<3;1?7>50z&0bg<38?1C8=94H2df?!26>3>;96*>a48fb6=i<821=:74$2a4>g>63`h96=4+40495=0<3`;j87>5$535>4>132e99i4?:%622?56l21vnolk:087>5<7s-9mn7?me:J742=O;oo0(9?9:0`g?!7f=3om?6`;19823d=#;j=1n5?4ic094?"39?0:5=54i00`>5<#<8<1=5h4;h1bok;:m11a<72->::7=>d:9~fgbb28086=4?{%1ef?27>2B?<:5G3gg8 1712=:>7)?n5;ge7>h3910:;o5+3b59f=7h7>5$535>67c32winn851;694?6|,:li6N4nl1/8<851cf8 4g22ll87c:>8;34g>"4k>0i4<5fb383>!26>3;2<65f13a94?"39?0:4k54i2c;>5<#<8<1?lj4;n06`?6=,=;=6>?k;:afab=9391<7>t$2da>1613A>;;6F6;3;2>=n9h>1<7*;1782<3=:583>5}#;oh1=ok4H524?M5am2.?=;4>be9'5d3=mo90b9?7:05f?!5d?3h3=6gm2;29 171283;76g>2b83>!26>3;3j65f3`:94?"39?08mi54o37g>5<#<8<1?oe:3:1(9?9:0:5?>o6i=0;6):>6;3;2>=h:::7?md:&2e0!26>39jh65`24f94?"39?08=i54}c`gf?7=;3:1hj;%622?27=2.:m84jf29m04>=91;0(>m8:c:2?ld5290/8<851948?l7f<3:1(9?9:0:5?>i5=m0;6):>6;12`>=zjki86<4;:183!5aj3;ii6F;069K7cc<,=;=6839'7f1=j1;0eo<50;&753<61910e<!26>39:h65rbcfb>4<4290;w)=ib;632>N38>1C?kk4$535>1623-;j97ki3:l75=<60:1/?n95b938mg4=83.?=;4>8798m4g3290/8<851948?j42l3:1(9?9:23g?>{ejj81=7:50;2x 6`e28hn7E:?7:J0b`=#<8<1=oj4$0c6>``43g>:47?74:&0g2821b=?m50;&753<60o10e>o7:18'040=;hn07b<:d;29 1712:;o76smbe;95?5=83:p(>hm:525?M27?2B8jh5+4049053<,8k>6hh<;o62::7?76:9j5d2=83.?=;4>8798k73c290/8<8530f8?xdek80:694?:1y'7cd=9ko0D9>8;I1ea>"39?0:ni5+1`79ac57>5$535>4?732c:>n4?:%622?7?n21b?l650;&753<4im10c?;k:18'040=;8n07plmd982>6<729q/?kl54148L1603A9mi6*;178740=#9h?1ik=4n53;>4>03-9h;7l71:ka6?6=,=;=6<69;:k2e1<72->::7?76:9l60b=83.?=;4<1e98ygdd83;187>50z&0bg<6jl1C8=94H2df?!26>3;ih6*>a48fb6=i<821=564$2a4>g>63`h96=4+40495<6<3`;9o7>5$535>4>a32c8m54?:%622?5fl21d>8j50;&753<49m10qolk7;397?6=8r.8jo4;079K051<@:ln7):>6;631>"6i<0nj>5a40:95=?<,:i<6o6>;h`1>5<#<8<1=584;h3b0?6=,=;=6<69;:m11a<72->::7=>d:9~fgda280?6=4?{%1ef?7em2B?<:5G3gg8 17128ho7)?n5;ge7>h3910:4l5+3b59f=75$535>6gc32e99i4?:%622?56l21vnoj9:080>5<7s-9mn7:?6:J742=O;oo0(9?9:526?!7f=3om?6`;19825<#<8<1=584;n06`?6=,=;=6>?k;:afgc=93>1<7>t$2da>4db3A>;;6F6;3:4>=n9;i1<7*;1782h7>5$535>67c32wini=51;194?6|,:li69>9;I633>N4nl1/8<854178 4g22ll87c:>8;3;`>"4k>0i4<5fb383>!26>3;3:65f1`694?"39?0:4;54o37g>5<#<8<1?oe:3:1(9?9:0;3?>o6:j0;6):>6;3;b>=n;h21<7*;1780ea=nh4>:983>5}#;oh1=9j4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?l5?k3:1(9?9:2a:?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~f0?228036=4?{%1ef?73m2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;1982=5=#;j=198h4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76g<8b83>!26>39h565`39c94?"39?08=i54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pl:9582>=<729q/?kl515g8L1603A9mi6*>9280?!26>39i>6F<919'5d3=mo90V>h8:0y662;%1`3?32n2cj57>5$535>g0<3`h;6=4+4049f5=5$535>67c32c8n=4?:%622?5e82B8ji54i2;0>5<#<8<1?4=4H2dg?>o4i10;6):>6;1`f>=zj<386<47:183!5aj3;?i6F;069K7cc<,8386>5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<61;1/?n9554d8md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:k0::7=l9:9l7=g=83.?=;4<1e98m6d7290/8<853c28L6`c32c85>4?:%622?5>;2B8ji54i2c;>5<#<8<1?nl4;|`6g6<6210;6=u+3g`951b<@=:<7E=ie:&2=6<43->::7=m2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:0;0?!5d?3?>j6gn9;29 1712k<07dk?:18'040=91<07d=7c;29 1712:i276a<8`83>!26>39:h65fb183>!26>3h;76g!26>39i<6F5<7s-9mn7?;e:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910:595+3b5910`=nj90;6):>6;`3?>ob83:1(9?9:0:5?>o40j0;6):>6;1`=>=h;1k1<7*;17805a=!26>392?6F8;I1ea>"61:087):>6;1a6>N4191/=l;5eg18^6`028q>>:4rn53;>4?23-9h;7;:f:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54i2:`>5<#<8<1?n74;n1;e?6=,=;=6>?k;:k0f5<72->::7=m0:J0ba=!26>39hn65rb4c0>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6T979'7f1==21bn=4?:%622?d732cn<7>5$535>4>132c84n4?:%622?5d121d?5o50;&753<49m10e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=m?4>:983>5}#;oh1=9k4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798m6>d290/8<853b;8?j5?i3:1(9?9:23g?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~f0g628036=4?{%1ef?73m2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;1982===#;j=198h4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76g<8b83>!26>39h565`39c94?"39?08=i54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pl:a182>=<729q/?kl515g8L1603A9mi6*>9280?!26>39i>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`h;6=4+4049f5=5$535>67c32c8n=4?:%622?5e82B8ji54i2;0>5<#<8<1?4=4H2dg?>o4i10;6):>6;1`f>=zj<3m6<47:183!5aj3;?i6F;069K7cc<,8386>5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<61h1/?n9554d8md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:k0::7=l9:9l7=g=83.?=;4<1e98m6d7290/8<853c28L6`c32c85>4?:%622?5>;2B8ji54i2c;>5<#<8<1?nl4;|`6=`<6210;6=u+3g`951c<@=:<7E=ie:&2=6<43->::7=m2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:0;a?!5d?3?>j6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76g!26>39i<6F5<7s-9mn7?;e:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910:5n5+3b5910`=nj90;6):>6;`3?>ob83:1(9?9:0:5?>o40j0;6):>6;1`=>=h;1k1<7*;17805a=!26>392?6F8;I1ea>"61:087):>6;1a6>N4191/=l;5eg18^6`028q>>:4rn53;>4?c3-9h;7;:f:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54i2:`>5<#<8<1?n74;n1;e?6=,=;=6>?k;:k0f5<72->::7=m0:J0ba=!26>39hn65rb4`a>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6T9d9'7f1==21bn=4?:%622?d732cn<7>5$535>4>132c84n4?:%622?5d121d?5o50;&753<49m10e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=nl4>:983>5}#;oh1=9k4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798m6>d290/8<853b;8?j5?i3:1(9?9:23g?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~f0d>28036=4?{%1ef?73m2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;1982e5=#;j=198h4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76g<8b83>!26>39h565`39c94?"39?08=i54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pl:b982>=<729q/?kl515g8L1603A9mi6*>9280?!26>39i>6F<919'5d3=mo90V>h8:0y662;%1`3?32n2cj57>5$535>g0<3`h;6=4+4049f5=5$535>67c32c8n=4?:%622?5e82B8ji54i2;0>5<#<8<1?4=4H2dg?>o4i10;6):>6;1`f>=zj5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6i;1/?n9554d8md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:k0::7=l9:9l7=g=83.?=;4<1e98m6d7290/8<853c28L6`c32c85>4?:%622?5>;2B8ji54i2c;>5<#<8<1?nl4;|`6f3<6210;6=u+3g`951c<@=:<7E=ie:&2=6<43->::7=m2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:0c0?!5d?3?>j6gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76g!26>39i<6F5<7s-9mn7?;d:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910:m95+3b5910`=nm90;6):>6;3;2>=n;1i1<7*;1780g<=!26>392?6F>0:654?:1y'7cd=9=n0D9>8;I1ea>"61:087):>6;1a6>N4191/=l;5eg18^6`028q>>:4rn53;>4g23-9h;7;:f:kb=?6=,=;=6o84;hg3>5<#<8<1=584;h1;g?6=,=;=6>m6;:m0::7=>d:9jf5<72->::7l?;:k0f5<72->::7=m0:J0ba=!26>39hn65rb4da>4<4290;w)=ib;632>N38>1C?kk4$535>1623-;j97ki3:l75=<6i?1/?n955b68mg4=83.?=;4>8798m4g3290/8<851948?j42l3:1(9?9:23g?>{e=ok1=7=50;2x 6`e2=:=7E:?7:J0b`=#<8<18=;4$0c6>``43g>:47?n7:&0g2<2k=1bn?4?:%622?7?>21b=l:50;&753<60?10c?;k:18'040=;8n07pl:e282>1<729q/?kl51cg8L1603A9mi6*;1782fa=#9h?1ik=4n53;>4g?3-9h;7;l4:ka6?6=,=;=6<7?;:k26f<72->::7?7f:9j7d>=83.?=;44?:1y'7cd=<9<0D9>8;I1ea>"39?0?<85+1`79ac57>5$535>4>132c:m94?:%622?7?>21d>8j50;&753<49m10qo;i5;390?6=8r.8jo4>bd9K051<@:ln7):>6;3a`>"6i<0nj>5a40:95dg<,:i<68m;;h`1>5<#<8<1=4>4;h31g?6=,=;=6<6i;:k0e=<72->::7=nd:9l60b=83.?=;4<1e98yg07<3;1?7>50z&0bg<38?1C8=94H2df?!26>3>;96*>a48fb6=i<821=ll4$2a4>0e33`h96=4+40495=0<3`;j87>5$535>4>132e99i4?:%622?56l21vn8h<:087>5<7s-9mn7?me:J742=O;oo0(9?9:0`g?!7f=3om?6`;1982ef=#;j=19n:4ic094?"39?0:5=54i00`>5<#<8<1=5h4;h1bok;:m11a<72->::7=>d:9~f36428086=4?{%1ef?27>2B?<:5G3gg8 1712=:>7)?n5;ge7>h3910:mi5+3b591f2h7>5$535>67c32wi9k?51;694?6|,:li6N4nl1/8<851cf8 4g22ll87c:>8;3ba>"4k>0>o95fb383>!26>3;2<65f13a94?"39?0:4k54i2c;>5<#<8<1?lj4;n06`?6=,=;=6>?k;:a254=9391<7>t$2da>1613A>;;6F6;3;2>=n9h>1<7*;1782<3=ik4>:583>5}#;oh1=ok4H524?M5am2.?=;4>be9'5d3=mo90b9?7:0`3?!5d?3?h86gm2;29 171283;76g>2b83>!26>3;3j65f3`:94?"39?08mi54o37g>5<#<8<1?oe:3:1(9?9:0:5?>o6i=0;6):>6;3;2>=h:::7?md:&2e0!26>39jh65`24f94?"39?08=i54}c434?7=;3:1hj;%622?27=2.:m84jf29m04>=9k90(>m8:4a7?ld5290/8<851948?l7f<3:1(9?9:0:5?>i5=m0;6):>6;12`>=zjb59'7f1==j>0eo<50;&753<61910e<!26>39:h65rb4de>4<4290;w)=ib;632>N38>1C?kk4$535>1623-;j97ki3:l75=<6j<1/?n955b68mg4=83.?=;4>8798m4g3290/8<851948?j42l3:1(9?9:23g?>{e=l31=7:50;2x 6`e28hn7E:?7:J0b`=#<8<1=oj4$0c6>``43g>:47?m6:&0g2<2k=1bn?4?:%622?7>821b=?m50;&753<60o10e>o7:18'040=;hn07b<:d;29 1712:;o76sm5gg95?5=83:p(>hm:525?M27?2B8jh5+4049053<,8k>6hh<;o62::7?76:9j5d2=83.?=;4>8798k73c290/8<8530f8?xd2m>0:694?:1y'7cd=9ko0D9>8;I1ea>"39?0:ni5+1`79ac57>5$535>4?732c:>n4?:%622?7?n21b?l650;&753<4im10c?;k:18'040=;8n07pl:fe82>6<729q/?kl54148L1603A9mi6*;178740=#9h?1ik=4n53;>4d>3-9h;7;l4:ka6?6=,=;=6<69;:k2e1<72->::7?76:9l60b=83.?=;4<1e98yg3b=3;187>50z&0bg<6jl1C8=94H2df?!26>3;ih6*>a48fb6=i<821=oo4$2a4>0e33`h96=4+40495<6<3`;9o7>5$535>4>a32c8m54?:%622?5fl21d>8j50;&753<49m10qo;i9;397?6=8r.8jo4;079K051<@:ln7):>6;631>"6i<0nj>5a40:95gd<,:i<68m;;h`1>5<#<8<1=584;h3b0?6=,=;=6<69;:m11a<72->::7=>d:9~f0c5280?6=4?{%1ef?7em2B?<:5G3gg8 17128ho7)?n5;ge7>h3910:nn5+3b591f25$535>6gc32e99i4?:%622?56l21vn;==:08;>5<7s-9mn7?;d:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910:ni5+3b591f2=nm90;6):>6;3;2>=n;1i1<7*;1780g<=!26>392?6F8;I1ea>"61:087):>6;1a6>N4191/=l;5eg18^6`028q>>:4rn53;>4db3-9h;7;l4:kb=?6=,=;=6o84;hg3>5<#<8<1=584;h1;g?6=,=;=6>m6;:m0::7=>d:9jf5<72->::7l?;:k0f5<72->::7=m0:J0ba=!26>39hn65rb71;>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6Tbg9'7f1==j>0el750;&75321bi=4?:%622?7?>21b?5m50;&753<4k010c>6n:18'040=;8n07dl?:18'040=j910e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=:983>5}#;oh1=9j4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?l5?k3:1(9?9:2a:?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~f32?28036=4?{%1ef?73l2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;1982g4=#;j=19n:4i`;94?"39?0i:65fe183>!26>3;3:65f39a94?"39?08o454o2:b>5<#<8<1?5<#<8<1n=54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pl92882>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wi:?651;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603;h?6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zj?9:6<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6k=1/?n955b68md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:95f3<,:i<68m;;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`56c<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:0a5?!5d?3?h86gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sm63g95?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47?l7:&0g2<2k=1bm44?:%622?d132cn<7>5$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vn;5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910:o55+3b591f2=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=9j30(>m8:4a7?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xd1:k0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>4ef3-9h;7;l4:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:a27g=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;3`f>"4k>0>o95fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rb704>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6Tcb9'7f1==j>0el750;&75321bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qo8=6;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e00e33`k26=4+4049f3=:983>5}#;oh1=9j4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?l5?k3:1(9?9:2a:?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~f30528036=4?{%1ef?73m2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;1982gc=#;j=19n:4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76g<8b83>!26>39h565`39c94?"39?08=i54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pl96082>=<729q/?kl515g8L1603A9mi6*>9280?!26>39i>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`h;6=4+4049f5=5$535>67c32c8n=4?:%622?5e82B8ji54i2;0>5<#<8<1?4=4H2dg?>o4i10;6):>6;1`f>=zj?<;6<47:183!5aj3;?i6F;069K7cc<,8386>5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6l81/?n955b68md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:k0::7=l9:9l7=g=83.?=;4<1e98m6d7290/8<853c28L6`c32c85>4?:%622?5>;2B8ji54i2c;>5<#<8<1?nl4;|`51c<6210;6=u+3g`951c<@=:<7E=ie:&2=6<43->::7=m2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:0f1?!5d?3?h86gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76g!26>39i<6F5<7s-9mn7?;e:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910:h>5+3b591f2=nj90;6):>6;`3?>ob83:1(9?9:0:5?>o40j0;6):>6;1`=>=h;1k1<7*;17805a=!26>392?6F8;I1ea>"61:087):>6;1a6>N4191/=l;5eg18^6`028q>>:4rn53;>4b33-9h;7;l4:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54i2:`>5<#<8<1?n74;n1;e?6=,=;=6>?k;:k0f5<72->::7=m0:J0ba=!26>39hn65rb77`>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6Td49'7f1==j>0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132c84n4?:%622?5d121d?5o50;&753<49m10e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=:983>5}#;oh1=9k4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798m6>d290/8<853b;8?j5?i3:1(9?9:23g?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~f31f28036=4?{%1ef?73m2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;1982`2=#;j=19n:4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76g<8b83>!26>39h565`39c94?"39?08=i54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pl97882>=<729q/?kl515g8L1603A9mi6*>9280?!26>39i>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`h;6=4+4049f5=5$535>67c32c8n=4?:%622?5e82B8ji54i2;0>5<#<8<1?4=4H2dg?>o4i10;6):>6;1`f>=zj?=36<47:183!5aj3;?i6F;069K7cc<,8386>5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6l01/?n955b68md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:k0::7=l9:9l7=g=83.?=;4<1e98m6d7290/8<853c28L6`c32c85>4?:%622?5>;2B8ji54i2c;>5<#<8<1?nl4;|`532<6210;6=u+3g`951c<@=:<7E=ie:&2=6<43->::7=m2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:0fb?!5d?3?h86gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76g!26>39i<6F5<7s-9mn7?;e:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910:ho5+3b591f2=nj90;6):>6;`3?>ob83:1(9?9:0:5?>o40j0;6):>6;1`=>=h;1k1<7*;17805a=!26>392?6F8;I1ea>"61:087):>6;1a6>N4191/=l;5eg18^6`028q>>:4rn53;>4bd3-9h;7;l4:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54i2:`>5<#<8<1?n74;n1;e?6=,=;=6>?k;:k0f5<72->::7=m0:J0ba=!26>39hn65rb7:1>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6Tde9'7f1==j>0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132c84n4?:%622?5d121d?5o50;&753<49m10e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=:983>5}#;oh1=9k4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798m6>d290/8<853b;8?j5?i3:1(9?9:23g?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~f3>728036=4?{%1ef?73m2B?<:5G3gg8 4?42:1/8<853c08L6?73-;j97ki3:X0b2<6s<8<6p`;1982`c=#;j=19n:4i`;94?"39?0i:65fb183>!26>3h;76gj0;29 171282=76g<8b83>!26>39h565`39c94?"39?08=i54i2`3>5<#<8<1?o>4H2dg?>o41:0;6):>6;1:7>N4nm10e>o7:18'040=;jh07pl97g82>=<729q/?kl515g8L1603A9mi6*>9280?!26>39i>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`h;6=4+4049f5=5$535>67c32c8n=4?:%622?5e82B8ji54i2;0>5<#<8<1?4=4H2dg?>o4i10;6):>6;1`f>=zj?=n6<47:183!5aj3;?i6F;069K7cc<,8386>5+40497g4<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<6m81/?n955b68md?=83.?=;4m6:9jf5<72->::7l?;:kf4?6=,=;=6<69;:k0::7=l9:9l7=g=83.?=;4<1e98m6d7290/8<853c28L6`c32c85>4?:%622?5>;2B8ji54i2c;>5<#<8<1?nl4;|`53a<6210;6=u+3g`951c<@=:<7E=ie:&2=6<43->::7=m2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:0g1?!5d?3?h86gn9;29 1712k<07dl?:18'040=j910eh>50;&753<60?10e>6l:18'040=;j307b=7a;29 1712:;o76g!26>39i<6F5<7s-9mn7?;e:J742=O;oo0(<7<:29'040=;k80D>7?;%3b1?ca;2P8j:4>{404>xh3910:i>5+3b591f2=nj90;6):>6;`3?>ob83:1(9?9:0:5?>o40j0;6):>6;1`=>=h;1k1<7*;17805a=!26>392?6F8;I1ea>"61:087):>6;1a6>N4191/=l;5eg18^6`028q>>:4rn53;>4c33-9h;7;l4:kb=?6=,=;=6o84;h`3>5<#<8<1n=54id294?"39?0:4;54i2:`>5<#<8<1?n74;n1;e?6=,=;=6>?k;:k0f5<72->::7=m0:J0ba=!26>39hn65rb757>4N38>1C?kk4$0;0>6=#<8<1?o<4H2;3?!7f=3om?6Te49'7f1==j>0el750;&75321bn=4?:%622?d732cn<7>5$535>4>132c84n4?:%622?5d121d?5o50;&753<49m10e>l?:18'040=;k:0D>hk;:k0=6<72->::7=63:J0ba=4>:983>5}#;oh1=9k4H524?M5am2.:5>4<;%622?5e:2B85=5+1`79ac5of13:1(9?9:c48?ld7290/8<85b198m`6=83.?=;4>8798m6>d290/8<853b;8?j5?i3:1(9?9:23g?>o4j90;6):>6;1a4>N4nm10e>7<:18'040=;090D>hk;:k0e=<72->::7=lb:9~f41028086=4?{%1ef?7fl2B?<:5G3gg8 1712:397)?n5;ge7>"61:0n<6`;1982a2=#;j=18i74i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76a=5e83>!26>39:h65rb47;>4<4290;w)=ib;3b`>N38>1C?kk4$535>6?53-;j97ki3:&2=6<3k2d?=54>e99'7f1===o0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632e99i4?:%622?56l21vn;76:087>5<7s-9mn7?ne:J742=O;oo0(9?9:2;1?!7f=3om?6*>928:<>h3910:i45+3b592<>1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807b<:d;29 1712:;o76sm54295?5=83:p(>hm:0cg?M27?2B8jh5+36a9016<,=;=6>7=;%3b1?ca;2.:5>494:&03a<3<91e8<651dc8 6e02<>n7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921d>8j50;&753<49m10qo;<5;397?6=8r.8jo4>ae9K051<@:ln7)=8c;60b>"39?085?5+1`79ac5<,8386;:4$25g>15a3g>:47?jb:&0g2<2:o1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3f8>h7>5$535>67c32wi9>?51;194?6|,:li6N4nl1/8<853808 4g22ll87)?63;6`?k2603;no6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?j42l3:1(9?9:23g?>{e>0k1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?77>;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj?3m6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>47a:l75=<6ml1/?n9568:8m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=9ll0(>m8:7;;?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:828j17?28l;7)=l7;4:<>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi:l<51;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;;7?k2603;m=6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn;7k:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>928:7>h3910:j?5+3b592<>1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qo8n1;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819=7=i<821=k=4$2a4>3??3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pl99182>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0>=d:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm69495?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?>e3g>:47?i5:&0g2<2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb41g>4<1290;w)=ib;3a4>N38>1C?kk4$25`>15a3->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a165=93<1<7>t$2da>4d73A>;;6F92800>"4?m0?n=5a40:95c1<,:i<685=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd11;0:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,83865h4n53;>4`?3-9h;7;;d:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e>1i1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?76i;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj?2n6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>479:l75=<6nh1/?n9555f8m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:9k:563?k2603;mn6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07pl85d82>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0><32c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm74d95?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7??23g>:47?id:&0g2<0=?1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb643>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<>=2d?=54>fd9'7f1=?<<0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c555?7=>3:1hj;%622?5>:2.:m84jf29'5<5=1<1e8<651gd8 6e02>?=7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?:6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a33b=93<1<7>t$2da>4d73A>;;6F;33<7c:>8;035>"4k>0<9;5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f20b280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:02;6`;198147=#;j=1;884i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg11n3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#90915:5a40:9655<,:i<6:;9;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd0>h0:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,83864k4n53;>7633-9h;79:6:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e??h1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?77j;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj><36<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>46e:l75=<58?1/?n957448m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=:9=0(>m8:675?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:858j17?2;:37)=l7;562>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi;:?51;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;;4?k26038;56*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn:;n:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>928:3>h391091<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qo9:c;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819=2=i<821>=l4$2a4>2313`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pl85c82>0<729q/?kl51`d8L1603A9mi6*<7b873a=#<8<1?4<4$0c6>``43-;2?7?<9:&03a<3?m1e8<6521a8 6e02>?=7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3f8>h7>5$535>67c32wi;8j51;794?6|,:li6N4nl1/?:m546a8 1712:397)?n5;ge7>"61:0:?45+36f902ek;%1`3?12>2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>i5=m0;6):>6;12`>=zj><96<4::183!5aj3;jj6F;069K7cc<,:=h699m;%622?5>:2.:m84jf29'5<5=9:30(>9k:55a?k26038;i6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9l60b=83.?=;4<1e98yg11;3;197>50z&0bg<6io1C8=94H2df?!50k3>45>3-9i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10c?;k:18'040=;8n07pl86482>0<729q/?kl51`d8L1603A9mi6*<7b873f=#<8<1?4<4$0c6>``43-;2?77k;%14`?20k2d?=54=109'7f1=?<<0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=:483>5}#;oh1=lh4H524?M5am2.8;n4;7c9'040=;080(;33o7)=8d;64f>h39109=?5+3b593001<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298k73c290/8<8530f8?xd0>>0:684?:1y'7cd=9hl0D9>8;I1ea>"4?j0?;l5+40497<4<,8k>6hh<;%3:7??c3-9i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?886`;198151=#;j=1;884i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{e?>k1=7;50;2x 6`e28km7E:?7:J0b`=#;>i18;84$535>6?53-;j97ki3:&2=6<5l2.8;i4;679m04>=:8?0(>m8:675?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;n06`?6=,=;=6>?k;:a32>=93<1<7>t$2da>4d73A>;;6F92842>"4?m0?:;5a40:9640<,:i<6:;9;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd0?:0:6>4?:1y'7cd=9hn0D9>8;I1ea>"4?j0?8i5+40497<4<,8k>6hh<;%3:7?74>2.8;i4;4e9m04>=:8=0(>m8:675?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9l60b=83.?=;4<1e98yg10m3;1?7>50z&0bg<6im1C8=94H2df?!26>392>6*>a48fb6=#9091;<5a40:964><,:i<6:;9;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}c56hj;%14g?24<2.?=;4<939'5d3=mo90(<7<:768 61c2=9?7c:>8;02=>"4k>0<9;5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07b<:d;29 1712:;o76sm76a95?5=83:p(>hm:0cg?M27?2B8jh5+36a901b<,=;=6>7=;%3b1?ca;2.:5>4;c:&03a<3?=7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921d>8j50;&753<49m10qo6<4;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819=0=i<821>=4d3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pl73482>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0><35k2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm82495?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7??23g>:47<>d:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb914>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<>=2d?=54=1d9'7f1=0;i0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c:76?7=>3:1hj;%622?5>:2.:m84jf29'5<5=1>1e8<6520d8 6e0218h7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a<12=93<1<7>t$2da>4d73A>;;6F;33<7c:>8;015>"4k>03>n5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f=22280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:02;6`;198167=#;j=14?m4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg>383;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#90915h5a40:9675<,:i<655=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd?<80:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,83864k4n53;>7433-9h;76=c:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e0:o1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?77j;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj19m6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>46e:l75=<5:?1/?n9583a8m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=:;=0(>m8:90`?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:858j17?2;837)=l7;:1g>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi4>>51;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;;4?k26038956*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn5==:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>928:3>h39109>l5+3b59<7e1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qo6<1;391?6=8r.8jo4>ag9K051<@:ln7)=8c;64<>"39?085?5+1`79ac5<,8386<=6;%14`?2002d?=54=2c9'7f1=0;i0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=4>:483>5}#;oh1=lh4H524?M5am2.8;n4;769'040=;080(;3;856*<7e8732=i<821>?m4$2a4>=4d3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?j42l3:1(9?9:23g?>{e0:21=7;50;2x 6`e28km7E:?7:J0b`=#;>i18:84$535>6?53-;j97ki3:&2=6<6;01/?:j54648j17?2;8o7)=l7;:1g>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~f=5>280>6=4?{%1ef?7fn2B?<:5G3gg8 61d2==>7):>6;1:6>"6i<0nj>5+181956?<,:=o699:;o62:87?4;h34g?6=,=;=64o4n537>7=1?65`24f94?"39?08=i54}c:0e?7==3:1hj;%14g?2002.?=;4<939'5d3=mo90(<7<:8f8 61c2==37c:>8;01b>"4k>03>n5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21d>8j50;&753<49m10qo6ag9K051<@:ln7)=8c;643>"39?085?5+1`79ac5<,83864j4$25g>1103g>:47<<0:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=h:9k:555?k260388=6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9l60b=83.?=;4<1e98yg>4l3;197>50z&0bg<6io1C8=94H2df?!50k3><96*;1780=7=#9h?1ik=4$0;0>:87?4;h34g?6=,=;=64o4n537>7=1?65`24f94?"39?08=i54}c:1b?7=<3:1hj;%14g?24;2.?=;4<939'5d3=mo90(<7<:7a8 61c2=987c:>8;007>"4k>03>n5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xd?=90:684?:1y'7cd=9hl0D9>8;I1ea>"4?j0?:85+40497<4<,8k>6hh<;%3:7?4c3-9i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?<1/8<853808 4g22ll87)?63;55?!50l3>=96`;198170=#;j=14?m4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg>313;1?7>50z&0bg<6im1C8=94H2df?!50k3>8n6*;1780=7=#9h?1ik=4$0;0>4513-9i2d?=94>;:m11a<72->::7=>d:9~f=3328086=4?{%1ef?7fl2B?<:5G3gg8 1712:397)?n5;ge7>"61:0<=6`;198172=#;j=14?m4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76a=5e83>!26>39:h65rb90f>4<4290;w)=ib;3b`>N38>1C?kk4$25`>1543->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810c?;k:18'040=;8n07pl75382>6<729q/?kl51`f8L1603A9mi6*;1780=7=#9h?1ik=4$0;0>1e5k2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:878j17?2;9j7)=l7;;34>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi5=751;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;;6?k260388n6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn4>n:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>928:1>h39109?n5+3b59=561<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qo7?b;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819=0=i<821>>j4$2a4><673`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pl61782>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0><1:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm90595?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7??03g>:47<891b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb83;>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<>?2d?=54=419'7f1=19:0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c;2=?7=>3:1hj;%622?5>:2.:m84jf29'5<5=1>1e8<652538 6e020:;7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a=43=93<1<7>t$2da>4d73A>;;6F;33n7c:>8;077>"4k>02<=5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f<75280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:02i6`;198101=#;j=15=>4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg?6;3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#90915h5a40:9613<,:i<64>?;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd>9h0:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,8386494n53;>7213-9h;77?0:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e18h1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?778;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj0:?6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>467:l75=<5<11/?n959128m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=:=30(>m8:823?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:483>5}#;oh1=lh4H524?M5am2.8;n4;759'040=;080(;3;856*<7e8731=i<821>9o4$2a4><673`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?j42l3:1(9?9:23g?>{e19=1=7;50;2x 6`e28km7E:?7:J0b`=#;>i18:=4$535>6?53-;j97ki3:&2=6<6;01/?:j54618j17?2;>i7)=l7;;34>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~f<6d280>6=4?{%1ef?7fn2B?<:5G3gg8 61d2==97):>6;1:6>"6i<0nj>5+181956?<,:=o699=;o62:87?4;h34g?6=,=;=64o4n537>7=1?65`24f94?"39?08=i54}c;3`?7==3:1hj;%14g?2092.?=;4<939'5d3=mo90(<7<:01:?!50l3><=6`;19810a=#;j=15=>4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10c?;k:18'040=;8n07pl60d82>0<729q/?kl51`d8L1603A9mi6*<7b8731=#<8<1?4<4$0c6>``43-;2?77k;%14`?20<2d?=54=4d9'7f1=19:0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=:483>5}#;oh1=lh4H524?M5am2.8;n4;729'040=;080(;33o7)=8d;647>h391098k5+3b59=561<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298k73c290/8<8530f8?xd>990:684?:1y'7cd=9hl0D9>8;I1ea>"4?j0?;?5+40497<4<,8k>6hh<;%3:7??c3-9i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?<=6`;198114=#;j=15=>4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10c?;k:18'040=;8n07pl60282>1<729q/?kl51`g8L1603A9mi6*<7b8777=#<8<1?4<4$0c6>``43-;2?78l;%14`?24:2d?=54=539'7f1=19:0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;n06`?6=,=;=6>?k;:a=72=93?1<7>t$2da>4ga3A>;;6F0(9?9:2;1?!7f=3om?6*>9281`>"4?m0?:95a40:9605<,:i<64>?;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907b<:d;29 1712:;o76sm93095?0=83:p(>hm:0`3?M27?2B8jh5+36a9032<,=;=6>7=;%3b1?ca;2.:5>486:&03a<3>=1e8<652468 6e020:;7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?"4?m0??n5a40:9603<,:i<64>?;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}c;1hj;%622?5>:2.:m84jf29'5<5=?81e8<652448 6e020:;7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921d>8j50;&753<49m10qo7?2;397?6=8r.8jo4>ae9K051<@:ln7)=8c;606>"39?085?5+1`79ac5<,8386;:4$25g>1553g>:47<:7:&0g2<>891b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3f8>h7>5$535>67c32wi5?851;194?6|,:li6N4nl1/?:m545g8 1712:397)?n5;ge7>"61:0?o6*<7e870`=i<821>864$2a4><673`;5$535>5<#<8<15l5a40695>=h:::7=62:&2e0=:<30(>m8:8f7?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:878j17?2;?j7)=l7;;g0>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi5ik51;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;;6?k26038>n6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn4ji:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>928:1>h391099n5+3b59=a21<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qo7ja;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819=2=i<821>8j4$2a4>5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pl6ec82>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0><1:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm9da95?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7??03g>:47<:f:&0g2<>l=1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb8gg>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<>?2d?=54=619'7f1=1m>0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c;f3:1hj;%622?5>:2.:m84jf29'5<5=1l1e8<652738 6e020n?7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a=`0=93<1<7>t$2da>4d73A>;;6F;33n7c:>8;057>"4k>02h95f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f"61:02i6`;198121=#;j=15i:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg?bm3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#90915:5a40:9633<,:i<64j;;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd>mo0:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,8386494n53;>7013-9h;77k4:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e1m21=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?778;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj0nj6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>467:l75=<5>11/?n959e68m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:h39109:45+3b59=a21<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298k73c290/8<8530f8?xd>lk0:684?:1y'7cd=9hl0D9>8;I1ea>"4?j0?:k5+40497<4<,8k>6hh<;%3:7?7412.8;i4;6g9m04>=:?k0(>m8:8f7?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;n06`?6=,=;=6>?k;:a=`6=93?1<7>t$2da>4ga3A>;;6F92827<=#;>n18;j4n53;>70e3-9h;77k4:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rb8g2>4<2290;w)=ib;3bb>N38>1C?kk4$25`>10d3->::7=62:&2e08;05g>"4k>02h95f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21d>8j50;&753<49m10qo7j2;391?6=8r.8jo4>ag9K051<@:ln7)=8c;644>"39?085?5+1`79ac5<,83864j4$25g>1173g>:47<9d:&0g2<>l=1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=h:9k:54e?k26038=i6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9l60b=83.?=;4<1e98yg?b<3;197>50z&0bg<6io1C8=94H2df?!50k3>=h6*;1780=7=#9h?1ik=4$0;0>:87?4;h34g?6=,=;=64o4n537>7=1?65`24f94?"39?08=i54}c;f1?7==3:1hj;%14g?21k2.?=;4<939'5d3=mo90(<7<:8f8 61c2=8;044>"4k>02h95f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21d>8j50;&753<49m10qo7k7;390?6=8r.8jo4>ad9K051<@:ln7)=8c;605>"39?085?5+1`79ac5<,8386;m4$25g>1563g>:47<81:&0g2<>l=1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?;1/8<853808 4g22ll87)?63;0g?!50l3>=>6`;198137=#;j=15i:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10c?;k:18'040=;8n07pl6f782>3<729q/?kl51c28L1603A9mi6*<7b8727=#<8<1?4<4$0c6>``43-;2?799;%14`?21:2d?=54=729'7f1=1m>0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c;e5?7=;3:1hj;%14g?24l2.?=;4<939'5d3=mo90(<7<:015?!50l3>8h6`;198131=#;j=15i:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76a=5e83>!26>39:h65rb8d`>4<4290;w)=ib;3b`>N38>1C?kk4$535>6?53-;j97ki3:&2=6<092d?=54=749'7f1=1m>0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632e99i4?:%622?56l21vn4j9:080>5<7s-9mn7?nd:J742=O;oo0(>9l:512?!26>392>6*>a48fb6=#9091:95+36f9067:87>4;h34f?6=,=;=64o4n537>4=:283>5}#;oh1=lj4H524?M5am2.8;n4;4g9'040=;080(;3>h7)=8d;67b>h39109;:5+3b59=a21<65f16`94?"39?02m6`;1582?>i5=m0;6):>6;12`>=zjhh;6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>465:l75=<5?11/?n95a`:8m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=:>30(>m8:`c;?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:878j17?2;=j7)=l7;cb<>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wimo=51;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;;6?k26038k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vnllj:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>928:3>h39109;n5+3b59ed>1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qoomf;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819=2=i<821>:j4$2a4>dg?3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07plnc182>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0><1:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76smab395?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7??03g>:47<8f:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb```>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<>m2d?=54=819'7f1=ih20e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}cca`?7=>3:1hj;%622?5>:2.:m84jf29'5<5=1l1e8<652938 6e02hk37d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:aegd=93<1<7>t$2da>4d73A>;;6F;33n7c:>8;0;7>"4k>0jm55f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~fde5280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:02;6`;1981<1=#;j=1ml64i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yggd;3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#90915:5a40:96=3<,:i<6lo7;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xdfij0:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,8386494n53;>7>13-9h;7on8:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{eiho1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?778;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zjhko6<4::183!5aj3;jj6F;069K7cc<,:=h698m;%622?5>:2.:m84jf29'5<5=9:30(>9k:54a?k26038346*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9l60b=83.?=;4<1e98yggfn3;197>50z&0bg<6io1C8=94H2df?!50k3>=m6*;1780=7=#9h?1ik=4$0;0>45>3-9i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?01/8<853808 4g22ll87)?63;30=>"4?m0?:45a40:96=g<,:i<6lo7;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907b<:d;29 1712:;o76smac795?3=83:p(>hm:0ce?M27?2B8jh5+36a903><,=;=6>7=;%3b1?ca;2.:5>4>389'72b=7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432e99i4?:%622?56l21vnll9:086>5<7s-9mn7?nf:J742=O;oo0(>9l:54a?!26>392>6*>a48fb6=#90915i5+36f903d:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>i5=m0;6):>6;12`>=zjhh<6<4::183!5aj3;jj6F;069K7cc<,:=h698n;%622?5>:2.:m84jf29'5<5=1m1/?:j547c8j17?2;2o7)=l7;cb<>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~fdd?280>6=4?{%1ef?7fn2B?<:5G3gg8 61d2=<27):>6;1:6>"6i<0nj>5+1819=a=#;>n18;74n53;>7>b3-9h;7on8:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rb``:>4<2290;w)=ib;3bb>N38>1C?kk4$25`>10?3->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432e99i4?:%622?56l21vnlom:087>5<7s-9mn7?ne:J742=O;oo0(>9l:513?!26>392>6*>a48fb6=#9091:n5+36f9066:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}cc`g?7==3:1hj;%14g?2192.?=;4<939'5d3=mo90(<7<:3f8 61c2=<:7c:>8;0:5>"4k>0jm55f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21d>8j50;&753<49m10qoola;392?6=8r.8jo4>b19K051<@:ln7)=8c;655>"39?085?5+1`79ac5<,8386:84$25g>1063g>:47<62:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb`a6>4<4290;w)=ib;3b`>N38>1C?kk4$25`>15b3->::7=62:&2e08;0:7>"4k>0jm55f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07b<:d;29 1712:;o76smae295?5=83:p(>hm:0cg?M27?2B8jh5+36a9007<,=;=6>7=;%3b1?ca;2.:5>481:&03a<3=81e8<652868 6e02hk37d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921d>8j50;&753<49m10qoona;397?6=8r.8jo4>ae9K051<@:ln7)=8c;604>"39?085?5+1`79ac5<,8386;:4$25g>1573g>:47<65:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3f8>h7>5$535>67c32wimnk51;194?6|,:li6N4nl1/?:m54428 1712:397)?n5;ge7>"61:0?o6*<7e8715=i<821>484$2a4>dg?3`;5$535>5<#<8<15l5a40695>=h:::7=62:&2e0o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:afc1=93<1<7>t$2da>4d73A>;;6F;39i7c:>8;0:=>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~fgcc280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:08n6`;1981=d=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98ygdfn3;1:7>50z&0bg<6j91C8=94H2df?!50k3>9i6*;1780=7=#9h?1ik=4$0;0>43<,:=o69j2.8o:4m809j52g=83.?=;46a:l751<732c:;o4?:%622??f3g>:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zjkko6<49:183!5aj3;i<6F;069K7cc<,:=h69:2.:m84jf29'5<5=9<1/?:j543f8j17?2;3h7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32winll51;494?6|,:li6N4nl1/?:m543a8 1712:397)?n5;ge7>"61:0:96*<7e876f=i<821>4j4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07plma882>3<729q/?kl51c28L1603A9mi6*<7b876d=#<8<1?4<4$0c6>``43-;2?7?:;%14`?25i2d?=54=9d9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c`b3?7=>3:1hj;%14g?2512.?=;4<939'5d3=mo90(<7<:078 61c2=827c:>8;0:b>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~ffed280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:02o6`;1981e5=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnnm9:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928ba>h39109m<5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fa24280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0?56`;1981e7=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vni:m:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92812>h39109m>5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fa5e280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:09j6`;1981e1=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vni=i:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>9282e>h39109m85+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~ff27280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0=46`;1981e3=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnokj:086>5<7s-9mn7?nf:J742=O;oo0(9?9:2;1?!7f=3om?6*>9281=>h39109m:5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298k73c290/8<8530f8?xdemo0:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,8386?<4n53;>7g?3-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{ejo;1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7=8;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zjm<86<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4;7:l75=<5ih1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbe4b>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<3?2d?=54=ac9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjjlj6<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4;7:l75=<5ij1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbe22>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<3?2d?=54=ae9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm:=6<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4;7:l75=<5il1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbe2e>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<3?2d?=54=ag9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm;36<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4;7:l75=<5j91/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbe3e>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<3?2d?=54=b09'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm8=6<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4;7:l75=<5j;1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbe10>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<3?2d?=54=b29'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm?36<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4;7:l75=<5j=1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbcd3>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<502d?=54=b49'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}cffa?7=<3:1hj;%622?5>:2.:m84jf29'5<5=>m1e8<652c48 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~fac4280?6=4?{%1ef?7fm2B?<:5G3gg8 1712:397)?n5;ge7>"61:0=h6`;1981f2=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{elm21=7:50;2x 6`e28kn7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?78k;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.?=;4<939'5d3=mo90(<7<:7f8j17?2;h27)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21d>8j50;&753<49m10qojl2;390?6=8r.8jo4>ad9K051<@:ln7):>6;1:6>"6i<0nj>5+18192a=i<821>oo4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rbcd6>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<4>2d?=54=bc9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c`fe?7=?3:1hj;%622?5>:2.:m84jf29'5<5=97`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:07`?k26038ih6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:af`>=93=1<7>t$2da>4d63A>;;6F;3;>o6`;1981f`=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnok8:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92821f=i<821>oh4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98ygdb>3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091=8m4n53;>7e73-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07plme482>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>43d3g>:47i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{ejl>1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?:c:l75=<5k;1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbcg0>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6=j1e8<652b18 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:7?57;294~"4nk0:n<5G4158L6`b3->::7=62:&2e0o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?428?h7c:>8;0`1>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32winhm51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;36g>h39109o;5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fgce280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0:9n5a40:96f1<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qoj8e;397?6=8r.8jo4>ae9K051<@:ln7):>6;1:6>"6i<0nj>5+1819560:87>4;h34f?6=,=;=64o4n537>4=:283>5}#;oh1=lj4H524?M5am2.?=;4<939'5d3=mo90(<7<:015?k26038h56*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?j42l3:1(9?9:23g?>{el1o1=7=50;2x 6`e28ko7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?<6:l75=<5kh1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:m11a<72->::7=>d:9~fa?128086=4?{%1ef?7fl2B?<:5G3gg8 1712:397)?n5;ge7>"61:0:?;5a40:96fd<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}cf:a?7=;3:1hj;%622?5>:2.:m84jf29'5<5=9:<0b9?7:3a`?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810c?;k:18'040=;8n07plmf282>1<729q/?kl51`g8L1603A9mi6*<7b8712=#<8<1?4<4$0c6>``43-;2?7<8;%14`?22?2d?=54=ce9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;n06`?6=,=;=6>?k;:a`gc=93?1<7>t$2da>4ga3A>;;6F9282<>"4?m0?>o5a40:96fc<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907b<:d;29 1712:;o76smd`a95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?7102d?=54=cg9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjmki6<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4>699m04>=:m:0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cfbe?7=?3:1hj;%622?5>:2.:m84jf29'5<5=9?20b9?7:3f2?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:04;?k26038o>6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a`d>=93=1<7>t$2da>4d63A>;;6F;3;=46`;1981`6=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnio::085>5<7s-9mn7?m0:J742=O;oo0(>9l:575?!26>392>6*>a48fb6=#9091=;>4$25g>1313g>:47i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rbe64>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6j2d?=54=d49'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm:j6<4;:183!5aj3;ji6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4=3:l75=<5l?1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wihom51;694?6|,:li6N4nl1/?:m54438 1712:397)?n5;ge7>"61:0:9=5+36f9007:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}cfa`?7=>3:1hj;%14g?25j2.?=;4<939'5d3=mo90(<7<:043?!50l3>9n6`;1981`==#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yge3j3;197>50z&0bg<6io1C8=94H2df?!26>392>6*>a48fb6=#9091=45a40:96a?<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907b<:d;29 1712:;o76sm75a95?2=83:p(>hm:0cf?M27?2B8jh5+36a9006<,=;=6>7=;%3b1?ca;2.:5>4=0:&03a<3=91e8<652ec8 6e02?i<7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~f235280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=k87):>6;1:6>"6i<0nj>5+181965=#;>n18l=4n53;>7be3-9h;78l7:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=h:9k:576?k26038oo6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn88m:087>5<7s-9mn7?ne:J742=O;oo0(>9l:50e?!26>392>6*>a48fb6=#9091?55+36f907`:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}c75g?7==3:1hj;%14g?2182.?=;4<939'5d3=mo90(<7<:2c8 61c2=<;7c:>8;0ga>"4k>0>9k5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21d>8j50;&753<49m10qo;9a;397?6=8r.8jo4>ae9K051<@:ln7)=8c;61b>"39?085?5+1`79ac5<,8386>?4$25g>14a3g>:47i2d?=94?;:k23g<72->::77n;o620?7<3f8>h7>5$535>67c32wi9;751;494?6|,:li6N4nl1/?:m546g8 1712:397)?n5;ge7>"61:0:96*<7e873`=i<821>h>4$2a4>03a3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pl:6e82>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>12;%1`3?32n2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd2k90:684?:1y'7cd=9hl0D9>8;I1ea>"4?j0??85+40497<4<,8k>6hh<;%3:7?373-9i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?896`;1981a6=#;j=198h4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76a=5e83>!26>39:h65rb4:;>4<4290;w)=ib;3b`>N38>1C?kk4$25`>1503->::7=62:&2e08;0f0>"4k>0>9k5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07b<:d;29 1712:;o76sm59c95?5=83:p(>hm:0cg?M27?2B8jh5+36a9061<,=;=6>7=;%3b1?ca;2.:5>4;c:&03a<3;>1e8<652d78 6e028j50;&753<49m10qo;94;390?6=8r.8jo4>ad9K051<@:ln7)=8c;64a>"39?085?5+1`79ac5<,8386?>4$25g>11b3g>:47i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?j96`;1981a2=#;j=19n:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10c?;k:18'040=;8n07pl95082>3<729q/?kl51c28L1603A9mi6*<7b8765=#<8<1?4<4$0c6>``43-;2?7?=;%14`?2582d?=54=e99'7f1==j>0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c7`f?7=>3:1hj;%14g?2202.?=;4<939'5d3=mo90(<7<:01a?!50l3>>46`;1981a<=#;j=19n:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg0283;197>50z&0bg<6io1C8=94H2df?!26>392>6*>a48fb6=#9091;:5a40:96`g<,:i<68m;;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907b<:d;29 1712:;o76sm62595?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?023g>:47i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{e><81=7850;2x 6`e28h;7E:?7:J0b`=#;>i18?>4$535>6?53-;j97ki3:&2=6=:li0(>m8:4a7?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=o44>:483>5}#;oh1=lh4H524?M5am2.8;n4;599'040=;080(;3h39109ii5+3b591f21<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298k73c290/8<8530f8?xd1;o0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386<8:;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm5b495?3=83:p(>hm:0ce?M27?2B8jh5+36a90d3<,=;=6>7=;%3b1?ca;2.:5>4=1:&03a<3i<1e8<652dd8 6e02i2d?=94=;:k23`<72->::77n;o620?5<3f8>h7>5$535>67c32wi:=l51;794?6|,:li6N4nl1/?:m548c8 1712:397)?n5;ge7>"61:0?46*<7e87=d=i<821>k>4$2a4>0e33`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?j42l3:1(9?9:23g?>{e>931=7;50;2x 6`e28km7E:?7:J0b`=#;>i184o4$535>6?53-;j97ki3:&2=6<1;2.8;i4;9`9m04>=:o;0(>m8:4a7?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;n06`?6=,=;=6>?k;:a1`7=93<1<7>t$2da>4d73A>;;6F;3;?:6`;1981b7=#;j=19n:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg3cn3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#9091=984n53;>7`43-9h;7;l4:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e=mn1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?;6:l75=<5n=1/?n955b68m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e07)=l7;7`0>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi9i751;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;372>h39109j;5+3b591f21<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qo;k7;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819510:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm5e795?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?73>2d?=54=f99'7f1==j>0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}c7g7?7=>3:1hj;%622?5>:2.:m84jf29'5<5=9=<0b9?7:3d:?!5d?3?h86g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a1a7=93<1<7>t$2da>4d73A>;;6F;3;?:6`;1981bd=#;j=19n:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg3dn3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#9091=984n53;>7`e3-9h;7;l4:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e=jn1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?;6:l75=<5nj1/?n955b68m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi?5851;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;53?k26038mi6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a7=1=93=1<7>t$2da>4d63A>;;6F;3=;7c:>8;0eb>"4k>0?h45f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wi?5651;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;353>h39108<=5+3b590a?1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fagb280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:0::=5a40:9757<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xdcio0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386<87;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76smdc295?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?7102d?=54<029'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm7=;%3b1?ca;2.:5>4>699m04>=;9>0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cf5b?7=?3:1hj;%622?5>:2.:m84jf29'5<5=9?20b9?7:226?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:043?k26039;:6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vni?;:080>5<7s-9mn7?nd:J742=O;oo0(>9l:5c1?!26>392>6*>a48fb6=#9091;<5+36f90d4>8;%1`3?d?92c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=4>:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:01g?k26039;46*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a`c2=9391<7>t$2da>4gc3A>;;6F92845>"4?m0?>h5a40:975?<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}cfe7?7=?3:1hj;%622?5>:2.:m84jf29'5<5=k2d?=54<0`9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjmo26<4<:183!5aj3;jh6F;069K7cc<,:=h69:2.:m84jf29'5<5=?81/?:j543f8j17?2::i7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098k73c290/8<8530f8?xdcm10:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386n5a40:975e<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qojke;397?6=8r.8jo4>ae9K051<@:ln7)=8c;61g>"39?085?5+1`79ac5<,8386:?4$25g>14d3g>:47=?d:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3f8>h7>5$535>67c32wihij51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;a8j17?2::n7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?9m6`;19804c=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76a=5e83>!26>39:h65rbef1>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6:47=>0:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{elj21=7=50;2x 6`e28ko7E:?7:J0b`=#;>i18?74$535>6?53-;j97ki3:&2=6<092.8;i4;289m04>=;8;0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9l60b=83.?=;4<1e98ygbd?3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091o6`;198057=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vni;?:086>5<7s-9mn7?nf:J742=O;oo0(9?9:2;1?!7f=3om?6*>92827f=i<821?<=4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?j42l3:1(9?9:23g?>{eko:1=7;50;2x 6`e28km7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?:6:l75=<49=1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?42k1e8<653078 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~ffce280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0i7c:>8;123>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wioh651;794?6|,:li6N4nl1/8<853808 4g22ll87)?63;362>h39108=55+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298k73c290/8<8530f8?xddm>0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386o5a40:974?<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qomj4;391?6=8r.8jo4>ag9K051<@:ln7):>6;1:6>"6i<0nj>5+1819500?n;%1`3?d?92c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>i5=m0;6):>6;12`>=zjjo86<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4m;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76smcd295?3=83:p(>hm:0ce?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?72>2d?=54<1b9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:c9m04>=;8n0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}ca`b?7=?3:1hj;%622?5>:2.:m84jf29'5<5=0>1e8<6530g8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=;8l0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=4>:583>5}#;oh1=lk4H524?M5am2.8;n4;499'040=;080(;3;846*<7e870==i<821??>4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rbb37>4<3290;w)=ib;3ba>N38>1C?kk4$25`>12?3->::7=62:&2e037c:>8;115>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xdd990:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?8:5+40497<4<,8k>6hh<;%3:7?7402.8;i4;469m04>=;;80(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vnn?>:087>5<7s-9mn7?ne:J742=O;oo0(>9l:564?!26>392>6*>a48fb6=#9091=>64$25g>1203g>:47==3:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?"4?m0?8;5a40:9772<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zjj:n6<4;:183!5aj3;ji6F;069K7cc<,:=h69:9;%622?5>:2.:m84jf29'5<5=9:20(>9k:565?k26039996*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07pll0`82>1<729q/?kl51`g8L1603A9mi6*<7b8700=#<8<1?4<4$0c6>``43-;2?7?<8:&03a<3<<1e8<653348 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~ff6e280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=>>7):>6;1:6>"6i<0nj>5+181956><,:=o69::;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.8;n4;4`9'040=;080(;3;846*<7e870d=i<821??64$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rbb2;>4<3290;w)=ib;3ba>N38>1C?kk4$25`>12f3->::7=62:&2e0j7c:>8;11=>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xdd8=0:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?845+40497<4<,8k>6hh<;%3:7?7402.8;i4;489m04>=;;k0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vnn>::087>5<7s-9mn7?ne:J742=O;oo0(>9l:56:?!26>392>6*>a48fb6=#9091=>64$25g>12>3g>:47==b:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?"4?m0?895a40:977e<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zjj:96<4;:183!5aj3;ji6F;069K7cc<,:=h69:;;%622?5>:2.:m84jf29'5<5=9:20(>9k:567?k260399h6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07plmfe82>1<729q/?kl51`g8L1603A9mi6*<7b8706=#<8<1?4<4$0c6>``43-;2?7?<8:&03a<3<:1e8<6533g8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~fg`b280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=>87):>6;1:6>"6i<0nj>5+181956><,:=o69:<;o62:87?4;h34g?6=,=;=64o4n537>7=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:2;8j17?2:9;7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wink751;694?6|,:li6N4nl1/?:m54508 1712:397)?n5;ge7>"61:0:?55+36f9014=>;%1`3?d?92c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}c`ee?7=<3:1hj;%14g?23:2.?=;4<939'5d3=mo90(<7<:01;?!50l3>?>6`;198077=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{ejoh1=7850;2x 6`e28h;7E:?7:J0b`=#;>i18894$535>6?53-;j97ki3:&2=6<412.8;i4;569m04>=;:90(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:483>5}#;oh1=lh4H524?M5am2.8;n4;579'040=;080(;3;>46*<7e8713=i<821?>:4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?j42l3:1(9?9:23g?>{elh<1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?98:l75=<4;<1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbe`1>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6>11e8<653248 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?428<37c:>8;10<>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wihoo51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;35<>h39108?45+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fade28086=4?{%1ef?7fl2B?<:5G3gg8 1712:397)?n5;ge7>"61:0:?;5a40:976g<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}ca71?7=?3:1hj;%622?5>:2.:m84jf29'5<5=?:1e8<6532`8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbb6;>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<0;2d?=54<3e9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjj>26<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4:;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm70f95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?073g>:47=1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{e?;:1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?94:l75=<4<91/?n956b58m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rb631>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<182d?=54<409'7f1=>j=0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj>;>6<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4>659m04>=;=80(>m8:7a4?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}c533?7=?3:1hj;%622?5>:2.:m84jf29'5<5=>91e8<653518 6e02?i<7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0?7)=l7;4`3>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?42?:0b9?7:266?!5d?37`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=44>:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:047?k26039?:6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a2cb=93=1<7>t$2da>4d63A>;;6F;3<;7c:>8;173>"4k>0=o:5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wi;=>51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;350>h39108855+3b592f11<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~f3`3280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0=<6`;19800<=#;j=1:n94i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vn;h8:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928221=i<821?9o4$2a4>3e03`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yg0bj3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091:=5a40:971d<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qo8je;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+1819532:l;%1`3?0d?2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd1m;0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386;>4n53;>62c3-9h;78l7:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07pl9e482>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>4033g>:47=;e:&0g2<1k>1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{e>jl1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?76k;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm6e295?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?74i2d?=54<519'7f1=>j=0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj?n96<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>490:l75=<4=81/?n956b58m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rb7f0>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6=01e8<653408 6e02?i<7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?42?:0b9?7:277?!5d?37`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:07:?k26039>96*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a312=93=1<7>t$2da>4d63A>;;6F;3>>7c:>8;162>"4k>0=o:5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wi;9951;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;66?k26039>;6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a31>=93=1<7>t$2da>4d63A>;;6F;3=?7c:>8;16<>"4k>0=o:5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wi;9751;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;42?k26039>56*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn:=l:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92871>h391089l5+3b592f11<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~f25a280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0?96`;19801g=#;j=1:n94i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vn::?:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92840>h391089n5+3b592f11<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~f226280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:0==6`;19801a=#;j=1:n94i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98yg0c?3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091885a40:970c<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qo8ka;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+181900=i<821?8h4$2a4>3e03`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yg0cj3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091;95a40:9736<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qo8kc;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+181924=i<821?;?4$2a4>3e03`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pl82582>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>=08=;%1`3?0d?2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd0:<0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386<;:;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm57g95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?0e3g>:47=94:&0g2<2=o1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{e=>:1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?78m;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm56095?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?0e3g>:47=96:&0g2<2=o1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{e=>>1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?78m;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm56495?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?0e3g>:47=98:&0g2<2=o1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{e=>21=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?78m;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm56c95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?0e3g>:47=9a:&0g2<2=o1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{e>=:1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7:k;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm65395?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?38l;%1`3?3d<2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd1<;0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386<:<;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm65195?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?72<2d?=54<6d9'7f1==j>0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj?>?6<4;:183!5aj3;ji6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>474:l75=<4>o1/?n955b68m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wi:9;51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;77?k26039<<6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a211=93=1<7>t$2da>4d63A>;;6F;3;=:6`;198034=#;j=19n:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vn;:n:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928224=i<821?:<4$2a4>0e33`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yg03j3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091=994n53;>6143-9h;7;l4:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07pl94b82>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>0c9;;%1`3?3d<2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd18;I1ea>"4?j0?945+40497<4<,8k>6hh<;%3:7?153-9i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wi:9k51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;;e?k26039<:6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a251=93?1<7>t$2da>4ga3A>;;6F;3;>:6`;198032=#;j=19n:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10c?;k:18'040=;8n07pl90782>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>=c97;%1`3?3d<2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd2:10:6?4?:1y'7cd=i:1C8=94H2df?!26>3h87)?n5;ge7>\4n>0:w8<8:|l75=<4?01/?n954e;8k73c290/8<8530f8?l7013:1(9?9:0:5?>{e=;81=7<50;2x 6`e2h90D9>8;I1ea>"39?0i?6*>a48fb6=i<821?:o4$2a4>0733Ak?7b<:d;39 1712:;o76g>7882>!26>3;3:65rb43g>4<5290;w)=ib;c0?M27?2B8jh5+4049f6=#9h?1ik=4Z2d4>4}2:=0vb9?7:25a?!5d?3?:86a=5e83>!26>39:h65f16;94?"39?0:4;54}c717?7=:3:1N38>1C?kk4$535>g5<,8k>6hh<;o624>:383>5}#;oh1=5?4H524?M5am2.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=8d:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pl;e382>7<729q/?kl51938L1603A9mi6*;178b6>N61o1/=l?51948 4g22ll87c:>8;14a>"4k>0?h45f16;94?"39?0:4;54o37g>5<#<8<1?6hh<;o628798k73c290/8<8530f8?xd3m90:6?4?:1y'7cd=91;0D9>8;I1ea>"39?0j>6F>9g9'5d7=91<0(31<7*;1782<3=:383>5}#;oh1=5?4H524?M5am2.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=71:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pl;ec82>7<729q/?kl51938L1603A9mi6*;178b6>N61o1/=l?51948 4g22ll87c:>8;1;6>"4k>0?h45f16;94?"39?0:4;54o37g>5<#<8<1?6hh<;o628798k73c290/8<8530f8?xd3m00:6?4?:1y'7cd=91;0D9>8;I1ea>"39?0j>6F>9g9'5d7=91<0(31<7*;1782<3=:383>5}#;oh1=5?4H524?M5am2.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=75:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pl;f582>7<729q/?kl51938L1603A9mi6*;178b6>N61o1/=l?51948 4g22ll87c:>8;1;2>"4k>0?h45f16;94?"39?0:4;54o37g>5<#<8<1?6hh<;o628798k73c290/8<8530f8?xd3n;0:6?4?:1y'7cd=91;0D9>8;I1ea>"39?0j>6F>9g9'5d7=91<0(31<7*;1782<3=:383>5}#;oh1=5?4H524?M5am2.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=79:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pl;fe82>7<729q/?kl51938L1603A9mi6*;178b6>N61o1/=l?51948 4g22ll87c:>8;1;e>"4k>0?h45f16;94?"39?0:4;54o37g>5<#<8<1?6hh<;o628798k73c290/8<8530f8?xd3nk0:6?4?:1y'7cd=91;0D9>8;I1ea>"39?0j>6F>9g9'5d7=91<0(31<7*;1782<3=<:4>:383>5}#;oh1=5?4H524?M5am2.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=7d:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pl:0782>7<729q/?kl51938L1603A9mi6*;178b6>N61o1/=l?51948 4g22ll87c:>8;1;a>"4k>0?h45f16;94?"39?0:4;54o37g>5<#<8<1?6hh<;o628798k73c290/8<8530f8?xd28=0:6?4?:1y'7cd=91;0D9>8;I1ea>"39?0j>6F>9g9'5d7=91<0(31<7*;1782<3=:383>5}#;oh1=5?4H524?M5am2.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=61:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pljb`82>7<729q/?kl51938L1603A9mi6*;178b6>N61o1/=l?51948 4g22ll87c:>8;1:6>"4k>0?h45f16;94?"39?0:4;54o37g>5<#<8<1?6hh<;o62;2.8o:4;d89j52?=83.?=;4>8798k73c290/8<8530f8?xd28k0:6?4?:1y'7cd=91;0D9>8;I1ea>"39?0j>6F>9g9'5d7=91<0(31<7*;1782<3=:383>5}#;oh1=5?4H524?M5am2.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=65:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pl:0g82>7<729q/?kl51938L1603A9mi6*;178b6>N61o1/=l?51948 4g22ll87c:>8;1:2>"4k>0?h45f16;94?"39?0:4;54o37g>5<#<8<1?6hh<;o62?2.8o:4;d89j52?=83.?=;4>8798k73c290/8<8530f8?xd6jj0:6?4?:1y'7cd=91;0D9>8;I1ea>"39?0j>6F>9g9'5d7=91<0(31<7*;1782<3=:383>5}#;oh1=5?4H524?M5am2.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=69:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07plk7;396?6=8r.8jo4>809K051<@:ln7):>6;c1?M7>n2.:m<4>879'5d3=mo90b9?7:2;b?!5d?3>o56g>7883>!26>3;3:65`24f94?"39?08=i54}c333?6=<3818v*hj;%3b1?ca;2d?=54<9c9'7f1=:081>5<7s-9mn7?71:&7536hh<;o62k2.8o:4;d89j52?=83.?=;4>8798k73c290/8<8530f8?xd49>0:6>4?:1y'7cd=;8=0(9?9:2d2?M7>n2.:m<4<1e9'5d3=mo90b9?7:2;g?!5d?3>o56g!26>3n37E:>5:9j52?=83.?=;4>8798k73c290C?k<4$535>67c32wx?h650;0xZ6c?349:;7=j8:p52?=838pR<96;<123?7012wx>8j50;0xZ73c34;3=7<:d:p55<5<<#83h6=44b0:2>4<5290;w)=ib;3;5>"39?0j>6F>9g9'5d7=91<0(31<7*;1782<3=:283>5}#;oh1?<94$535>6`63A;2j6*>a0805a=#9h?1ik=4n53;>6g73-9h;7:k9:k0a=<72->::7j7;I621>=n9>31<7*;1782<3=7;1f<>{t9>31<7{t90i1<7=t^0;`?87?93;<563<16811a=zuk;;=7>54;090~"4nk0:5i5G4158L6`b3-;j97ki3:l75=<4i81/?n954e;8m41>2900e>k7:188k73c2900'<7l:188f4>628096=4?{%1ef?7?92.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=n2:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pl<1682>6<729q/?kl53058 1712:l:7E?6f:&2e4<49m1/=l;5eg18j17?2:k87)=l7;6g=>o4m10;6):>6;f;?M26=21b=:750;&753<60?10c?;k:18K7c4<,=;=6>?k;:p7`>=838pR>k7;<123?5b02wx=:750;0xZ41>349:;7?89:p60b=838pR?;k;<3;5?42l2wx=4m50;1xZ4?d34;3=7?89:?052<5=m1vqohj:187>7<3s-9mn7?6d:J742=O;oo0(31<75f3d:94?=h:6;c1?M7>n2.:m<4>879'5d3=mo90b9?7:2c6?!5d?3>o56g>7883>!26>3;3:65`24f94?"39?08=i54}c123?7=;3:1a48fb6=i<821?l84$2a4>1b>3`9n47>5$535>a><@=;>76g>7883>!26>3;3:65`24f94?N4n;1/8<8530f8?xu4m10;6?uQ3d:896702:o37p}>7883>7}Y9>301>?8:05:?xu5=m0;6?uQ24f894>62;?o7p}>9b83>6}Y90i01<6>:05:?856?38>h6srbg`94?2=:3>p(>hm:0;g?M27?2B8jh5+1`79ac5o8;%1`3?2c12c:;44?::k0a=<722e99i4?::)2=f<722h:4<4>:383>5}#;oh1=5?4$535>d4<@83m7)?n1;3;2>"6i<0nj>5a40:97d><,:i<69j6;h34=?6=,=;=6<69;:m11a<72->::7=>d:9~f67028086=4?{%1ef?56?2.?=;4?k;%3b1?ca;2d?=54k7:18'040=l11C8<;4;h34=?6=,=;=6<69;:m11a<72A9m>6*;17805a=52z\0a==:;8=1?h64}r34=?6=:rT:;452305952?h7>52z\11a=:91;1>8j4}r3:g?6=;rT:5n52193952?<5:;<6?;k;|ab=<72=0969u+3g`95k3:17o?71;396?6=8r.8jo4>809'040=i;1C=4h4$0c2>4>13-;j97ki3:l75=<4ik1/?n954e;8m41>290/8<851948?j42l3:1(9?9:23g?>{e;8=1=7=50;2x 6`e2:;<7):>6;1e5>N61o1/=l?530f8 4g22ll87c:>8;1bg>"4k>0?h45f3d:94?"39?0o46F;1498m41>290/8<851948?j42l3:1D>h=;%622?56l21v>k7:181[5b0278=:42909wS?89:?052<6?01v?;k:181[42l27:4<4=5e9~w4?d2908wS?6c:?2<4<6?016?<9524f8yxd6:;0;694=:5y'7cd=90n0D9>8;I1ea>"6i<0nj>5a40:97db<,:i<69j6;h34=?6=3`9n47>5;n06`?6=3";2o7>5;c3;5?7=:3:1h39108mh5+3b590a?h7>5$535>67c32wi?<951;194?6|,:li6>?8;%622?5a92B:5k5+1`3974b<,8k>6hh<;o62=83.?=;4k8:J750=h7>5H2d1?!26>39:h65rs2g;>5<5sW9n463<1680a==z{8=26=4={_34=>;49>0:;45rs37g>5<5sW8>h63>80811a=z{83h6=4<{_3:g>;6080:;452305960b1}#;oh1=4j4H524?M5am2.:m84jf29m04>=;k:0(>m8:5f:?l7013:17d=j8;29?j42l3:17&?6c;29?g7?93;1>7>50z&0bg<6081/8<85a39K5<`<,8k:6<69;%3b1?ca;2d?=54hm:234?!26>39m=6F>9g9'5d7=;8n0(6*N39<10e<96:18'040=91<07b<:d;29L6`53->::7=>d:9~w6c?2909wS=j8:?052<4m11v<96:181[701278=:4>789~w73c2909wS<:d:?2<4<5=m1v<7l:180[7>k27:4<4>789>741=:1b83>1<52=q/?kl518f8L1603A9mi6*>a48fb6=i<821?o=4$2a4>1b>3`;<57>5;h1fh7>5;*3:g?6=3k;3=7?52;294~"4nk0:4<5+4049e7=O90l0(:0:5?!7f=3om?6`;1980f1=#;j=18i74i05:>5<#<8<1=584;n06`?6=,=;=6>?k;:a741=9391<7>t$2da>6703->::7=i1:J2=c=#9h;1?``43g>:47=m5:&0g2<3l01b?h650;&7535<#<8<1=584;n06`?6=@:l97):>6;12`>=z{:o36=4={_1f<>;49>08i55rs05:>5<5sW;<563<16823<=z{;?o6=4={_06`>;608099i5rs0;`>5<4sW;2o63>80823<=:;8=1>8j4}|`25<<72=0969u+3g`95k3:17o?71;396?6=8r.8jo4>809'040=i;1C=4h4$0c2>4>13-;j97ki3:l75=<4j>1/?n954e;8m41>290/8<851948?j42l3:1(9?9:23g?>{e;8=1=7=50;2x 6`e2:;<7):>6;1e5>N61o1/=l?530f8 4g22ll87c:>8;1a<>"4k>0?h45f3d:94?"39?0o46F;1498m41>290/8<851948?j42l3:1D>h=;%622?56l21v>k7:181[5b0278=:42909wS?89:?052<6?01v?;k:181[42l27:4<4=5e9~w4?d2908wS?6c:?2<4<6?016?<9524f8yxd69?0;694=:5y'7cd=90n0D9>8;I1ea>"6i<0nj>5a40:97g?<,:i<69j6;h34=?6=3`9n47>5;n06`?6=3";2o7>5;c3;5?7=:3:1h39108nl5+3b590a?h7>5$535>67c32wi?<951;194?6|,:li6>?8;%622?5a92B:5k5+1`3974b<,8k>6hh<;o62=83.?=;4k8:J750=h7>5H2d1?!26>39:h65rs2g;>5<5sW9n463<1680a==z{8=26=4={_34=>;49>0:;45rs37g>5<5sW8>h63>80811a=z{83h6=4<{_3:g>;6080:;452305960b4?:581>1}#;oh1=4j4H524?M5am2.:m84jf29m04>=;ki0(>m8:5f:?l7013:17d=j8;29?j42l3:17&?6c;29?g7?93;1>7>50z&0bg<6081/8<85a39K5<`<,8k:6<69;%3b1?ca;2d?=54hm:234?!26>39m=6F>9g9'5d7=;8n0(N39<10e<96:18'040=91<07b<:d;29L6`53->::7=>d:9~w6c?2909wS=j8:?052<4m11v<96:181[701278=:4>789~w73c2909wS<:d:?2<4<5=m1v<7l:180[7>k27:4<4>789>741=:1183>1<52=q/?kl518f8L1603A9mi6*>a48fb6=i<821?oh4$2a4>1b>3`;<57>5;h1fh7>5;*3:g?6=3k;3=7?52;294~"4nk0:4<5+4049e7=O90l0(:0:5?!7f=3om?6`;1980g5=#;j=18i74i05:>5<#<8<1=584;n06`?6=,=;=6>?k;:a741=9391<7>t$2da>6703->::7=i1:J2=c=#9h;1?``43g>:47=l1:&0g2<3l01b?h650;&7535<#<8<1=584;n06`?6=@:l97):>6;12`>=z{:o36=4={_1f<>;49>08i55rs05:>5<5sW;<563<16823<=z{;?o6=4={_06`>;608099i5rs0;`>5<4sW;2o63>80823<=:;8=1>8j4}|`24a<72=0969u+3g`95k3:17o?71;396?6=8r.8jo4>809'040=i;1C=4h4$0c2>4>13-;j97ki3:l75=<4k:1/?n954e;8m41>290/8<851948?j42l3:1(9?9:23g?>{e;8=1=7=50;2x 6`e2:;<7):>6;1e5>N61o1/=l?530f8 4g22ll87c:>8;1`0>"4k>0?h45f3d:94?"39?0o46F;1498m41>290/8<851948?j42l3:1D>h=;%622?56l21v>k7:181[5b0278=:42909wS?89:?052<6?01v?;k:181[42l27:4<4=5e9~w4?d2908wS?6c:?2<4<6?016?<9524f8yxd68h0;694=:5y'7cd=90n0D9>8;I1ea>"6i<0nj>5a40:97f3<,:i<69j6;h34=?6=3`9n47>5;n06`?6=3";2o7>5;c3;5?7=:3:1h39108o;5+3b590a?h7>5$535>67c32wi?<951;194?6|,:li6>?8;%622?5a92B:5k5+1`3974b<,8k>6hh<;o62=83.?=;4k8:J750=h7>5H2d1?!26>39:h65rs2g;>5<5sW9n463<1680a==z{8=26=4={_34=>;49>0:;45rs37g>5<5sW8>h63>80811a=z{83h6=4<{_3:g>;6080:;452305960b54;090~"4nk0:5i5G4158L6`b3-;j97ki3:l75=<4k11/?n954e;8m41>2900e>k7:188k73c2900'<7l:188f4>628096=4?{%1ef?7?92.?=;4n2:J2=c=#9h;1=584$0c6>``43g>:47=l9:&0g2<3l01b=:750;&753<60?10c?;k:18'040=;8n07pl<1682>6<729q/?kl53058 1712:l:7E?6f:&2e4<49m1/=l;5eg18j17?2:ij7)=l7;6g=>o4m10;6):>6;f;?M26=21b=:750;&753<60?10c?;k:18K7c4<,=;=6>?k;:p7`>=838pR>k7;<123?5b02wx=:750;0xZ41>349:;7?89:p60b=838pR?;k;<3;5?42l2wx=4m50;1xZ4?d34;3=7?89:?052<5=m1vqokj3;290?4=9e9K051<@:ln7)?n5;ge7>h39108oo5+3b590a?5<5<N61o1/=l?51948 4g22ll87c:>8;1`g>"4k>0?h45f16;94?"39?0:4;54o37g>5<#<8<1?h>;I3:b>"6i808=i5+1`79ac5mk;%1`3?2c12c8i54?:%622?b?3A>:965f16;94?"39?0:4;54o37g>5{t;l21<77;34=>{t:h6s|18a94?5|V83h70?71;34=>;49>099i5r}cgf3?6=<3818v*hj;%3b1?ca;2d?=54:081>5<7s-9mn7?71:&7536hh<;o628798k73c290/8<8530f8?xd49>0:6>4?:1y'7cd=;8=0(9?9:2d2?M7>n2.:m<4<1e9'5d3=mo90b9?7:2f3?!5d?3>o56g!26>3n37E:>5:9j52?=83.?=;4>8798k73c290C?k<4$535>67c32wx?h650;0xZ6c?349:;7=j8:p52?=838pR<96;<123?7012wx>8j50;0xZ73c34;3=7<:d:p57>50z&0bg<49?1C8=94H2df?!26>3k97E?6f:&2e4<49m1/=l;5eg18j17?2:n:7)=l7;6g=>o6?00;6):>6;3;2>=h:hh7?52;294~"4nk08=;5G4158L6`b3->::7o=;I3:b>"6i808=i5+1`79ac5j=;%1`3?2c12c:;44?:%622?7?>21d>8j50;&753<49m10qo:lc;396?6=8r.8jo4<179K051<@:ln7):>6;c1?M7>n2.:m<4<1e9'5d3=mo90b9?7:2f0?!5d?3>o56g>7883>!26>3;3:65`24f94?"39?08=i54}c6`f?7=:3:1hj;%622?g53A;2j6*>a0805a=#9h?1ik=4n53;>6b33-9h;7:k9:k23<<72->::7?76:9l60b=83.?=;4<1e98yg2di3;1>7>50z&0bg<49?1C8=94H2df?!26>3k97E?6f:&2e4<49m1/=l;5eg18j17?2:n>7)=l7;6g=>o6?00;6):>6;3;2>=h:h57?52;294~"4nk08=;5G4158L6`b3->::7o=;I3:b>"6i808=i5+1`79ac5j9;%1`3?2c12c:;44?:%622?7?>21d>8j50;&753<49m10qo:l8;396?6=8r.8jo4<179K051<@:ln7):>6;c1?M7>n2.:m<4<1e9'5d3=mo90b9?7:2f4?!5d?3>o56g>7883>!26>3;3:65`24f94?"39?08=i54}c6`3?7=:3:1hj;%622?g53A;2j6*>a0805a=#9h?1ik=4n53;>6b?3-9h;7:k9:k23<<72->::7?76:9l60b=83.?=;4<1e98yg2d>3;1>7>50z&0bg<49?1C8=94H2df?!26>3k97E?6f:&2e4<49m1/=l;5eg18j17?2:n27)=l7;6g=>o6?00;6):>6;3;2>=h:o;7?52;294~"4nk08=;5G4158L6`b3->::7o=;I3:b>"6i808=i5+1`79ac5jn;%1`3?2c12c:;44?:%622?7?>21d>8j50;&753<49m10qo:k6;396?6=8r.8jo4<179K051<@:ln7):>6;c1?M7>n2.:m<4<1e9'5d3=mo90b9?7:2fa?!5d?3>o56g>7883>!26>3;3:65`24f94?"39?08=i54}c6g1?7=:3:1hj;%622?g53A;2j6*>a0805a=#9h?1ik=4n53;>6bd3-9h;7:k9:k23<<72->::7?76:9l60b=83.?=;4<1e98yg2c<3;1>7>50z&0bg<49?1C8=94H2df?!26>3k97E?6f:&2e4<49m1/=l;5eg18j17?2:no7)=l7;6g=>o6?00;6):>6;3;2>=h:o?7?52;294~"4nk08=;5G4158L6`b3->::7o=;I3:b>"6i808=i5+1`79ac5jj;%1`3?2c12c:;44?:%622?7?>21d>8j50;&753<49m10qo:k2;396?6=8r.8jo4<179K051<@:ln7):>6;c1?M7>n2.:m<4<1e9'5d3=mo90b9?7:2fe?!5d?3>o56g>7883>!26>3;3:65`24f94?"39?08=i54}c6g5?7=:3:1hj;%622?g53A;2j6*>a0805a=#9h?1ik=4n53;>6c73-9h;7:k9:k23<<72->::7?76:9l60b=83.?=;4<1e98yg2c83;1>7>50z&0bg<49?1C8=94H2df?!26>3k97E?6f:&2e4<49m1/=l;5eg18j17?2:o:7)=l7;6g=>o6?00;6):>6;3;2>=h:hj7?52;294~"4nk08=;5G4158L6`b3->::7o=;I3:b>"6i808=i5+1`79ac5k=;%1`3?2c12c:;44?:%622?7?>21d>8j50;&753<49m10qo:l5;396?6=8r.8jo4<179K051<@:ln7):>6;c1?M7>n2.:m<4<1e9'5d3=mo90b9?7:2g0?!5d?3>o56g>7883>!26>3;3:65`24f94?"39?08=i54}cge6?7=:3:1hj;%622?g53A;2j6*>a0805a=#9h?1ik=4n53;>6c33-9h;7:k9:k23<<72->::7?76:9l60b=83.?=;4<1e98ygca83;1>7>50z&0bg<49?1C8=94H2df?!26>3k97E?6f:&2e4<49m1/=l;5eg18j17?2:o>7)=l7;6g=>o6?00;6):>6;3;2>=h:::7o=;I3:b>"6i808=i5+1`79ac5k9;%1`3?2c12c:;44?:%622?7?>21d>8j50;&753<49m10qokm3;396?6=8r.8jo4<179K051<@:ln7):>6;c1?M7>n2.:m<4<1e9'5d3=mo90b9?7:2g4?!5d?3>o56g>7883>!26>3;3:65`24f94?"39?08=i54}c3a=?7=:3:1hj;%622?g53A;2j6*>a0805a=#9h?1ik=4n53;>6c?3-9h;7:k9:k23<<72->::7?76:9l60b=83.?=;4<1e98yg35>3;1>7>50z&0bg<49?1C8=94H2df?!26>3k97E?6f:&2e4<49m1/=l;5eg18j17?2:o27)=l7;6g=>o6?00;6):>6;3;2>=h:4353A92<6*>a48fb6=];o=1=v;=7;m04>=;lk0(>m8:40e?lg>290/8<85b798mg6=83.?=;4m0:9ja5<72->::7?76:9l7=g=83.?=;4<1e98m61a290/8<853c28?lb7290/8<853818?xd2;00:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,8386<;n;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj?4$535>4353A92<6*>a48fb6=];o=1=v;=7;m04>=;li0(>m8:47e?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xd20<0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>6cc3-9h;7;:f:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:a1=5=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;1fa>"4k>0>9k5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rb0ag>4<4290;w)=ib;3bg>N38>1C?kk4$535>6?53-;j97ki3:&2=6<0n2d?=54t$2da>4gd3A>;;6F;3=m7c:>8;1e4>"4k>0?h45f16c94?"39?02m6`;1583?>i5=m0;6):>6;12`>=n9>h1<7*;178:e>h39=0:7c=jc;28?xd6l80:6>4?:1y'7cd=9hi0D9>8;I1ea>"39?085?5+1`79ac5<,8386:h4n53;>6`63-9h;7:k9:k23d<72->::77n;o620?6<3f8>h7>5$535>67c32c:;o4?:%622??f3g>:87?4n2g`>5=::7=62:&2e0=;o80(>m8:5f:?l70i3:1(9?9:8c8j1732910c?;k:18'040=;8n07d?8b;29 17120k0b9?;:09m7`e=821vn5<7s-9mn7?nc:J742=O;oo0(9?9:2;1?!7f=3om?6*>9284b>h39108j>5+3b590a?1<65`24f94?"39?08=i54i05a>5<#<8<15l5a40695>h4mj0;76sm1e595?5=83:p(>hm:0c`?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?1a3g>:47=i4:&0g2<3l01b=:o50;&753<>i2d?=94?;:m11a<72->::7=>d:9j52d=83.?=;46a:l751<63g9no7>4;|`2`<<62:0;6=u+3g`95de<@=:<7E=ie:&753<41;1/=l;5eg18 4?42>l0b9?7:2d6?!5d?3>o56g>7`83>!26>33j7c:>4;28?j42l3:1(9?9:23g?>o6?k0;6):>6;;b?k26<3;0b>kl:198yg7cj3;1?7>50z&0bg<6ij1C8=94H2df?!26>392>6*>a48fb6=#9091;k5a40:97c0<,:i<69j6;h34e?6=,=;=64o4n537>5=5$535>=zj8no6<4<:183!5aj3;jo6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>48f:l75=<4n>1/?n954e;8m41f290/8<859`9m042=821d>8j50;&753<49m10e<9m:18'040=1h1e8<:51:l0af<732wi=n=51;194?6|,:li6N4nl1/8<853808 4g22ll87)?63;5e?k26039m46*k1<7*;178:e>h39=0;76a=5e83>!26>39:h65f16`94?"39?02m6`;1582?k5bk3:07pl>c482>6<729q/?kl51`a8L1603A9mi6*;1780=7=#9h?1ik=4$0;0>2`h6;%1`3?2c12c:;l4?:%622??f3g>:87>4;n06`?6=,=;=6>?k;:k23g<72->::77n;o620?7hj;%622?5>:2.:m84jf29'5<5=?o1e8<653gc8 6e02=n27d?8a;29 17120k0b9?;:198k73c290/8<8530f8?l70j3:1(9?9:8c8j173281e?hm50:9~f4e>28086=4?{%1ef?7fk2B?<:5G3gg8 1712:397)?n5;ge7>"61:05<#<8<15l5a40694>=h:1=6`{e9jh1=7=50;2x 6`e28kh7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?79i;o62i2d?=94>;o1fg?6<3thij94>:583>5}#;oh1=lk4H524?M5am2.?=;4<939'5d3=mo90(<7<:318j17?2:lo7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21d>8j50;&753<49m10qol74;397?6=8r.8jo4>ab9K051<@:ln7):>6;1:6>"6i<0nj>5+18193c=i<821?kk4$2a4>g>63`;5$535>5<#<8<1?4=i;li1<65rbc;5>4<4290;w)=ib;3bg>N38>1C?kk4$535>6?53-;j97ki3:&2=6<0n2d?=54t$2da>4gd3A>;;6F;3=m7c:>8;634>"4k>0i4<5f16c94?"39?02m6`;1583?>i5=m0;6):>6;12`>=n9>h1<7*;178:e>h39=0:7c=jc;28?xde1;0:6>4?:1y'7cd=9hi0D9>8;I1ea>"39?085?5+1`79ac5<,8386:h4n53;>1663-9h;7l71:k23d<72->::77n;o620?6<3f8>h7>5$535>67c32c:;o4?:%622??f3g>:87?4n2g`>5=::7=62:&2e0=<980(>m8:c:2?l70i3:1(9?9:8c8j1732910c?;k:18'040=;8n07d?8b;29 17120k0b9?;:09m7`e=821vno6j:080>5<7s-9mn7?nc:J742=O;oo0(9?9:2;1?!7f=3om?6*>9284b>h3910?<>5+3b59f=71<65`24f94?"39?08=i54i05a>5<#<8<15l5a40695>h4mj0;76smb9a95?5=83:p(>hm:0c`?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?1a3g>:47:?4:&0g2i2d?=94?;:m11a<72->::7=>d:9j52d=83.?=;46a:l751<63g9no7>4;|`al0b9?7:526?!5d?3h3=6g>7`83>!26>33j7c:>4;28?j42l3:1(9?9:23g?>o6?k0;6):>6;;b?k26<3;0b>kl:198ygd?03;1?7>50z&0bg<6ij1C8=94H2df?!26>392>6*>a48fb6=#9091;k5a40:9050<,:i<6o6>;h34e?6=,=;=64o4n537>5=5$535>=zjk2=6<4<:183!5aj3;jo6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>48f:l75=<38>1/?n95b938m41f290/8<859`9m042=821d>8j50;&753<49m10e<9m:18'040=1h1e8<:51:l0af<732wi9h:51;194?6|,:li6N4nl1/8<853808 4g22ll87)?63;5e?k2603>;46*k1<7*;178:e>h39=0;76a=5e83>!26>39:h65f16`94?"39?02m6`;1582?k5bk3:07pl:f782>6<729q/?kl51`a8L1603A9mi6*;1780=7=#9h?1ik=4$0;0>2`6;%1`3?3d<2c:;l4?:%622??f3g>:87>4;n06`?6=,=;=6>?k;:k23g<72->::77n;o620?7hj;%622?5>:2.:m84jf29'5<5=?o1e8<6541c8 6e02"61:05<#<8<15l5a40694>=h:1=6`{e=o:1=7=50;2x 6`e28kh7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?79i;o62i2d?=94>;o1fg?6<3th>ih4>:283>5}#;oh1=lm4H524?M5am2.?=;4<939'5d3=mo90(<7<:6d8j17?2=:o7)=l7;7`0>o6?h0;6):>6;;b?k26<3:07b<:d;29 1712:;o76g>7c83>!26>33j7c:>4;38j6cd2910qo;jc;397?6=8r.8jo4>ab9K051<@:ln7):>6;1:6>"6i<0nj>5+18193c=i<8218=k4$2a4>0e33`;5$535>5<#<8<1?4=i;li1<65rb4gb>4<4290;w)=ib;3bg>N38>1C?kk4$535>6?53-;j97ki3:&2=6<0n2d?=54;0g9'7f1==j>0e<9n:18'040=1h1e8<:50:9l60b=83.?=;4<1e98m41e290/8<859`9m042=92d8in4?;:a1`>=9391<7>t$2da>4gd3A>;;6F;3=m7c:>8;624>"4k>0>o95f16c94?"39?02m6`;1583?>i5=m0;6):>6;12`>=n9>h1<7*;178:e>h39=0:7c=jc;28?xd2m?0:6>4?:1y'7cd=9hi0D9>8;I1ea>"39?085?5+1`79ac5<,8386:h4n53;>1763-9h;7;l4:k23d<72->::77n;o620?6<3f8>h7>5$535>67c32c:;o4?:%622??f3g>:87?4n2g`>5=::7=62:&2e0=<880(>m8:5f:?l70i3:1(9?9:8c8j1732910c?;k:18'040=;8n07d?8b;29 17120k0b9?;:09m7`e=821vno7l:080>5<7s-9mn7?nc:J742=O;oo0(9?9:2;1?!7f=3om?6*>9284b>h3910?=>5+3b59f=71<65`24f94?"39?08=i54i05a>5<#<8<15l5a40695>h4mj0;76sm5ga95?5=83:p(>hm:0c`?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?1a3g>:47:>4:&0g2<2k=1b=:o50;&753<>i2d?=94?;:m11a<72->::7=>d:9j52d=83.?=;46a:l751<63g9no7>4;|`5e`<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:536?!5d?3>o56gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sm54495?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47:>6:&0g2<25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vn8;;:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910?=:5+3b5911c=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=<820(>m8:40e?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xd0i=0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>17>3-9h;79:6:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:a3f0=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;62e>"4k>0<9;5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rb6;4>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qo9n2;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e02313`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e00i1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f=0c280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19875c=#;j=14?m4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}c:43:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<654328 6e0218h7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907pl64d82>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662;%1`3??782cj57>5$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wi5:>51;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603>9>6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zj0>:6<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<3::1/?n959128md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9072<,:i<64>?;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`b67<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:506?!5d?33o86gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sma5695?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47:=6:&0g2<>l=1bm44?:%622?d132cn<7>5$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnl?::085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910?>:5+3b59=a2=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=<;20(>m8:8f7?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdfn?0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>14>3-9h;7on8:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:af4>=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;61e>"4k>0jm55fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rb`g:>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qooi4;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e0dg?3`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e=>n1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f01a280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19876c=#;j=198h4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}c777?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<654228 6e02<8m7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907pl72`82>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y662;%1`3?12>2cj57>5$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wi4kk51;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603>8>6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zj0n96<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<3;:1/?n959128md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;43;1:7>50z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:9062<,:i<64j;;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`a3d<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:516?!5d?3kj46gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sm62f95?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?423g>:47:<6:&0g2<2k=1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb476>4<2290;w)=ib;3bb>N38>1C?kk4$25`>13a3->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432e99i4?:%622?56l21vn8;8:086>5<7s-9mn7?nf:J742=O;oo0(>9l:57e?!26>392>6*>a48fb6=#90918k5+36f900`:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>i5=m0;6):>6;12`>=zjj?i6<4::183!5aj3;jj6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4:b:l75=<3;01/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?428>>7c:>8;60e>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wih>o51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;:8j17?2=9i7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?42k;0b9?7:51`?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:k4>:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:848j17?2=9o7)=l7;76b>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi9:?51;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;;5?k2603>8i6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn89<:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>928:2>h3910??k5+3b5910`1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qo;85;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819=3=i<82189>4$2a4>03a3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pl:7682>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0><0;%1`3?32n2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm56;95?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7??13g>:47:;2:&0g2<2=o1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rb45a>4<1290;w)=ib;3a4>N38>1C?kk4$25`>13>3->::7=62:&2e0j6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a210=93<1<7>t$2da>4d73A>;;6F;3;8j6`;198701=#;j=19n:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98ygdd?3;1?7>50z&0bg<6im1C8=94H2df?!26>392>6*>a48fb6=#9091;<5a40:9013<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}caf5?7=<3:1hj;%622?5>:2.:m84jf29'5<5=?;1e8<654548 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~fa1a280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0:9:5a40:9011<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qomj5;390?6=8r.8jo4>ad9K051<@:ln7):>6;1:6>"6i<0nj>5+181937=i<8218964$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rbe:4>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6=>1e8<6545;8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=<=k0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vni6i:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928212=i<82189l4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98ygebl3;187>50z&0bg<6il1C8=94H2df?!26>392>6*>a48fb6=#9091;?5a40:901e<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zjm3<6<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4>569m04>=<=n0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cae5?7=<3:1hj;%622?5>:2.:m84jf29'5<5=?;1e8<6545g8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~fa?a280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0:9:5a40:901`<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qom;3;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+18195c=i<82188>4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98ygbd13;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091m6`;198714=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnij;:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928b?k2603>>>6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a`a`=93=1<7>t$2da>4d63A>;;6F;3k0b9?7:570?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:`9m04>=<<>0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cfe1?7=?3:1hj;%622?5>:2.:m84jf29'5<5=i2d?=54;549'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm=?6<4::183!5aj3;jj6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4;6:l75=<3=?1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432e99i4?:%622?56l21vni9=:086>5<7s-9mn7?nf:J742=O;oo0(9?9:2;1?!7f=3om?6*>92872>h3910?955+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298k73c290/8<8530f8?xdc?:0:684?:1y'7cd=9hl0D9>8;I1ea>"39?085?5+1`79ac5<,8386984n53;>13>3-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rbe56>4<2290;w)=ib;3bb>N38>1C?kk4$535>6?53-;j97ki3:&2=6<3>2d?=54;5`9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=:483>5}#;oh1=lh4H524?M5am2.?=;4<939'5d3=mo90(<7<:548j17?2=?i7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~fa10280>6=4?{%1ef?7fn2B?<:5G3gg8 1712:397)?n5;ge7>"61:0?:6`;19871f=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10c?;k:18'040=;8n07plk7982>0<729q/?kl51`d8L1603A9mi6*;1780=7=#9h?1ik=4$0;0>10:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>i5=m0;6):>6;12`>=zjm=26<4::183!5aj3;jj6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4;6:l75=<3=l1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432e99i4?:%622?56l21vni9m:086>5<7s-9mn7?nf:J742=O;oo0(9?9:2;1?!7f=3om?6*>92872>h3910?:=5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298k73c290/8<8530f8?xdc?j0:684?:1y'7cd=9hl0D9>8;I1ea>"39?085?5+1`79ac5<,8386984n53;>1063-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rbe72>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6=m1e8<654708 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cgb6?7=?3:1hj;%622?5>:2.:m84jf29'5<5=9?1e8<654768 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cg4`?7=?3:1hj;%622?5>:2.:m84jf29'5<5=9?1e8<654748 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cg6hj;%622?5>:2.:m84jf29'5<5=9?1e8<6547:8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cg07?7=?3:1hj;%622?5>:2.:m84jf29'5<5=9?1e8<6547c8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cg3a?7=?3:1hj;%622?5>:2.:m84jf29'5<5=9?1e8<6547a8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9l60b=83.?=;4<1e98ygddj3;1?7>50z&0bg<6im1C8=94H2df?!26>392>6*>a48fb6=#9091;<5a40:903c<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}c``g?7=;3:1hj;%622?5>:2.:m84jf29'5<5=?81e8<6547d8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921d>8j50;&753<49m10qolld;397?6=8r.8jo4>ae9K051<@:ln7):>6;1:6>"6i<0nj>5+181934=i<8218:>4$2a4>g>63`;5$535>5<#<8<15l5a40695>=h:::7=62:&2e0=<>;0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9l60b=83.?=;4<1e98ygddn3;1?7>50z&0bg<6im1C8=94H2df?!26>392>6*>a48fb6=#9091;<5a40:9024<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}c`g4?7=;3:1hj;%622?5>:2.:m84jf29'5<5=?81e8<654618 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921d>8j50;&753<49m10qolk1;397?6=8r.8jo4>ae9K051<@:ln7):>6;1:6>"6i<0nj>5+181934=i<8218::4$2a4>g>63`;5$535>5<#<8<15l5a40695>=h:7?53;294~"4nk0:mi5G4158L6`b3->::7=62:&2e0=<>?0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9l60b=83.?=;4<1e98ygdd03;1?7>50z&0bg<6im1C8=94H2df?!26>392>6*>a48fb6=#9091;<5a40:9020<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65`24f94?"39?08=i54}c1;=?7=;3:1hj;%622?5>:2.:m84jf29'5<5=?81e8<654658 6e02=n27d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921d>8j50;&753<49m10qo8m0;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+181977=i<8218:64$2a4>1b>3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98ygbe>3;187>50z&0bg<6il1C8=94H2df?!50k3>j>6*;1780=7=#9h?1ik=4$0;0>2e<,:=o69o=;o62:87?4;h34g?6=,=;=64o4n537>7=:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:058j17?2==j7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?8;64f>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~ff25280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0=:6`;19873f=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnio?:085>5<7s-9mn7?m0:J742=O;oo0(>9l:5:e?!26>392>6*>a48fb6=#9091;h5+36f90=`:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76smd`395?3=83:p(>hm:0ce?M27?2B8jh5+36a90=`<,=;=6>7=;%3b1?ca;2.:5>48d:&03a<30o1e8<6546g8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3f8>h7>5$535>67c32wii4j51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;3f?k2603>k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a`<>=93<1<7>t$2da>4d73A>;;6F0(9?9:2;1?!7f=3om?6*>9284a>"4?m0?495a40:90=6<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xdc100:684?:1y'7cd=9hl0D9>8;I1ea>"4?j0?495+40497<4<,8k>6hh<;%3:7?1c3-9i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?428o0b9?7:5:1?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:783>5}#;oh1=o>4H524?M5am2.8;n4;789'040=;080(;3=n7)=8d;64=>h3910?4>5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qoj61;391?6=8r.8jo4>ag9K051<@:ln7)=8c;64=>"39?085?5+1`79ac5<,8386:j4$25g>11>3g>:47:74:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=h:?7?57;294~"4nk0:n<5G4158L6`b3->::7=62:&2e0=<1?0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}cf;3:1hj;%14g?21m2.?=;4<939'5d3=mo90(<7<:6g8 61c2=8;6;2>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~fa>>280>6=4?{%1ef?7fn2B?<:5G3gg8 61d2=6;1:6>"6i<0nj>5+18193a=#;>n18;k4n53;>1>03-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rbd0f>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6m2d?=54;899'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm2;6<49:183!5aj3;i<6F;069K7cc<,:=h698<;%622?5>:2.:m84jf29'5<5=?l1/?:j54718j17?2=227)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wih5?51;794?6|,:li6N4nl1/?:m54718 1712:397)?n5;ge7>"61:0g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?j42l3:1(9?9:23g?>{em931=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?j;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76smbb;95?5=83:p(>hm:0cg?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?163g>:47:7c:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3f8>h7>5$535>67c32wi4?751;194?6|,:li6N4nl1/8<853808 4g22ll87)?63;4b?k2603>3h6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?j42l3:1(9?9:23g?>{ek=n1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?79?;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm59a95?0=83:p(>hm:0`3?M27?2B8jh5+36a9036<,=;=6>7=;%3b1?ca;2.:5>4:3:&03a<3>91e8<6549d8 6e02i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?5<#<8<1=5h4;h34f?6=,=;=6<7?;:k0e=<72->::7=nd:9l60b=83.?=;4<1e98ygbe<3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091=8=4n53;>1?63-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07plkb482>1<729q/?kl51`g8L1603A9mi6*;1780=7=#9h?1ik=4$0;0>2e:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}cfb6?7=<3:1hj;%622?7el2B84k5G1808 4g22ll87c:>8;6:7>"4k>0i4<5f16c94?"39?0:4k54i05a>5<#<8<1=4>4;h1bok;:m11a<72->::7=>d:9~fag4280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0?n6`;1987=1=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnio;:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928bb>h3910?585+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fa?f280?6=4?{%1ef?7en2B?<:5G3gg8 17128ho7E=7f:J2=7=#9h?1ik=4n53;>1?13-9h;7l71:k23d<72->::7?7f:9j52d=83.?=;4>9198m6g?290/8<853`f8?j42l3:1(9?9:23g?>{el0h1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7:m;o62?2.8o:4m809j52g=83.?=;46a:l751<732c:;o4?:%622??f3g>:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76smd8a95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?ga3g>:47:68:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{el081=7:50;2x 6`e28hm7E:?7:J0b`=#<8<1=oj4H2:e?M7>:2.:m84jf29m04>=<030(>m8:c:2?l70i3:1(9?9:0:e?>o6?k0;6):>6;3:4>=n;h21<7*;1780ea=4>:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:5`8j17?2=3j7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?42hl0b9?7:5;a?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:583>5}#;oh1=oh4H524?M5am2.?=;4>be9K7=`<@8397)?n5;ge7>h3910?5n5+3b59f=75$535>4?732c8m54?:%622?5fl21d>8j50;&753<49m10qoj7b;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+18190g=i<82184j4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98ygb?k3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091mk5a40:90;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qoj72;390?6=8r.8jo4>bg9K051<@:ln7):>6;3a`>N40o1C=4<4$0c6>``43g>:47:6f:&0g2!26>39:h65rbe:0>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<482d?=54;a19'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjm2?6<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4>459m04>=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}c:1f?7=?3:1hj;%622?5>:2.:m84jf29'5<5=011e8<654`08 6e02>?=7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=m8:90`?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}c;g7?7=?3:1hj;%622?5>:2.:m84jf29'5<5=011e8<654`68 6e020:;7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0=m8:8f7?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}c`4f?7=?3:1hj;%622?5>:2.:m84jf29'5<5=011e8<654`48 6e02hk37d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:9k:544?k2603>j;6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07pl:8182>1<729q/?kl51`g8L1603A9mi6*<7b8722=#<8<1?4<4$0c6>``43-;2?7:87<4;n06`?6=,=;=6>?k;:aat$2da>4ga3A>;;6F9285b>"4?m0?9l5a40:90d?<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907b<:d;29 1712:;o76sme8;95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?3c3g>:47:na:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{em><1=7;50;2x 6`e28km7E:?7:J0b`=#;>i188l4$535>6?53-;j97ki3:&2=6<1n2.8;i4;5c9m04>=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;n06`?6=,=;=6>?k;:aa22=93=1<7>t$2da>4d63A>;;6F;3?o7c:>8;6bg>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wii8?51;794?6|,:li6N4nl1/?:m544a8 1712:397)?n5;ge7>"61:0=j6*<7e871f=i<8218lj4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?j42l3:1(9?9:23g?>{em=l1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7;k;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sme3a95?3=83:p(>hm:0ce?M27?2B8jh5+36a900b<,=;=6>7=;%3b1?ca;2.:5>49f:&03a<3=m1e8<654`d8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3f8>h7>5$535>67c32wii?o51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;7g?k2603>i<6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:aa51=93?1<7>t$2da>4ga3A>;;6F9285b>"4?m0?9h5a40:90g7<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907b<:d;29 1712:;o76sme1795?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?3c3g>:47:m2:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{el:<1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?799;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj<9i6<4;:183!5aj3;ji6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4:a:l75=<3j=1/?n9553d8m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wio>:51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;:6?k2603>i96*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:ag65=93=1<7>t$2da>4d63A>;;6F;32>7c:>8;6a2>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wio><51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;:6?k2603>i;6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:ag67=93=1<7>t$2da>4d63A>;;6F;32>7c:>8;6a<>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wio>>51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;:6?k2603>i56*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:ag7`=93=1<7>t$2da>4d63A>;;6F;32>7c:>8;6ae>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wio?k51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;:6?k2603>in6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a371=93<1<7>t$2da>4d73A>;;6F;3o87c:>8;6ag>"4k>0=o:5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f24c280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:08?6`;1987fa=#;j=1:n94i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vn:5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>9286g>h3910?nh5+3b592f11<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fa`5280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<96`;1987fc=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnih>:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?o=5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fac0280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<96`;1987g4=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnik9:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?o?5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fabd280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<96`;1987g6=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnijm:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?o95+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fab6280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<96`;1987g0=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnij?:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?o;5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fae1280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<96`;1987g2=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnim::084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?o55+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fa`7280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<46`;1987g<=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vniki:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?ol5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fac2280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<46`;1987gg=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnik;:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?on5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fabf280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<46`;1987ga=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnij6:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?oh5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~faea280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<46`;1987gc=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnimj:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?h=5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fae3280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0<46`;1987`4=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnim<:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>92877>h3910?h?5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~fae7280>6=4?{%1ef?7fn2B?<:5G3gg8 61d2=?n7):>6;1:6>"6i<0nj>5+18195a=#;>n188k4n53;>1b43-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rbe`e>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<5m2d?=54;d59'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zjmii6<4::183!5aj3;jj6F;069K7cc<,:=h69;k;%622?5>:2.:m84jf29'5<5=9m1/?:j544f8j17?2=n>7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~faef280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:09i6`;1987`3=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnij9:086>5<7s-9mn7?nf:J742=O;oo0(>9l:57`?!26>392>6*>a48fb6=#9091=i5+36f900e:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>i5=m0;6):>6;12`>=zjmn>6<48:183!5aj3;i=6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4=e:l75=<3l11/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbeg2>4<2290;w)=ib;3bb>N38>1C?kk4$25`>13e3->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432e99i4?:%622?56l21vnik?:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>9281a>h3910?hl5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~facd280>6=4?{%1ef?7fn2B?<:5G3gg8 61d2=?j7):>6;1:6>"6i<0nj>5+18195a=#;>n188o4n53;>1be3-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rbega>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<5m2d?=54;db9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj>k>6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>486:l75=<3lm1/?n957448m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=m8:90`?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:648j17?2=nm7)=l7;;34>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wim?=51;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;55?k2603>n<6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vnlh8:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>92842>h3910?i<5+3b59ed>1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qo86c;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+18193??3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yg0>j3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091=;=4n53;>1c43-9h;7868:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07pl9a282>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>=e02c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd1;h0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,83869k4n53;>1c23-9h;7;l4:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07pl93582>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>64:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd20?0:6>4?:1y'7cd=9hn0D9>8;I1ea>"4?j0?8o5+40497<4<,8k>6hh<;%3:7?c73-91/?n9554d8m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:m11a<72->::7=>d:9~f0>328086=4?{%1ef?7fl2B?<:5G3gg8 61d2=>i7):>6;1:6>"6i<0nj>5+1819a5=#;>n189l4n53;>1c?3-9h;7;:f:k23d<72->::77n;o620?6<3`;5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?42k1e8<654d;8 6e02>?=7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:9k:570?k2603>nm6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9l60b=83.?=;4<1e98yg1f;3;197>50z&0bg<6io1C8=94H2df?!50k3>>?6*;1780=7=#9h?1ik=4$0;0>14<,:=o69;<;o62:87?4;h34g?6=,=;=64o4n537>7=1?65`24f94?"39?08=i54}c::`?7=?3:1hj;%622?5>:2.:m84jf29'5<5=j2d?=54;eb9'7f1=0;i0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj1:2.:m84jf29'5<5=<;1/?:j54408j17?2=oo7)=l7;:1g>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~f=1>280>6=4?{%1ef?7fn2B?<:5G3gg8 61d2=?97):>6;1:6>"6i<0nj>5+181907=#;>n188<4n53;>1cb3-9h;76=c:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rb852>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6:47:jf:&0g2<>891b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{e1=81=7;50;2x 6`e28km7E:?7:J0b`=#;>i189m4$535>6?53-;j97ki3:&2=6<3:2.8;i4;4b9m04>=m8:823?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;n06`?6=,=;=6>?k;:a=1b=93?1<7>t$2da>4ga3A>;;6F92876>"4?m0?8n5a40:90c7<,:i<64>?;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907b<:d;29 1712:;o76sma5795?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?d:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xdf9?0:684?:1y'7cd=9hl0D9>8;I1ea>"4?j0?8<5+40497<4<,8k>6hh<;%3:7?253-9i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54o37g>5<#<8<1??=6`;1987b1=#;j=15i:4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10c?;k:18'040=;8n07plm1882>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>g=i<8218k;4$2a4>dg?3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yggbi3;197>50z&0bg<6io1C8=94H2df?!50k3>8:6*;1780=7=#9h?1ik=4$0;0>14<,:=o69=9;o622.8o:4na99j52g=83.?=;46a:l751<732c:;o4?:%622??f3g>:87?4;h34g?6=,=;=64o4n537>7=1?65`24f94?"39?08=i54}cce1?7==3:1hj;%14g?24>2.?=;4<939'5d3=mo90(<7<:508 61c2=9=7c:>8;6e3>"4k>0jm55f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21d>8j50;&753<49m10qom=d;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+181950c:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xdd:j0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386<;j;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm6b495?3=83:p(>hm:0ce?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?7202d?=54;f`9'7f1=>j>0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:49m04>=m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=1965`24f94?"39?08=i54}ca22?7=>3:1hj;%622?5>:2.:m84jf29'5<5=9=1e8<654ga8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?0b9?7:5dg?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a31`=93<1<7>t$2da>4d73A>;;6F0(9?9:2;1?!7f=3om?6*>92827>"4?m0?995a40:90cc<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd08;I1ea>"39?085?5+1`79ac5<,8386<=4n53;>1`a3-9h;78l7:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e?``43-;2?7?<;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zj>?86<49:183!5aj3;i<6F;069K7cc<,:=h69;;;%622?5>:2.:m84jf29'5<5=9:1/?:j54468j17?2<::7)=l7;4`3>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wi;<751;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;303>h3910>1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~f27f280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:0:?h5a40:9155<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd09k0:6;4?:1y'7cd=9k:0D9>8;I1ea>"4?j0?985+40497<4<,8k>6hh<;%3:7?72n2.8;i4;549m04>==9>0(>m8:7a4?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:01f?k2603?;96*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn:?j:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928272=i<8219=84$2a4>3e03`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yg16n3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#9091=>k4n53;>0603-9h;78l7:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e?9o1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?<7:l75=<2811/?n956b58m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rb62e>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6;l1e8<6551;8 6e02?i<7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?8;73e>"4k>0=o:5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f274280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0:?:5a40:915d<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qo9>4;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+181956cl;%1`3?0d?2c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm71195?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?74?2d?=54:0e9'7f1=>j=0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj>:?6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4>3d9m04>==9o0(>m8:7a4?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:01f?k2603?;j6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn:>7:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928272=i<8219<>4$2a4>3e03`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yg1713;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#9091=>k4n53;>0763-9h;78l7:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e?9h1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?<7:l75=<29;1/?n956b58m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rb62`>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6;l1e8<655018 6e02?i<7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?8;720>"4k>0=o:5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f27?280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0:?:5a40:9143<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qo9=1;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+181956c:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm6g;95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?74?2d?=54:169'7f1=>j=0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj?lj6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4>3d9m04>==820(>m8:7a4?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:01f?k2603?:56*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn;hj:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928272=i<82193e03`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yg0an3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#9091=>k4n53;>07e3-9h;78l7:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e>o:1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?<7:l75=<29j1/?n956b58m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rb7d2>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6;l1e8<6550f8 6e02?i<7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?8;72a>"4k>0=o:5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f3`2280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0:?:5a40:914`<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qo8i6;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+181956c:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm6d595?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?74?2d?=54:209'7f1=>j=0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj?o36<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4>3d9m04>==;80(>m8:7a4?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:01f?k2603?9?6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vn;kl:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928272=i<8219?:4$2a4>3e03`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yg0bl3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#9091=>k4n53;>0423-9h;78l7:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{e>mo1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?<7:l75=<2:?1/?n956b58m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rb7fe>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6;l1e8<655358 6e02?i<7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?"4?m0??h5a40:917><,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd1m:0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386<=8;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76sm6d695?0=83:p(>hm:0`3?M27?2B8jh5+36a906b<,=;=6>7=;%3b1?ca;2.:5>4>3d9'72b=<:n0b9?7:40b?!5d?37`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a2a7=93>1<7>t$2da>4gb3A>;;6F928;0>"4?m0??55a40:917d<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zj?ih6<4;:183!5aj3;ji6F;069K7cc<,:=h69=7;%622?5>:2.:m84jf29'5<5=0=1/?:j542:8j17?2<8h7)=l7;4`3>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21d>8j50;&753<49m10qo9;3;390?6=8r.8jo4>ad9K051<@:ln7)=8c;60f>"39?085?5+1`79ac5<,83865:4$25g>15e3g>:47;=d:&0g2<1k>1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?"4?m0?m>5a40:917c<,:i<6;m8;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xd08;I1ea>"4?j0?8k5+40497<4<,8k>6hh<;%3:7?>33-9i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wi;>l51;694?6|,:li6N4nl1/?:m542c8 1712:397)?n5;ge7>"61:0386*<7e877d=i<8219>>4$2a4>3e03`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rb61f>4<3290;w)=ib;3ba>N38>1C?kk4$25`>15>3->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9l60b=83.?=;4<1e98yg0c>3;187>50z&0bg<6il1C8=94H2df?!50k3>8m6*;1780=7=#9h?1ik=4$0;0>=2<,:=o69=n;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.8;n4;389'040=;080(;32?7)=8d;60=>h3910>?>5+3b592f11<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807b<:d;29 1712:;o76sm73195?2=83:p(>hm:0cf?M27?2B8jh5+36a901c<,=;=6>7=;%3b1?ca;2.:5>474:&03a<3i2d?=94=;:m11a<72->::7=>d:9~f241280>6=4?{%1ef?7fn2B?<:5G3gg8 61d2==m7):>6;1:6>"6i<0nj>5+18193<=#;>n18:h4n53;>0523-9h;78l7:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876a=5e83>!26>39:h65rb611>4<1290;w)=ib;3a4>N38>1C?kk4$25`>15d3->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:a37d=93=1<7>t$2da>4d63A>;;6F;3;>n6`;198672=#;j=1:n94i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vn8:;:085>5<7s-9mn7?m0:J742=O;oo0(>9l:5`3?!26>392>6*>a48fb6=#9091::5+36f90g6:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sm73:95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?75?2d?=54:389'7f1=>j=0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj>8n6<4::183!5aj3;jj6F;069K7cc<,:=h699i;%622?5>:2.:m84jf29'5<5=;o1/?:j546d8j17?2<9j7)=l7;4`3>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~f257280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0=>6`;19867g=#;j=1:n94i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vn:6<:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>?n5+3b59300=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>==:n0(>m8:675?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xd0i90:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:08=6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f2?b280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:;0(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:916`<,:i<6:;9;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`4=f<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<492.?=;4>539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;774>"4k>0<9;5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rb6:e>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qo97d;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e02313`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac587)=l7;562>of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e?1=1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f2?2280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198600=#;j=1;884i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}c5:7?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<655548 6e02>?=7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907pl75882>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625k2cj57>5$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wi48951;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603??46*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zj1==6<49:183!5aj3;?m6F;069K7cc<,8386>?4$535>4353A92<6*>a48fb6=];o=1=v;=7;m04>===30(>m8:90`?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xd??=0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:08=6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f=15280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:;0(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:911d<,:i<655<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`;20<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:46`?!5d?329o6gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sm87195?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;;d:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vn5;i:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>8h5+3b59<7e=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=h7?56;294~"4nk0:8l5G4158L6`b3-;2?7=4$535>4353A92<6*>a48fb6=];o=1=v;=7;m04>===l0(>m8:90`?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xd?>k0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>0373-9h;76=c:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:a<3?=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;765>"4k>03>n5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rb80g>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qo7=b;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e0<673`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<1:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?>86*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zj0>36<49:183!5aj3;?m6F;069K7cc<,8386>?4$535>4353A92<6*>a48fb6=];o=1=v;=7;m04>==m8:823?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xd>8;I1ea>"61:08=6*;178217=O;0:0(2.8o:46019je<<72->::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f<5>280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;198612=#;j=15=>4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}c;03?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<6554:8 6e020:;7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907pl63282>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wi5>?51;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?>m6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zj09m6<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<2=k1/?n959128md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:910e<,:i<64>?;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`b44<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:47g?!5d?33o86gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sm9gd95?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;:e:&0g2<>l=1bm44?:%622?d132cn<7>5$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnl?j:085>5<7s-9mn7?;a:J742=O;oo0(<7<:238 17128?97E=60:&2e0:783>5}#;oh1=9o4H524?M5am2.:5>4<1:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?=<6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjh;j6<49:183!5aj3;?m6F;069K7cc<,8386>?4$535>4353A92<6*>a48fb6=];o=1=v;=7;m04>==?;0(>m8:8f7?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdf8m0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>0053-9h;77k4:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:ae5d=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;757>"4k>02h95fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rb`24>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T0el750;&75321bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qoo?5;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e04>:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{ei8;1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~fdb2280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19862==#;j=1ml64i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}ccg7?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<6557;8 6e02hk37do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907plnf382>3<729q/?kl515c8L1603A9mi6*>92805>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;9a:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnlh?:085>5<7s-9mn7?;a:J742=O;oo0(<7<:238 17128?97E=60:&2e0dg?3`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<1:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?=o6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjho:6<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<2>m1/?n95a`:8md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:913c<,:i<6lo7;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`b`g<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:44e?!5d?3kj46gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876smae;95?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;80:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnlk8:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>;<5+3b59ed>=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>==>80(>m8:`c;?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdc:80:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>0143-9h;7l71:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:a`7g=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;740>"4k>0i4<5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rbe7f>4<1290;w)=ib;37e>N38>1C?kk4$0;0>67<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<655678 6e02k2:7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907plk2e82>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wih;?51;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?<;6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjm<36<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<2?11/?n95b938md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:912?<,:i<6o6>;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|``bc<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:45b?!5d?3h3=6gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876smd1695?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;8b:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vni>k:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>;n5+3b59f=7=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>==>n0(>m8:c:2?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdc9m0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>01b3-9h;7l71:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:a`72=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;74b>"4k>0i4<5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rbe12>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qoj:6;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e0g>63`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{e?h<1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f=1d280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;1986<1=#;j=14?m4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}c;64?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<655978 6e020:;7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907pln2582>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wimk651;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?3;6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjjij6<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<2011/?n95b938md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:91=?<,:i<6o6>;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`f==<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:4:b?!5d?3h3=6gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sme6195?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;7b:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnh:j:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>4n5+3b59f=7=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>==1n0(>m8:c:2?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdb8=0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>0>b3-9h;7l71:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:aga>=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;7;b>"4k>0i4<5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rbbd5>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qomka;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e0g>63`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{el881=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(;2.8o:4m809je<<72->::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~fa3f280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;1986=1=#;j=1n5?4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}cf2e?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<655878 6e02k2:7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907plk2982>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wiokm51;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?2;6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjm:36<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<2111/?n95b938md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;4k3;1:7>50z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:91;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`f32<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:4;b?!5d?3h3=6gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sme4095?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;6b:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnh5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>5n5+3b59f=7=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>==0n0(>m8:c:2?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdc><0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>0?b3-9h;7l71:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:a`13=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;7:b>"4k>0i4<5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rbe6g>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qoj4`9K051<@:ln7)?63;18 17128?97E=60:&2e0g>63`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{el:=1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~fa26280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;1986e1=#;j=1n5?4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}ca`a?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<655`78 6e02k2:7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907plla782>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wiol:51;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?j;6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjjh<6<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<2i11/?n95b938md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:91d?<,:i<6o6>;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|``f6<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:4cb?!5d?3h3=6gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876smcc395?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;nb:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnnoi:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>mn5+3b59f=7=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>==hn0(>m8:c:2?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xddik0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>0gb3-9h;7l71:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:agd?=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;7bb>"4k>0i4<5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rbbc0>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qomn1;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e0g>63`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{em1;1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f`1a280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;1986f1=#;j=1n5?4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}cg4e?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<655c78 6e02k2:7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907plj3682>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wii>;51;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?i;6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjl9;6<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<2j11/?n95b938md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:91g?<,:i<6o6>;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`f55<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:4`b?!5d?3h3=6gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sme1`95?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;mb:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnnjl:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>nn5+3b59f=7=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>==kn0(>m8:c:2?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdbi?0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>0db3-9h;7l71:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:aad2=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;7ab>"4k>0i4<5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rbd;e>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qok:c;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e0g>63`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{emj7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f`gc280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;1986g1=#;j=1n5?4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}cgb3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<655b78 6e02k2:7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907plj8982>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wii5=51;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603?h;6*6;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjl<86<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<2k11/?n95b938md?=83.?=;4m6:9ja5<72->::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:91f?<,:i<6o6>;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|`f7`<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:4ab?!5d?3h3=6gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876sme2;95?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:47;lb:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnh?6:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910>on5+3b59f=7=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>==jn0(>m8:c:2?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdc:;0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,83860eb3-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07plk2c82>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>47:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xd0i>0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386h5a40:91a6<,:i<6:;9;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qo68d;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+1819b>h3910>h<5+3b59<7e1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~f<36280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0n7c:>8;7g6>"4k>02<=5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wim?;51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;d8j17?2o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?42o1e8<655e68 6e02hk37d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbe0:>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<>3g>:47;k6:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{el9h1=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7j4n53;>0b03-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07plk1082>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>a=i<8219i64$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98ygb2n3;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091;6`;1986`<=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9j5=6=83.?=;46a:l751<232e99i4?:%622?56l21vnnhk:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>9285?k2603?om6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a`30=93=1<7>t$2da>4d63A>;;6F;3n0b9?7:4fa?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;h3;4?6=,=;=64o4n537>0=:683>5}#;oh1=o?4H524?M5am2.?=;4<939'5d3=mo90(<7<:028j17?2o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?j=6`;1986`a=#;j=1;884i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{e?181=7:50;2x 6`e28kn7E:?7:J0b`=#;>i18l?4$535>6?53-;j97ki3:&2=6<0:2.8;i4;a09m04>==mo0(>m8:675?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vn:7?:087>5<7s-9mn7?ne:J742=O;oo0(>9l:5c3?!26>392>6*>a48fb6=#9091;?5+36f90d62c:;l4?:%622??f3g>:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}c5;a?7=<3:1hj;%14g?2f82.?=;4<939'5d3=mo90(<7<:608 61c2=k;7c:>8;7f4>"4k>0<9;5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xd00h0:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?5k5+40497<4<,8k>6hh<;%3:7?153-9i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wi;5651;694?6|,:li6N4nl1/?:m548d8 1712:397)?n5;ge7>"61:0<>6*<7e87=c=i<8219h<4$2a4>2313`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rb6;5>4<3290;w)=ib;3ba>N38>1C?kk4$25`>1?b3->::7=62:&2e0:6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9l60b=83.?=;4<1e98yg1><3;187>50z&0bg<6il1C8=94H2df?!50k3>2i6*;1780=7=#9h?1ik=4$0;0>24<,:=o697j;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.8;n4;9e9'040=;080(;3=97)=8d;6:`>h3910>i85+3b59<7e1<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807b<:d;29 1712:;o76sm84:95?2=83:p(>hm:0cf?M27?2B8jh5+36a907=;%3b1?ca;2.:5>482:&03a<31m1e8<655d48 6e0218h7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~f=01280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=3h7):>6;1:6>"6i<0nj>5+181937=#;>n184m4n53;>0c03-9h;76=c:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=h:80(>9k:5;`?k2603?n46*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07pl76182>1<729q/?kl51`g8L1603A9mi6*<7b87=g=#<8<1?4<4$0c6>``43-;2?79=;%14`?2>j2d?=54:e89'7f1=0;i0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;n06`?6=,=;=6>?k;:a<0c=93>1<7>t$2da>4gb3A>;;6F92846>"4?m0?5o5a40:91`g<,:i<655=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zj1:2.:m84jf29'5<5=?;1/?:j548;8j17?2o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21d>8j50;&753<49m10qo69a;390?6=8r.8jo4>ad9K051<@:ln7)=8c;6:=>"39?085?5+1`79ac5<,8386:<4$25g>1?>3g>:47;jc:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?246`;1986aa=#;j=15=>4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{e1;i1=7:50;2x 6`e28kn7E:?7:J0b`=#;>i18464$535>6?53-;j97ki3:&2=6<0:2.8;i4;999m04>==lo0(>m8:823?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vn4=n:087>5<7s-9mn7?ne:J742=O;oo0(>9l:5;4?!26>392>6*>a48fb6=#9091;?5+36f90<1:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}c;0hj;%14g?2>?2.?=;4<939'5d3=mo90(<7<:608 61c2=3<7c:>8;7e4>"4k>02<=5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xd>;=0:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?5;5+40497<4<,8k>6hh<;%3:7?153-9i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wi5><51;694?6|,:li6N4nl1/?:m54848 1712:397)?n5;ge7>"61:0<>6*<7e87=3=i<8219k<4$2a4><673`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rb863>4<3290;w)=ib;3ba>N38>1C?kk4$25`>1?23->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9l60b=83.?=;4<1e98yg?4m3;187>50z&0bg<6il1C8=94H2df?!50k3>296*;1780=7=#9h?1ik=4$0;0>24<,:=o697:;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.8;n4;959'040=;080(;3=97)=8d;6:0>h3910>j85+3b59=a21<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807b<:d;29 1712:;o76sma1295?2=83:p(>hm:0cf?M27?2B8jh5+36a90<2<,=;=6>7=;%3b1?ca;2.:5>482:&03a<31=1e8<655g48 6e020n?7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~fd6b280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=387):>6;1:6>"6i<0nj>5+181937=#;>n184=4n53;>0`03-9h;77k4:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=h:80(>9k:5;0?k2603?m46*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07pln0982>1<729q/?kl51`g8L1603A9mi6*<7b87=7=#<8<1?4<4$0c6>``43-;2?79=;%14`?2>:2d?=54:f89'7f1=1m>0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;n06`?6=,=;=6>?k;:ae50=93>1<7>t$2da>4gb3A>;;6F92846>"4?m0?5?5a40:91cg<,:i<64j;;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zjh;?6<4;:183!5aj3;ji6F;069K7cc<,:=h697>;%622?5>:2.:m84jf29'5<5=?;1/?:j54838j17?2o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21d>8j50;&753<49m10qoo>2;390?6=8r.8jo4>ad9K051<@:ln7)=8c;6:5>"39?085?5+1`79ac5<,8386:<4$25g>1?63g>:47;ic:&0g2<>l=1b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?2<6`;1986ba=#;j=1ml64i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{eim>1=7:50;2x 6`e28kn7E:?7:J0b`=#;>i184>4$535>6?53-;j97ki3:&2=6<0:2.8;i4;919m04>==oo0(>m8:`c;?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vnlk=:087>5<7s-9mn7?ne:J742=O;oo0(>9l:5:f?!26>392>6*>a48fb6=#9091;?5+36f90=c:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}ccf4?7=<3:1hj;%14g?2?m2.?=;4<939'5d3=mo90(<7<:608 61c2=2n7c:>8;434>"4k>0jm55f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xdflj0:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?4i5+40497<4<,8k>6hh<;%3:7?153-9i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wimio51;694?6|,:li6N4nl1/?:m549f8 1712:397)?n5;ge7>"61:0<>6*<7e87dg?3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rb`g;>4<3290;w)=ib;3ba>N38>1C?kk4$25`>1>d3->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9l60b=83.?=;4<1e98yggb>3;187>50z&0bg<6il1C8=94H2df?!50k3>3o6*;1780=7=#9h?1ik=4$0;0>24<,:=o696l;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.?=;4<939'5d3=mo90(<7<:00b?k2603<;96*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07pl89g82>1<729q/?kl51`g8L1603A9mi6*<7b8761=#<8<1?4<4$0c6>``43-;2?7?=a:&03a<3:=1e8<656148 6e02>?=7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~f2?c280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=8?7):>6;1:6>"6i<0nj>5+181957g<,:=o69<;;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.8;n4;299'040=;080(;3;9m6*<7e876==i<821:=64$2a4>=4d3`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rb956>4<3290;w)=ib;3ba>N38>1C?kk4$25`>1443->::7=62:&2e08;43=>"4k>03>n5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xd??:0:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?>>5+40497<4<,8k>6hh<;%3:7?75i2.8;i4;229m04>=>9k0(>m8:90`?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vn4:m:087>5<7s-9mn7?ne:J742=O;oo0(>9l:50;?!26>392>6*>a48fb6=#9091=?o4$25g>14?3g>:478?b:&0g2<>891b=:o50;&753<>i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?"4?m0?>?5a40:925e<,:i<64>?;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zj0><6<4;:183!5aj3;ji6F;069K7cc<,:=h69<=;%622?5>:2.:m84jf29'5<5=9;k0(>9k:501?k2603<;h6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07pln1g82>1<729q/?kl51`g8L1603A9mi6*<7b8762=#<8<1?4<4$0c6>``43-;2?7?=a:&03a<3:>1e8<6561g8 6e020n?7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~fd7c280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=8:7):>6;1:6>"6i<0nj>5+181957g<,:=o69<>;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.8;n4;209'040=;080(;3;9m6*<7e8764=i<821:<>4$2a4>5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rb`d0>4<3290;w)=ib;3ba>N38>1C?kk4$25`>1403->::7=62:&2e08;425>"4k>0jm55f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xdfn80:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?mk5+40497<4<,8k>6hh<;%3:7?75i2.8;i4;ag9m04>=>880(>m8:`c;?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vnlki:087>5<7s-9mn7?ne:J742=O;oo0(>9l:5ce?!26>392>6*>a48fb6=#9091=?o4$25g>1ga3g>:478>3:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?3:6`;198551=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{el?>1=7:50;2x 6`e28kn7E:?7:J0b`=#;>i185o4$535>6?53-;j97ki3:&2=6<0:2.8;i4;8`9m04>=>8?0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vni8m:087>5<7s-9mn7?ne:J742=O;oo0(>9l:5:b?!26>392>6*>a48fb6=#9091;?5+36f90=g:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}caef?7=<3:1hj;%14g?2?12.?=;4<939'5d3=mo90(<7<:608 61c2=227c:>8;423>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xdc8;0:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?445+40497<4<,8k>6hh<;%3:7?153-9i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wih=951;694?6|,:li6N4nl1/?:m549:8 1712:397)?n5;ge7>"61:0<>6*<7e87<==i<821:<74$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rbe33>4<3290;w)=ib;3ba>N38>1C?kk4$25`>1>?3->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9l60b=83.?=;4<1e98ygb613;187>50z&0bg<6il1C8=94H2df?!50k3>3;6*;1780=7=#9h?1ik=4$0;0>24<,:=o6968;o62:87?4;h34g?6=,=;=64o4n537>7==4>:583>5}#;oh1=lk4H524?M5am2.8;n4;869'040=;080(;3=97)=8d;6;3>h3910==n5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807b<:d;29 1712:;o76smd3595?2=83:p(>hm:0cf?M27?2B8jh5+36a90=3<,=;=6>7=;%3b1?ca;2.:5>482:&03a<30<1e8<6560f8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~fa53280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=2=7):>6;1:6>"6i<0nj>5+181937=#;>n18584n53;>37b3-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=h:57?54;294~"4nk0:mh5G4158L6`b3-980(>9k:5:0?k2603<:j6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07pllce82>1<729q/?kl51`g8L1603A9mi6*<7b87``43-;2?79=;%14`?2?j2d?=549219'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;n06`?6=,=;=6>?k;:agf1=93>1<7>t$2da>4gb3A>;;6F92846>"4?m0?4o5a40:9277<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zjjk<6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>4nb:l75=<1:;1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=>;90(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:``8j17?2?8?7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wioo851;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;ca?k2603<996*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vnnl;:085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>928bf>h3910=>;5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qomm2;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+1819eg=i<821:?94$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07pllb182>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0>dd:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76smc`g95?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?ge3g>:478=9:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rbbc`>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}cabe?7=>3:1hj;%622?5>:2.:m84jf29'5<5=ik1e8<6563`8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:agd4=93<1<7>t$2da>4d73A>;;6F;3ki7c:>8;41`>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f`?f280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=kn7):>6;1:6>"6i<0nj>5+181937=#;>n18lk4n53;>34b3-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=h:80(>9k:5c`?k2603<9j6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07plj5182>1<729q/?kl51`g8L1603A9mi6*<7b87ed=#<8<1?4<4$0c6>``43-;2?79=;%14`?2fi2d?=549319'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;n06`?6=,=;=6>?k;:aa7d=93>1<7>t$2da>4gb3A>;;6F92846>"4?m0?m55a40:9267<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zjl:=6<4;:183!5aj3;ji6F;069K7cc<,:=h69o9;%622?5>:2.:m84jf29'5<5=?;1/?:j54`48j17?2?997)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21d>8j50;&753<49m10qomk9;390?6=8r.8jo4>ad9K051<@:ln7)=8c;612>"39?085?5+1`79ac5<,8386:<4$25g>1413g>:478<3:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?996`;198571=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{el;i1=7:50;2x 6`e28kn7E:?7:J0b`=#;>i185;4$535>6?53-;j97ki3:&2=6<0:2.8;i4;849m04>=>:?0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vni;k:087>5<7s-9mn7?ne:J742=O;oo0(>9l:5:0?!26>392>6*>a48fb6=#9091;?5+36f90=5:87>4;h34f?6=,=;=64o4n537>4=1>65`24f94?"39?08=i54}cg:a?7=<3:1hj;%14g?2fl2.?=;4<939'5d3=mo90(<7<:608 61c2=ko7c:>8;403>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xdb?00:694?:1y'7cd=9ho0D9>8;I1ea>"4?j0?mo5+40497<4<,8k>6hh<;%3:7?153-9i2d?=94>;:k23f<72->::77n;o620?4<3f8>h7>5$535>67c32wii8:51;694?6|,:li6N4nl1/?:m54`;8 1712:397)?n5;ge7>"61:0<>6*<7e87e<=i<821:>74$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976a=5e83>!26>39:h65rbd0e>4<3290;w)=ib;3ba>N38>1C?kk4$25`>1g03->::7=62:&2e07`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9l60b=83.?=;4<1e98ygc7i3;187>50z&0bg<6il1C8=94H2df?!50k3>j86*;1780=7=#9h?1ik=4$0;0>24<,:=o69o;;o62:87?4;h34g?6=,=;=64o4n537>7=:583>5}#;oh1=lk4H524?M5am2.8;n4;839'040=;080(;3=97)=8d;6;6>h3910=?n5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807b<:d;29 1712:;o76smd4095?2=83:p(>hm:0cf?M27?2B8jh5+36a90=4<,=;=6>7=;%3b1?ca;2.:5>482:&03a<30;1e8<6562f8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~fa27280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=2:7):>6;1:6>"6i<0nj>5+181937=#;>n185?4n53;>35b3-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=h:80(>9k:5:2?k2603<8j6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07plk3b82>1<729q/?kl51`g8L1603A9mi6*<7b87<5=#<8<1?4<4$0c6>``43-;2?79=;%14`?2?82d?=549419'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;n06`?6=,=;=6>?k;:a`12=93>1<7>t$2da>4gb3A>;;6F92846>"4?m0?4=5a40:9217<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zjjno6<4;:183!5aj3;ji6F;069K7cc<,:=h69<9;%622?5>:2.:m84jf29'5<5=?;1/?:j54348j17?2?>97)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21d>8j50;&753<49m10qomk7;390?6=8r.8jo4>ad9K051<@:ln7)=8c;611>"39?085?5+1`79ac5<,8386:<4$25g>1423g>:478;3:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:aadg=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;471>"4k>0i4<5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rbdc2>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qok75;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e0g>63`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac537)=l7;`;5>of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{em?:1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~f`30280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19850d=#;j=1n5?4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}cg0f?7=>3:1hj;%3:7?5<,=;=6<;=;I1:4>"6i<0nj>5U3g595~35?3we8<6565`8 6e02k2:7do6:18'040=j?10eh>50;&753<60?10c>6n:18'040=;8n07dl?:18'040=j910e>9i:18'040=;k:07dj?:18'040=;0907plj3382>3<729q/?kl515c8L1603A9mi6*>9280?!26>3;>>6F<919'5d3=mo90V>h8:0y6625$535>g0<3`o;6=4+40495=0<3f93m7>5$535>67c32ci<7>5$535>g6<3`95$535>6d732co<7>5$535>6?432wii<851;494?6|,:li6<:n;I633>N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk26036;`5?>ob83:1(9?9:0:5?>i40h0;6):>6;12`>=nj90;6):>6;`3?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zjl:o6<49:183!5aj3;?m6F;069K7cc<,8386>5+4049504<@:3;7)?n5;ge7>\4n>0:w8<8:|l75=<1::7?76:9l7=g=83.?=;4<1e98mg6=83.?=;4m0:9j72`=83.?=;450z&0bg<6;390(9?9:071?M5>82.:m84jf29Y7c1=9r?9;7sa40:921`<,:i<6o6>;hc:>5<#<8<1n;54id294?"39?0:4;54o2:b>5<#<8<1?5<#<8<1n=54i25e>5<#<8<1?o>4;hf3>5<#<8<1?4=4;|``<5<62?0;6=u+3g`951g<@=:<7E=ie:&2=6<43->::7?:2:J0=5=#9h?1ik=4Z2d4>4}2:>0vb9?7:773?!5d?3h3=6gn9;29 1712k<07dk?:18'040=91<07b=7a;29 1712:;o76gm0;29 1712k:07d=8f;29 1712:h;76gk0;29 1712:3876smc8195?0=83:p(>hm:06b?M27?2B8jh5+18197>"39?0:9?5G3828 4g22ll87W=i7;3x171=ug>:478:1:&0g25$535>4>132e84l4?:%622?56l21bn=4?:%622?d732c8;k4?:%622?5e821bh=4?:%622?5>;21vnn7>:085>5<7s-9mn7?;a:J742=O;oo0(<7<:29'040=9<80D>7?;%3b1?ca;2P8j:4>{404>xh3910=9?5+3b59f=7=nm90;6):>6;3;2>=h;1k1<7*;17805a==n;>l1<7*;1780f5=4353A92<6*>a48fb6=];o=1=v;=7;m04>=><90(>m8:c:2?lg>290/8<85b798m`6=83.?=;4>8798k6>f290/8<8530f8?ld7290/8<85b198m61a290/8<853c28?lb7290/8<853818?xdd0m0:6;4?:1y'7cd=9=k0D9>8;I1ea>"61:087):>6;366>N4191/=l;5eg18^6`028q>>:4rn53;>3333-9h;7l71:kb=?6=,=;=6o84;hg3>5<#<8<1=584;n1;e?6=,=;=6>?k;:ka4?6=,=;=6o>4;h14b?6=,=;=6>l?;:kg4?6=,=;=6>7<;:ag=d=93<1<7>t$2da>42f3A>;;6F539K7<6<,8k>6hh<;[1e3?7|=;=1qc:>8;461>"4k>0i4<5fa883>!26>3h=76gj0;29 171282=76a<8`83>!26>39:h65fb183>!26>3h;76g<7g83>!26>39i<65fd183>!26>392?65rbb::>4<1290;w)=ib;37e>N38>1C?kk4$0;0>6=#<8<1=8<4H2;3?!7f=3om?6T21bi=4?:%622?7?>21d?5o50;&753<49m10eo>50;&75350;&753<41:10qom77;392?6=8r.8jo4>4`9K051<@:ln7)?63;18 17128?97E=60:&2e0g>63`k26=4+4049f3=:783>5}#;oh1=9o4H524?M5am2.:5>4<;%622?72:2B85=5+1`79ac5of13:1(9?9:c48?lc7290/8<851948?j5?i3:1(9?9:23g?>oe83:1(9?9:c28?l50n3:1(9?9:2`3?>oc83:1(9?9:2;0?>{ek>l1=7850;2x 6`e28>j7E:?7:J0b`=#9091?6*;178217=O;0:0(::7l9;:kf4?6=,=;=6<69;:m0::7=>d:9jf5<72->::7l?;:k03c<72->::7=m0:9j`5<72->::7=63:9~ff1c280=6=4?{%1ef?73i2B?<:5G3gg8 4?42:1/8<851408L6?73-;j97ki3:X0b2<6s<8<6p`;19851d=#;j=1n5?4i`;94?"39?0i:65fe183>!26>3;3:65`39c94?"39?08=i54ic294?"39?0i<65f36d94?"39?08n=54ie294?"39?085>54}cg;6?7=>3:1hj;%622?5>:2.:m84jf29'5<5=?h1e8<6564`8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?k0b9?7:77`?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:aa45=93<1<7>t$2da>4d73A>;;6F;3=j7c:>8;46`>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f`g0280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:05<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98ygc2l3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#9091;l5a40:920`<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xdb090:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,8386:o4n53;>3073-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{em>h1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?79n;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zjl9=6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>48a:l75=<1>;1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=>?90(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:783>5}#;oh1=o>4H524?M5am2.?=;4<939'5d3=mo90(<7<:6c8j17?2?o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3f8>h7>5$535>67c32wii=m51;494?6|,:li6N4nl1/8<853808 4g22ll87)?63;5b?k2603<=96*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332e99i4?:%622?56l21vnho::085>5<7s-9mn7?m0:J742=O;oo0(9?9:2;1?!7f=3om?6*>9284e>h3910=:;5+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21d>8j50;&753<49m10qokn0;392?6=8r.8jo4>b19K051<@:ln7):>6;1:6>"6i<0nj>5+18193d=i<821:;94$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10c?;k:18'040=;8n07plj5c82>3<729q/?kl51c28L1603A9mi6*;1780=7=#9h?1ik=4$0;0>2g:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07b<:d;29 1712:;o76sme4495?0=83:p(>hm:0`3?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?1f3g>:47899:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76a=5e83>!26>39:h65rbdcf>4<1290;w)=ib;3a4>N38>1C?kk4$535>6?53-;j97ki3:&2=6<0i2d?=5496`9'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865`24f94?"39?08=i54}cgb=?7=>3:1hj;%622?5>:2.:m84jf29'5<5=?h1e8<6567`8 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<1?k0b9?7:74`?!5d?3h3=6g>7`83>!26>33j7c:>4;28?l70j3:1(9?9:8c8j1732810e<9l:18'040=1h1e8<:52:9j52c=83.?=;46a:l751<432c:;k4?:%622??f3g>:87:4;n06`?6=,=;=6>?k;:aa=2=93<1<7>t$2da>4d73A>;;6F;3=j7c:>8;45`>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:m11a<72->::7=>d:9~f`03280=6=4?{%1ef?7e82B?<:5G3gg8 1712:397)?n5;ge7>"61:05<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?l70m3:1(9?9:8c8j1732:10e<9i:18'040=1h1e8<:54:9l60b=83.?=;4<1e98ygc2n3;1:7>50z&0bg<6j91C8=94H2df?!26>392>6*>a48fb6=#9091;l5a40:923`<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598k73c290/8<8530f8?xdb;o0:6;4?:1y'7cd=9k:0D9>8;I1ea>"39?085?5+1`79ac5<,8386:o4n53;>3173-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?j42l3:1(9?9:23g?>{em:k1=7850;2x 6`e28h;7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?79n;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>i5=m0;6):>6;12`>=zjl;j6<49:183!5aj3;i<6F;069K7cc<,=;=6>7=;%3b1?ca;2.:5>48a:l75=<1?;1/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=h:::7=62:&2e0=>>90(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:583>5}#;oh1=lk4H524?M5am2.8;n4;ad9'040=;080(;3=97)=8d;6ba>h3910=;95+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807b<:d;29 1712:;o76sme`195?2=83:p(>hm:0cf?M27?2B8jh5+36a90db<,=;=6>7=;%3b1?ca;2.:5>482:&03a<3im1e8<656678 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:m11a<72->::7=>d:9~f`>0280?6=4?{%1ef?7fm2B?<:5G3gg8 61d2=kh7):>6;1:6>"6i<0nj>5+181937=#;>n18lm4n53;>3113-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=h:80(>9k:5ca?k2603<<;6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10c?;k:18'040=;8n07plj6382>1<729q/?kl51`g8L1603A9mi6*<7b87ed=#<8<1?4<4$0c6>``43-;2?79=;%14`?2fi2d?=549799'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;n06`?6=,=;=6>?k;:aa0?=93>1<7>t$2da>4gb3A>;;6F92846>"4?m0?m45a40:922?<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>i5=m0;6):>6;12`>=zjl9o6<4;:183!5aj3;ji6F;069K7cc<,:=h69o7;%622?5>:2.:m84jf29'5<5=?;1/?:j54`:8j17?2?=j7)=l7;`;5>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21d>8j50;&753<49m10qok<4;390?6=8r.8jo4>ad9K051<@:ln7)=8c;6b3>"39?085?5+1`79ac5<,8386:<4$25g>1g03g>:4788b:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<1?j:6`;19853f=#;j=1n5?4i05b>5<#<8<15l5a40694>=n9>h1<7*;178:e>h39=0:76g>7b83>!26>33j7c:>4;08?j42l3:1(9?9:23g?>{em9l1=7:50;2x 6`e28kn7E:?7:J0b`=#;>i18l:4$535>6?53-;j97ki3:&2=6<0:2.8;i4;a59m04>=>>n0(>m8:c:2?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532e99i4?:%622?56l21vnn6<:084>5<7s-9mn7?m1:J742=O;oo0(9?9:2;1?!7f=3om?6*>928214=i<821::k4$2a4>g>63`;5$535>5<#<8<15l5a40695>=n9>i1<7*;178:e>h39=0976g>7d83>!26>33j7c:>4;18?l70n3:1(9?9:8c8j1732=10e<6?:18'040=1h1e8<:55:9l60b=83.?=;4<1e98yge?93;1;7>50z&0bg<6j81C8=94H2df?!26>392>6*>a48fb6=#9091=8?4n53;>31a3-9h;7l71:k23d<72->::77n;o620?6<3`;5$535>5<#<8<15l5a40696>=n9>o1<7*;178:e>h39=0876g>7g83>!26>33j7c:>4;68?l7?83:1(9?9:8c8j1732<10c?;k:18'040=;8n07pll9582>2<729q/?kl51c38L1603A9mi6*;1780=7=#9h?1ik=4$0;0>4363g>:47870:&0g2i2d?=94?;:k23g<72->::77n;o620?7<3`;5$535>5<#<8<15l5a40697>=n9>l1<7*;178:e>h39=0?76g>8183>!26>33j7c:>4;78?j42l3:1(9?9:23g?>{ek081=7950;2x 6`e28h:7E:?7:J0b`=#<8<1?4<4$0c6>``43-;2?7?:1:l75=<1081/?n95b938m41f290/8<859`9m042=821b=:l50;&753<>i2d?=94>;:k23f<72->::77n;o620?4<3`;5$535>54i05e>5<#<8<15l5a40690>=n91:1<7*;178:e>h39=0>76a=5e83>!26>39:h65rbb;3>4<0290;w)=ib;3a5>N38>1C?kk4$535>6?53-;j97ki3:&2=6<6=81e8<656908 6e02k2:7d?8a;29 17120k0b9?;:198m41e290/8<859`9m042=921b=:m50;&753<>i2d?=94=;:k23`<72->::77n;o620?5<3`;5$535>5<#<8<15l5a40691>=h:::7=62:&2e0o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:k23c<72->::77n;o620?2<3`;3<7>5$535>5<#<8<1?0;6=u+3g`95g7<@=:<7E=ie:&753<41;1/=l;5eg18 4?428?:7c:>8;4;0>"4k>0i4<5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398m41b290/8<859`9m042=;21b=:h50;&753<>i2d?=94;;:k2<5<72->::77n;o620?3<3f8>h7>5$535>67c32wio5o51;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;365>h3910=485+3b59f=71<65f16`94?"39?02m6`;1582?>o6?j0;6):>6;;b?k26<3807d?8e;29 17120k0b9?;:298m41a290/8<859`9m042=<21b=5>50;&753<>i2d?=94:;:m11a<72->::7=>d:9~ff>?280<6=4?{%1ef?7e92B?<:5G3gg8 1712:397)?n5;ge7>"61:0:9<5a40:92=0<,:i<6o6>;h34e?6=,=;=64o4n537>5=1=65f16a94?"39?02m6`;1581?>o6?l0;6):>6;;b?k26<3907d?8f;29 17120k0b9?;:598m4>7290/8<859`9m042==21d>8j50;&753<49m10qom76;393?6=8r.8jo4>b09K051<@:ln7):>6;1:6>"6i<0nj>5+1819507:87>4;h34f?6=,=;=64o4n537>4=1>65f16g94?"39?02m6`;1580?>o6?o0;6):>6;;b?k26<3>07d?70;29 17120k0b9?;:498k73c290/8<8530f8?xdd0=0:6:4?:1y'7cd=9k;0D9>8;I1ea>"39?085?5+1`79ac5<,8386<;>;o62:87?4;h34g?6=,=;=64o4n537>7=1?65f16d94?"39?02m6`;1587?>o6090;6):>6;;b?k26<3?07b<:d;29 1712:;o76smc6g95?1=83:p(>hm:0`2?M27?2B8jh5+40497<4<,8k>6hh<;%3:7?7292d?=549889'7f1=j1;0e<9n:18'040=1h1e8<:50:9j52d=83.?=;46a:l751<632c:;n4?:%622??f3g>:87<4;h34a?6=,=;=64o4n537>6=1865f19294?"39?02m6`;1586?>i5=m0;6):>6;12`>=zj>9<6<4;:183!5aj3;ij6F;069K7cc<,=;=6N61;1/=l;5eg18j17?2?2j7)=l7;4`3>o6?h0;6):>6;3;b>=n9>h1<7*;1782=5=h7>5$535>67c32wi;>651;594?6|,:li6;I633>N4nl1/8<853808 4g22ll87)?63;7`?k2603<3n6*k1<7*;178:e>h39=0;76g>7c83>!26>33j7c:>4;38?l70k3:1(9?9:8c8j1732;10e<9j:18'040=1h1e8<:53:9j52`=83.?=;46a:l751<332c:4=4?:%622??f3g>:87;4;n06`?6=,=;=6>?k;:a36?=93>1<7>t$2da>4gb3A>;;6F;38j7c:>8;4;g>"4k>0=o:5f16c94?"39?02m6`;1583?>o6?k0;6):>6;;b?k26<3;07d?8c;29 17120k0b9?;:398k73c290/8<8530f8?xd0;=0:694?:1y'7cd=9kl0D9>8;I1ea>"39?0:ni5G39d8L4?53-;j97ki3:l75=<10m1/?n956b58m41f290/8<8519d8?l70j3:1(9?9:0;3?>o4i10;6):>6;1b`>=h:::7=62:&2e0=>1o0(>m8:7a4?l70i3:1(9?9:8c8j1732910e<9m:18'040=1h1e8<:51:9j52e=83.?=;46a:l751<532c:;h4?:%622??f3g>:87=4;h34b?6=,=;=64o4n537>1=:483>5}#;oh1=lh4H524?M5am2.?=;4<939'5d3=mo90(<7<:4d8j17?2?2m7)=l7;4`3>o6?h0;6):>6;;b?k26<3:07d?8b;29 17120k0b9?;:098m41d290/8<859`9m042=:21b=:k50;&753<>i2d?=94<;:m11a<72->::7=>d:9~f4ba28096=4?{%1ef?7>?2B?<:5G3gg8 1712h80(31<7*;1782<3=76smb8:95?4=83:p(>hm:0;4?M27?2B8jh5+4049e7=#9h?1ik=4n53;>3?63-9h;7l71:k23<<72->::7?76:9l60b=83.?=;4<1e9K043<3th>j54>:383>5}#;oh1=494H524?M5am2.?=;4n2:&2e0!26>39:h6F;1498yg0fl3;1>7>50z&0bg<61>1C8=94H2df?!26>3k97)?n5;ge7>h3910=5>5+3b590a?h7>5$535>67c3A>:965rb43e>4<5290;w)=ib;3:3>N38>1C?kk4$535>d4<,8k>6hh<;o62<2.8o:4:159j52?=83.?=;4>8798k73c290/8<8530f8L17232wi99h51;094?6|,:li6<78;I633>N4nl1/8<85a39'5d3=mo90b9?7:7;6?!5d?3??i6g>7883>!26>3;3:65`24f94?"39?08=i5G4078?xd2;=0:6?4?:1y'7cd=90=0D9>8;I1ea>"39?0j>6*>a48fb6=i<821:484$2a4>04a3`;<57>5$535>4>132e99i4?:%622?56l2B?=854}c772?7=:3:1hj;%622?g53-;j97ki3:l75=<11>1/?n9553d8m41>290/8<851948?j42l3:1(9?9:23g?M26=21vn:;8:081>5<7s-9mn7?67:J742=O;oo0(9?9:`08 4g22ll87c:>8;4:<>"4k>0<9;5f16;94?"39?0:4;54o37g>5<#<8<1?{e0;n1=7<50;2x 6`e283<7E:?7:J0b`=#<8<1m?5+1`79ac55k2c:;44?:%622?7?>21d>8j50;&753<49m1C8<;4;|`:44<62;0;6=u+3g`95<1<@=:<7E=ie:&753=>0k0(>m8:823?l7013:1(9?9:0:5?>i5=m0;6):>6;12`>N39<10qo7k5;396?6=8r.8jo4>969K051<@:ln7):>6;c1?!7f=3om?6`;1985=g=#;j=15i:4i05:>5<#<8<1=584;n06`?6=,=;=6>?k;I621>=zjhk26<4=:183!5aj3;2;6F;069K7cc<,=;=6l<4$0c6>``43g>:4786c:&0g2t$2da>6e?3A>;;6Fkm;I1:4>"6i<0nj>5U3g595~35?3we8<6568f8 6e02=nj?0;6E=8b:9ja5<722e84l4?::m0N4nl1/=4=53:&753<6=;1C?4>4$0c6>``43S9m;7?t5359yk2603<2i6*6;`5?>oe83:1(9?9:c28?lc7290/8<851948?j5?i3:1(9?9:23g?>o4?o0;6):>6;1a4>=nl90;6):>6;1:7>=zj<;96<4>:183!26>39<=6F=>0l0e>9>:18'040=;>;0V>h;:0y657>1e8<656`28/616290/8<853638^6`22;q:4n4<238~?xdb13;1=7>50z&753<4?81C?kk4H0;2?!7f83;i>6*>a6802f=i<821:l?4+252>5<#<8<1?:?4Z2d6>7}60j08>?4r;|`f;%3b4?7e:2.:m:4<299m04>=>h80'>9>:18'040=;>;0V>h::3y2"6i>08>45a40:92d5<#:=:6=4+40497276?u>8b806750z&753<4?81C?kk4H0;2?!7f83;i>6*>a68005=i<821:l;4+252>5<#<8<1?:?4Z2d6>7}60j08>?4r;|`e0?7=93:1;%3b4?7e:2.:m:4<479m04>=>h<0'>9>:18'040=;>;0V>h::3y2"6i>088:5a40:92d1<#:=:6=4+40497276?u>8b80677?51;294~"39?08;<5G3gg8L4?63-;j<7?m2:&2e2<4=?1e8<656`:8/616290/8<853638^6`22;q:4n4<238~?xda93;1=7>50z&753<4?81C?kk4H0;2?!7f83;i>6*>a68010=i<821:l74+252>5<#<8<1?:?4Z2d6>7}60j08>?4r;|`e4?7=93:1;%3b4?7e:2.:m:4<559m04>=>hk0'>9>:18'040=;>;0V>h::3y2"6i>089>5a40:92dd<#:=:6=4+40497276?u>8b806750z&753<4?81C?kk4H0;2?!7f83;i>6*>a68014=i<821:lj4+252>5<#<8<1?:?4Z2d6>7}60j08>?4r;|`fg?7=93:1;%3b4?7e:2.:m:4<519m04>=>ho0'>9>:18'040=;>;0V>h::3y2"6i>088k5a40:92d`<#:=:6=4+40497276?u>8b8067:083>5}#<8<1?:?4H2df?M7>92.:m=4>7e9'5d1=;?k0b9?7:7`3?.5093:1(9?9:252?_5a=38p=5m53309y>{eml81=7?50;2x 1712:=:7E=ie:J2=4=#9h:1=:j4$0c4>60e3g>:478m1:)034<72->::7=81:X0b0<5s82h6><=:|9~f1ba280:6=4?{%622?5092B8jh5G1838 4g6282=7)?n0;3a6>"6i>08>h5a40:92g468b8~?xd3lm0:6<4?:1y'040=;>;0D>hj;I3:5>"6i80:4;5+1`295g4<,8k<6>{0:`>x=zj=nh6<4>:183!26>39<=6F=>k>0e>9>:18'040=;>;0V>h::0y2:083>5}#<8<1?:?4H2df?M7>92.:m<4>879'5d6=9k80(!26>39<=6Td2t1vn9k7:082>5<7s->::7=81:J0b`=O90;0(:0:5?!7f83;i>6*>a68071=i<821:o84i252>5<#<8<1?:?4Z2d6>4}60l0v7pl;e782>4<729q/8<853638L6`b3A;2=6*>a082<3=#9h:1=o<4$0c4>6543g>:478m7:k034<72->::7=81:X0b0<6s82n6p5rb5g6>4<6290;w):>6;145>N4nl1C=4?4$0c2>4>13-;j<7?m2:&2e2<4;81e8<656c:8m616290/8<853638^6`228q:4h4r;|`7a1<6280;6=u+4049727<@:ln7E?61:&2e4<60?1/=l>51c08 4g02:9;7c:>8;4a=>o4?80;6):>6;145>\4n<0:w<6j:|9~f1`6280:6=4?{%622?5092B8jh5G1838 4g6282=7)?n0;3a6>"6i>08?45a40:92gg68b8~?xd3mo0:6<4?:1y'040=;>;0D>hj;I3:5>"6i80:4;5+1`295g4<,8k<6>=7;o62{0:`>x=zj=on6<4>:183!26>39<=6F=>ki0e>9>:18'040=;>;0V>h::0y2:083>5}#<8<1?:?4H2df?M7>92.:m<4>879'5d6=9k80(!26>39<=6Td2t1vn9hn:082>5<7s->::7=81:J0b`=O90;0(:0:5?!7f83;i>6*>a6807`=i<821:ok4i252>5<#<8<1?:?4Z2d6>4}60j0v7pl;f982>4<729q/8<853638L6`b3A;2=6*>a082<3=#9h:1=o<4$0c4>65c3g>:478mf:k034<72->::7=81:X0b0<6s82h6p5rb5d4>4<6290;w):>6;145>N4nl1C=4?4$0c2>4>13-;j<7?m2:&2e2<4;j1e8<656b28m616290/8<853638^6`228q:4n4r;|`7b3<6280;6=u+4049727<@:ln7E?61:&2e4<60?1/=l>51c08 4g02:9i7c:>8;4`5>o4?80;6):>6;145>\4n<0:w<6l:|9~f064280:6=4?{%622?5092B8jh5G1838 4g6282=7)?n0;3a6>"6i>08:95a40:92f468b8~?xd2880:6<4?:1y'040=;>;0D>hj;I3:5>"6i80:4;5+1`295g4<,8k<6>8<;o62{0:`>x=zj<:;6<4>:183!26>39<=6F=>j>0e>9>:18'040=;>;0V>h::0y2:083>5}#<8<1?:?4H2df?M7>92.:m<4>879'5d6=9k80(!26>39<=6Td2t1vn;on:082>5<7s->::7=81:J0b`=O90;0(:0:5?!7f83;i>6*>a6802<=i<821:n84i252>5<#<8<1?:?4Z2d6>4}60j0v7pljb882>4<729q/8<853638L6`b3A;2=6*>a082<3=#9h:1=o<4$0c4>6013g>:478l7:k034<72->::7=81:X0b0<6s82h6p5rb42;>4<6290;w):>6;145>N4nl1C=4?4$0c2>4>13-;j<7?m2:&2e2<4:h1e8<656b:8m616290/8<853638^6`228q:4h4r;|`64d<6280;6=u+4049727<@:ln7E?61:&2e4<60?1/=l>51c08 4g02:8m7c:>8;4`=>o4?80;6):>6;145>\4n<0:w<6l:|9~f06d280:6=4?{%622?5092B8jh5G1838 4g6282=7)?n0;3a6>"6i>08?85a40:92fg68b8~?xd28l0:6<4?:1y'040=;>;0D>hj;I3:5>"6i80:4;5+1`295g4<,8k<6>=n;o62{0:`>x=zj<;;6<4>:183!26>39<=6F=>ji0e>9>:18'040=;>;0V>h::0y2:083>5}#<8<1?:?4H2df?M7>92.:m<4>879'5d6=9k80(!26>39<=6Te2t1vn>om:082>5<7s->::7=81:J0b`=O90;0(:0:5?!7f83;i>6*>a6802a=i<821:nk4i252>5<#<8<1?:?4Z2d6>4}60m0v7plk6;395?6=8r.?=;4<709K7cc<@83:7)?n1;3;2>"6i90:n?5+1`5973c9>;[1e1?7|91h1q6sm4c`95?7=83:p(9?9:252?M5am2B:5<5+1`3974b<,8k;650z&753<4?81C?kk4H0;2?!7f939:h6*>a182f7=#9h=1?9k4n53;>3b63f9<=7>5$535>61632wi8o651;394?6|,=;=6>9>;I1ea>N6181/=l?530f8 4g728h97)?n7;16<>h3910=h?5`36394?"39?08;<54}c6a3?7=93:1;%3b5?56l2.:m=4>b39'5d1=;<30b9?7:7f0?j5093:1(9?9:252?>{e4d53-;j;7=:a:l75=<1l=1d?:?50;&753<4?810qo:m5;395?6=8r.?=;4<709K7cc<@83:7)?n1;12`>"6i90:n?5+1`5970d9>;:a0g2=93;1<7>t$535>6163A9mi6F>909'5d7=;8n0(o6`;1985`3=h;>;1<7*;178034=i?7?51;294~"39?08;<5G3gg8L4?63-;j=7=>d:&2e5<6j;1/=l9535f8j17?2?n<7b=81;29 1712:=:76sm4c095?7=83:p(9?9:252?M5am2B:5<5+1`3974b<,8k;650z&753<4?81C?kk4H0;2?!7f939:h6*>a182f7=#9h=1?9l4n53;>3b>3f9<=7>5$535>61632wi8n=51;394?6|,=;=6>9>;I1ea>N6181/=l?530f8 4g728h97)?n7;17e>h3910=hl5`36394?"39?08;<54}c6`6?7=93:1;%3b5?56l2.:m=4>b39'5d1=;=30b9?7:7fa?j5093:1(9?9:252?>{e4d53-;j;7==7:l75=<1lj1d?:?50;&753<4?810qo:l0;395?6=8r.?=;4<709K7cc<@83:7)?n1;12`>"6i90:n?5+1`597139>;:a0g`=93;1<7>t$535>6163A9mi6F>909'5d7=;8n0(;1<7*;178034=ii7?51;294~"39?08;<5G3gg8L4?63-;j=7=>d:&2e5<6j;1/=l953538j17?2?nm7b=81;29 1712:=:76sm4cf95?7=83:p(9?9:252?M5am2B:5<5+1`3974b<,8k;650z&753<4?81C?kk4H0;2?!7f939:h6*>a182f7=#9h=1?9=4n53;>3c63f9<=7>5$535>61632wi8o?51;394?6|,=;=6>9>;I1ea>N6181/=l?530f8 4g728h97)?n7;170>h3910=i?5`36394?"39?08;<54}cge5?7=93:1;%3b5?56l2.:m=4>b39'5d1=;<=0b9?7:7g0?j5093:1(9?9:252?_5a=3;p??<5}:aa``=93;1<7>t$535>6163A9mi6F>909'5d7=;8n0(6`;1985a1=h;>;1<7*;178034=];o?1=v==2;8yg0f03;1=7>50z&753<4?81C?kk4H0;2?!7f939:h6*>a182f7=#9h=1?;;4n53;>3c23f9<=7>5$535>6163S9m97?t3309y>{emk81=7?50;2x 1712:=:7E=ie:J2=4=#9h;1?4d53-;j;7=:d:l0ff<6<2d?=549e79l727=83.?=;4<709Y7c3=9r99>7s4}c3a;%3b5?56l2.:m=4>b39'5d1=;?l0b>ll:068j17?2?o<7b=81;29 1712:=:7W=i5;3x777=u2wi9?;51;394?6|,=;=6>9>;I1ea>N6181/=l?530f8 4g728h97)?n7;15<>h4jj0:86`;1985a==h;>;1<7*;178034=];o?1=v==3;8yg2ci3;1<7>50z&0bg<4mm1C?km4H2ga?I5bl3;p(>h7:250?xh3910=i45rb2d5>4<7290;w)=ib;1e2>"6i<0nj>5G3d`8H17>2:q/?k653668 6`f2:==7)=i9;141>{i<821:ho4$2a4>1b>3ty?i>4?:3y>0`5=:2n6<9l;|q7a4<72;q68h?524f892>?28=h7p};e183>7}:8j4=6:1>41d3ty?in4?:3y>0`e=:7}:8j4=97;>41d3ty?j84?:3y>0c3=:7}:8j4=80`>41d3ty?jh4?:3y>0cc=:7}:8j4=`23>41d3ty><:4?:3y>151=:7}:=9>1>8j4=`f7>41d3ty=mo4?:2y>2dd=:66:05a?80fl3;<56s|ecc94?4|5lho6h>4=d`b>73c3ty>=?4?:2y]144<58286<96;<726?5092wx9=750;0x906>2;?o7096d;34f>{t=9h1<773c342k:37g?8?3?3;{t9ki1<7`6<58hh6?;k;|q0ef<72;q6?lm524f890>328=i7p}k7;296~;c?38>h63:7b8f4>{t73c34>h;7?89:p0f0=838p1okl:37g?82d>3;<56s|4e594?4|5ko:6?;k;<6g3?7012wx8i850;0x9gc52;?o70:k6;34=>{t73c34>o97?89:p0a2=838p1ok;:37g?82c<3;<56s|4e194?4|5ko>6?;k;<6g7?7012wx8i<50;0x9gc12;?o70:k2;34=>{t73c34>o=7?89:p0a6=838p1ok7:37g?82c83;<56s|4bd94?4|5ko26?;k;<6`b?7012wx8n;50;0x9gcf2;?o70:l5;34=>{tmk0;6>uQec9>551=90i01hl53638yvc>2908wSk6;<330?7>k27n57=81:pa=<72:qUi552113955<4sWo<70hj:0;`?8c02:=:7p}j6;297~Xb>27mn7?6c:?f2?5092wxi84?:2y]a0=:n10:5n52e48034=z{o>1<7=t^g689445283h70h;:252?xua;3:1?vPi3:?25c<61j16j>4<709~wc4=839pRk<4=03`>4?d34l96>9>;|qe5?6=;rTm=63>1882=f=:n808;<5rsg294?5|Vo:014>9b9>ac<4?81vhk50;1xZ`c<58;;6<7l;6163tynh7>53z\f`>;68m0:5n52ee8034=z{li1<7=t^da8946f283h70kl:252?xub<3:1?vPj4:?e1?7>k27n87=81:p`44=83kp1ilj:05`?8bel3;41a341;34e>{tmo81<773c34om>7?89:p2d?=839p1io::37g?8ca83;<5639a8823<=z{lh86=4={4>789~w3gb29098v3:4c8a4>;2;m0:;l52521952`<5<936o>4=7cf>6>f34i?h7?8f:?63`<6?k1695>516`89a5128=i709n5;34g>;??k0:;n5295d952e<5h886<9l;4;4>7`9>1=2=9>k018m>:d2892e028=h70968;34e>;0i:0:;l5288f952e<517b9>=14=9>k014:k:05b?8g3=3;41d34knm7?8a:?bb0<6?h1699:516d8902128=270;8c;`3?83193h;7p}jbg83>d`|5lhm6>6n;<:1=?70j27h8i4>819>`60=9>i01:o::05b?8>0j3;41f34=h;7?8e:?4==<6?j16;l=516a89=?c28=n7069e;34g>;??00:;n52963952c<50>96<9l;<;7`?70k27j884>7d9>e40=9>i01l<>:05`?8d613;41e34=3>7?8b:?4=5<6?k16;5k516`892>f28=i70978;34f>;01?0:;o52786952d<51?j6<9m;<:67c9><32=9>h0158?:05a?8>2m3;41e3439o7?8b:?:7d<6?k165>6516`89<5328=i707<2;34f>;><90:;o5292g952d<5h:96<9m;7c9>e5e=9>h01l>7:05a?8g7>3;41e34ko87?8b:?ba7<6?k16mh>516`89dbd28=i70oka;34f>;fm10:;o52ad4952d<5>k:6<9l;<5:b?70k27<5i4>7b9><21=9>i0159::05`?8>0;3;41d34k:j7?8c:?b5a<6?j16m;fmo0:;n52d46952d<5m7c9>`54=9>h01i>8:05a?8b683;41e34n887?8b:?g1<<6?k16onj516`89fe028=i70k6a;34f>;b?<0:;o52e42952d<5l8i6<9m;7c9>gad=9>h01i31=:l4=d77>41e34o9j7?8b:?f4d<6?k16h96516`89a3528=i70j;0;34f>;c?6<9m;7c9>gf?=9>h01h6=:05a?8c403;41e34o3<7?8b:?f3g<6?k16i>8516`89`5628=i70k>1;34f>;b8j0:;o52e`7952d<5lk;6<9m;7c9>adc=9>h01ho6:05a?8c?13;1=:l4=d7e>41e34o8j7?8b:?f7d<6?k16i;bi:0:;o52e95952d<5l=n6<9m;7c9>a6b=9>h01h=;:05a?8c603;41d34ii47?8c:?`f3<6?j16oo:516a89fd528=h70mm0;34g>;dil0:;n52c`a952e<5jkj6<9l;7b9>g=5=9>l01n6>:05e?8e><3;41a34i3o7?8f:?`128=m70m74;34b>;d?l0:;k5rsd`a>5<4s4oih7=7a:?ff`7`9~w`dd2909w0kme;1;e>;6?>0:;o5rs430>5<4s4;3?7<:d:?65==84lb:p1db=838p18lk:2:b?87e13;<56s|eb394?3|5??;6?;k;7g9>`45=91:01i?>:05e?xubm?0;6>uQed489`c0283h70kj6;145>{tml81<7=t^dg1?8cb;3;2o63je38034=z{<8=6=4={<72f?5?i27>>;4>789~w04?2909?;u2ecd9e<=:mkn1m452ecg9e<=:mm81m452ee19e<=:mmk1m452ee`9e<=:mmi1m452eef9e<=:mmo1m452eed9e<=:ml:1m452ed39e<=:mm>1m452ee79e<=:mm<1m452ee59e<=:mm21m452ee;9e<=:=1m4527g79e<=:?o<1m4527g59e<=:?l81m4527d19e<=:?l>1m4527d79e<=:?l<1m4527d59e<=:?l21m4527d;9e<=:?lk1m4527d`9e<=:?ln1m4527dg9e<=:?ll1m4527g29e<=:08:1m4528039e<=:08i1m4528339e<=:0;81m4528319e<=:0;>1m4528379e<=:0;<1m4528359e<=:0881m4528019e<=:08>1m4528079e<=:08<1m4528059e<=:0821m45280;9e<=:08k1m45280`9e<=:08n1m45280g9e<=:08l1m4528329e<=:?j91m4527b69e<=:?j?1m4528c49e<=:0k=1m4528b09e<=:0j=1m4528b:9e<=:0j31m4528bc9e<=:0jh1m4528ba9e<=:0jn1m4528c:9e<=:0k31m4528cc9e<=:0kh1m4528ca9e<=:0kn1m4528cg9e<=:0kl1m4528b29e<=:0j;1m4528b19e<=:0j>1m4528b79e<=:0j<1m4528d49e<=:0l=1m4528g09e<=:0o=1m4528g:9e<=:0o31m4528gc9e<=:0oh1m4528ga9e<=:0on1m4528d:9e<=:0l31m4528dc9e<=:0lh1m4528da9e<=:0ln1m4528dg9e<=:0ll1m4528g29e<=:0o;1m4528g19e<=:0o>1m4528g79e<=:0o<1m45288;9e<=:00k1m45288`9e<=:11k1m45299`9e<=:10<1m45298`9e<=:10i1m45298f9e<=:10o1m45298d9e<=:1h:1m4529`39e<=:11i1m45299f9e<=:11o1m45299d9e<=:10:1m4529839e<=:1081m4529819e<=:10>1m4529879e<=:10=1m45298:9e<=:1031m45298c9e<=:1kk1m4529c`9e<=:1j<1m4529b`9e<=:1ji1m4529bf9e<=:1jo1m4529bd9e<=:1m:1m4529e39e<=:1ki1m4529cf9e<=:1ko1m4529cd9e<=:1j:1m4529b39e<=:1j81m4529b19e<=:1j>1m4529b79e<=:1j=1m4529b:9e<=:1j31m4529bc9e<=:1?n1m45297g9e<=:1?l1m452a4g9e<=:i;1m452a609e<=:i>91m452a669e<=:i>?1m452a729e<=:i?;1m452a709e<=:i?91m452a769e<=:i??1m452a749e<=:i?=1m452a7:9e<=:i?31m452a7`9e<=:i?i1m452a7f9e<=:i?o1m452a9g9e<=:i1l1m452a8c9e<=:i0l1m452a`29e<=:ih;1m452a`09e<=:ih91m452a`69e<=:ih?1m452a829e<=:i0;1m452a809e<=:i091m452a869e<=:i0?1m452a849e<=:i0=1m452a8:9e<=:i031m452a8`9e<=:i0i1m452a8f9e<=:i0o1m452a539e<=:i=81m452a519e<=:j:81m452b219e<=:j:o1m452b519e<=:j=>1m452b579e<=:j=<1m452b559e<=:j=21m452b5;9e<=:j:>1m452b279e<=:j:<1m452b259e<=:j:21m452b2;9e<=:j:k1m452b2`9e<=:j:i1m452b2f9e<=:j:l1m452b529e<=:j=;1m452b509e<=:j?81m452b719e<=:j?o1m452b619e<=:j>>1m452b679e<=:j><1m452b659e<=:j>21m452b6;9e<=:j?>1m452b779e<=:j?<1m452b759e<=:j?21m452b7;9e<=:j?k1m452b7`9e<=:j?i1m452b7f9e<=:j?l1m452b629e<=:j>;1m452b609e<=:j8?1m452b049e<=:j8=1m452d419e<=:l::1m452d319e<=:l8i1m452d079e<=:l9i1m452d119e<=:koo1m452cg59e<=:l?=1m452d729e<=:=?=1m45257:9e<=:=k<1m4525c59e<=:=k21m4525c;9e<=:=kk1m4525c`9e<=:=ki1m4525cf9e<=:=0o1m45258d9e<=:=h:1m4525`39e<=:=h81m4525`19e<=:=h>1m4525`79e<=:=j91m4525819e<=:=0>1m4525879e<=:=ko1m4526619e<=:>>>1m45266`9e<=:>>i1m45266f9e<=:>>o1m45266d9e<=:>1:1m4526939e<=:>181m4526679e<=:>><1m4526659e<=:>>21m45266;9e<=:>>k1m45264`9e<=:>?:1m4526739e<=:>?81m45261a9e<=:>;<1m4526359e<=:>;k1m45263`9e<=:>;i1m45263f9e<=:>;o1m45263d9e<=:>::1m4526239e<=:>;21m45263;9e<=:>=21m45262`9e<=:>:21m4526279e<=:>:81m45253:960b<5<936l74=4a2>d?<5<2>6l74=4:0>d?<5?kn6l74=475>d?<5d?<5>k?6l74=6a5>d?<5>3<6l74=6c1>d?<51=j6l74=9;`>d?<51d?<50>n6l74=853>d?<50>:6l74=86`>d?<5h896l74=`67>d?<5h;>6l74=`03>d?<5hl=6l74=c3;>d?<5ho26l74=`d7>d?<5m9>6l74=45g>d?<5<=m6l74=460>d?<518j6l74=9df>d?<50n96l74=`c5>d?<5k=j6l74=6:0>d?<5>2:6l74=6c3>d?<5>3n6l74=6;`>d?<5>2m6l74=6:g>d?<5>226l74=6:4>d?<5>3>6l74=6;0>d?<51?26l74=974>d?<51==6l74=957>d?<51=96l74=946>d?<51<86l74=97e>d?<51?o6l74=94a>d?<51<26l74=80g>d?<508i6l74=86b>d?<50>36l74=865>d?<50926l74=814>d?<50986l74=812>d?<509m6l74=81g>d?<5h::6l74=8de>d?<5h;n6l74=`3`>d?<5h;j6l74=`2g>d?<5h:i6l74=`24>d?<5h:>6l74=`30>d?<5h;:6l74=`f6>d?<5hn86l74=`d1>d?<5hl;6l74=`gf>d?<5ho:6l74=`fe>d?<5hni6l74=`f:>d?<5ho<6l74=`g6>d?<5m8:6l74=e0b>d?<5m?n6l74=e0g>d?<5m<:6l74=e4;>d?<5jl36l74=bde>d?<5m:?6l74=e2g>d?<5m;=6l74=e3g>d?<5m8?6l74=e12>d?<5m?=6l74=ba;>d?<5>k=6l74=95`>d?<50?;6l74=`07>d?<5hl36l74=bab>d?<5ji?6l74=d;;>d?<5l=86l74=d6f>d?<5l826l74=d27>d?<5jn36l74=bd5>d?<5jnj6l74=e76>d?<5m;96l74=e7b>d?<5m;j6l74=e0;>d?<5jlh6l74=e2;>d?<5l3h6l74=d54>d?<5l?96l74=d0g>d?<5l:36l74=e46>d?<5m>>6l74=e6g>d?<5m9o6l74=e6:>d?<5m9<6l74=e62>d?<5jin6l74=bc5>d?<5jk?6l74=b`4>d?<5jh>6l74=b`0>d?<5jh:6l74=bce>d?<5jko6l74=bca>d?<5jk26l74=bc0>d?<5jk:6l74=7ce>d?<5l2:6l74=d5e>d?<5l=j6l74=d14>d?<5l9>6l74=d13>d?<5l;96l74=d33>d?<5l:i6l74=bf`>d?<5jn=6l74=dc5>d?<5lk?6l74=d;e>d?<5l?h6l74=d7b>d?<5l?>6l74=dcg>d?<5lk36l74=d:;>d?<5l286l74=d40>d?<5l?n6l74=d1f>d?<5l926l74=d3:>d?<5l;?6l74=dcb>d?<5lk:6l74=d:6>d?<5l=h6l74=d43>d?<5l?<6l74=d1a>d?<5l996l74=d35>d?<5l:o6l74=b:1>d?<5j2;6l74=b;0>d?<5j3:6l74=b:e>d?<5j2o6l74=b:a>d?<5j226l74=b:4>d?<5j2>6l74=b5e>d?<5j=o6l74=45`>g0<5<<:6l74}rg`7=0=9>k010;6>u2ee:97=g<5:2=6<9m;<3`f?70i2wxin850;6x9`b02:2j70?l9;34e>;1j90:;n5239:952g54z?f`3<40h16=n9516c893d728=n70=78;34f>{tmj>1<7:t=df6>6>f34;h97?8a:?5f5<6?o16?56516g8yvcd;3:18v3jd58041f349357?8a:paa7=839p1hk>:2:b?85??3;3<63>de823d=z{ln;6=4<{7g9>5ad=9>k0q~klf;297~;blo084l52395952c<58n26<9n;|qfg`<72:q6iik539c896>028=h70?k7;34e>{tmjn1<7=t=dfg>6>f3493;7?8a:?2`0<6?h1vhml:1808cck393m63<86823g=:9m91=:o4}rg`f?6=;r7nho4<8`9>7=0=91:01:05b?xubkh0;6>u2eec97=g<5:2=6<9i;<3`b?70i2wxin750;1x9`b42:2j70=76;34a>;6km0:;l5rsda1>5<4s4oo>7=7a:?0<3<6?j16=ih516;8yv70>3:1>v3jbg8f4>;6?>099i5rs7c`>5<3s4oij7=7c:?ffa<40j16iok539a893gc2;?o7p}<8483>47|5ln96o>4=df0>g6<5lnj6o>4=dfa>g6<5lnh6o>4=dfg>g6<5lnn6o>4=dfe>g6<5lo;6o>4=dg2>g6<5ln?6o>4=df6>g6<5ln=6o>4=df4>g6<5ln36o>4=df:>g6<5:236?;k;|q5e3<72lq6:8<524f893?>28=h7086a;34b>;11o0:;k5268g952`<5?k;6<9i;<4b6?70n27=5i4>7g9>2d7=9>l01;7?:05e?80?>3;1i1=:h4=7:f>41a3ty=m84?:7y>10e=;1i018;k:2:`?832m393o63950811a=:=41f3ty=m94?:2y>21`=:819>21e=91:01;:m:05f?803m3;6n;<46f?d734<>o7l?;<46`?d734<>i7l?;<46b?d734<=<7l?;<455?d734<=>7l?;<7`4?70m2wx94850;1x90?b2:2j70;6f;g3?802j3o;7p}:9683>6}:=0l1?5o4=4c3>`6<5??h6h>4}r7:m=4<8`9>1d7=m916:8j5e19~w0?>2908w0;n1;1;e>;2i;0n<6395d8f4>{t=0k1<7=t=4c1>6>f34?j?7k?;<46b?c73ty>5o4?:2y>1d5=;1k018o;:d2893072l:0q~;6c;297~;2i=084l525`79a5=:>?;1i=5rs4;g>5<5s4?j97=7a:?5271i1>8j4}r4;`?6=:r7=;o4j0:?5<`<5=m1v;6i:181800k3o;70860;06`>{t>0;1<7`6<5?396?;k;|q5=6<72;q6::k5e19>26=4={<4;4?c734<2o7<:d:p2<0=838p1;6>:d2893?c2;?o7p}99683>7}:>181i=5268g960b52z?53022909w0886;g3?80?>38>h6s|69594?4|5?=<6h>4=7c3>73c3ty=454?:3y>22>=m916:l?524f8yv0?13:1>v39788f4>;1i;099i5rs7:b>5<5s4<8j4}r716?6=:r7>=54l6:?667<5=m1v8?k:180836j3kj70;>d;06`>;29o0:;45rs400>5<5s4?:97m9;<717?42l2wx?4:50;0x9`b52l:018j4}r1:a?6=:r7nhl4j0:?2a<<5=m1v>o>:1818ccj3o;70?ja;06`>{t;h81<7`6<58oi6?;k;|q0e6<72;q6iij5e19>5`e=:ee811a=z{:k>6=4={7}:ml;1i=521g2960b52z?f`1h6s|38:94?4|5ln=6h>4=0g0>73c3ty8544?:3y>aa1=m916=h:524f8yv5>i3:1>v3jd98f4>;6m<099i5rs2;a>5<5s4oo57k?;<3f2?42l2wx98850;7x903?28=j708;5;34b>;2=?084l52547952d<5;2=<0:;h52545952c52z?61f8k4=5e9~w6?c2909w0;:d;g3?832838>h6s|38d94?4|54=2c3>73c3ty>9?4?:9y>05g=;;>01:00`?836j3h;70;>b;g1?8360393=63:1480<4=:j181=?m4=4g1>44d3ty>9<4?:42x916>28=970?l1;`1?87b83h970?lc;31g>;6kl0:>n521e2957e<58n96<2b9>5a>=9;i01c3826f=:9j>1=?m4=0a5>44d34;h47?=c:?2gd<6:j16914d=;1i018?m:2c;?83603;8?63:1980<6=:=821?5:4=43;>6?434?:97?<3:?650<40:169<;5396890722:3870lmc;31g>;ejl0:>n52bcd957e<5ki;6<2b9>ff5=9;i01om;:00`?8dd=3;9o63mc7826f=:jkn1=?m4=c:1>g4<5k326o<4=c:6>44d34h3;7?=c:?a<<<6:j16n5l513a89g>c288h70l7f;31g>;e180:>n52b81957e<5k3>6<<4m2:?`70o4>2b9>g74=9;i018k=:c0890`>2k8018k::00`?83b?3;9o63:e8826f=:=lh1=?m4=4gg>44d34?nj7?=c:?6b4<6:j169k=513a890`2288h70;j3;31g>;3kl0:;4524bf952?<5=ih6<96;<6`f?70127?ol4>789>0f?=9>3019m7:05:?830k32;70;8c;:2?830k32970;8c;:0?8cb;3;<563je6823<=z{8nn6=4<{<3`5?5f027:i=4>a59>5a`=:5<4s4;ho7<:d:?2g`u21e2960b<58n96o<4=0ga>g47>53z?2`7<5=m16=i:5b39>5`e=j;1vh63>d78a6>;6mm0i>6s|1e494?5|58n=6?;k;<3gd`811a=:9mi1n?521g29f7=z{8nh6=4<{<3gg?42l27:o?4m2:?2a4c583>6}:9j>1>8j4=0a5>g4<58o86o<4}r3`2?6=;r7:o;4=5e9>5f>=j;16=h:5b39~w4e?2908w0?l8;06`>;6kh0i>63>e48a6>{t9jk1<773c34;n:7l=;|q61<<728:p18;l:2:b?83283;0n1=:m4=2c3>41f34??j7?89:?5=d<6?l16:4h516g893?b28=n708n2;34a>;1i80:;h52682952c<5?2=6<9j;<4:6?70m27=4n4>7b9>2=c=9>o0q~;:a;2955}:=41e34?><7?8a:?5=`<6?j16:l<516a893?728=h70876;34g>;4i90:;o5268c952e<5?3m6<9l;<4b4?70m27=5i4>7d9>2d7=9>i01;7=:05`?80?k3;7c9>2d7=9>h01;7=:05a?80?k3;41e34<2i7?8b:?5e5<6?k16:l<516`893?c28=i70860;34f>;10?0:;o5rs7`0>5<5s4=957<:d:?e1?7012wx:oo50;0x93c22;?o70??a;34=>{t>kh1<773c34;;h7?89:p2ge=838p1;h8:37g?87683;<56s|6cf94?4|5>:;6?;k;<327?7012wx:ok50;0x926f2;?o70?>6;34=>{t>kl1<773c34;:57?89:p2f6=838p1:8<6?;k;<32b?7012wx:n<50;0x924a2;?o70?=2;34=>{t>k>1<773c34l36<96;|q5f0<72;q6;9?524f89cd=9>30q~8m6;296~;0<0099i52fd823<=z{?h<6=4={<4`a?42l27:<<4>789~w3d?2909w08k3;06`>;68=0:;45rs7`:>5<5s47`9>317=9>k01;jl:05b?815<3;4>734=:57?8e:?45d<6?j16;f;34g>;08l0:;h5271d952e<5>;:6<9l;<527?70m27<=94>7b9>355=9>o01:>;:05`?817>3;41b34=;o7?8c:?453<6?j16;<6516g8924628=h708i9;34a>;1nh0:;n526ga952e<5?ln6<9j;<4eb?70k27=j=4>7d9>2c7=9>i01;h<:05`?80a=3;l=1=:k4=7g;>41d34;1m80:;n526d1952c<5?o?6<9l;<506?70k27<>o4>7g9>36>=91:01:=6:05`?814=3;7b9>31g=9>l01::;:05`?814k3;41b34=>97?8a:?416<6?o16;f}:lh31>8j4=66`>41e34=?m7?8e:?401<6?k16;>m516`893b028=i709;f;34g>;0?86<9n;<52f?70m27<884>7c9~wg1b290mw0jna;06`>;0=;0:;o5275c952e<5>><6<9l;<577b9>316=9>o01;jn:05`?80cj3;41d34=>?7?8c:?45g<6?h16;9;516g8yvd0n3:1jv3kac811a=:?<81=:m4=66b>41e34=?;7?8b:?40=<6?o16;>h516`8922728=m708ka;34f>;1lk0:;k5275d952`<5>>o6<9n;<561?70m27<9>4>7d9>34d=9>h01::::05e?xue090;6lu2d`a960b<5>>h6<9n;<566?70i27<8l4>7`9>31`=9>h01::k:05a?812=3;41d3tyjj54?:06x9de228=i70ole;34e>;dkj0:;n52cb4952e<5hl<6<9m;<52g?70j27<=<4>7c9>350=9>h01:?9:05a?80ak3;lk1=:l4=7g2>41e34;fn00:;h52ce3952g510y>=c7=9>h014hn:05b?8g5;3;1=:l4=62:>41e34=9=7?8b:?5bc<6?k16:k8516`893cc28=i708j4;34f>;07c9>e73=9>o0q~7:0;2954}:18n1=:l4=805>41f343?j7?8b:?45d<6?k16;=h516`8926328=i709?c;34f>;1nh0:;o526g3952d<5?o36<9m;<4gb?70j27<>>4>7b9>364=9>o01:=?:05e?8?28393m63ld1823d=:1<;1=:m4}r:4g?6=9;q6497516`89=3528=j70mk0;34g>;??k0:;o5270g952d<5>;86<9m;<537c9>2cc=9>h01;h::05a?80bk3;41d34c}:?>91=:l4=65`>41f34=j97?8b:?45<<6?k16;=k516`8926428=i709?b;34f>;1n00:;o526g2952d<5?o<6<9m;<4ga?70j27<>54>7d9>3d0=;1k01nmi:05a?81f?3;1g80a==:98i1?h64=03:>6c?34;::7=j8:?256<4m116=<>53d:8946c2:o370??a;1f<>;a=39n46s|55294?3|5<9o6<9j;<707?70m27>?44>7b9>115=;1k018:;:05b?xu2;o0;6;u255097=g<5<9>6<9n;<70`?70k27>?>4>7`9>16?=9>h018:;:05a?xu2;l0;6:u255397=g<5<9>6<9m;<70`?70j27>?>4>7c9>16?=9>k018:;:05`?834<3;<56s|52594?4|5<>96h>4=416>73c3ty>?;4?:3y>117=m9169>:524f8yv34:3:1?v3:408073c3ty>884?:2:x902>2:2h70;;a;1;g>;2>>084n5257:97=e<56l;<7a3?5?k27>n54<8b9>1g?=;1i018ln:2:`?83ej393o63:bb806>d34?2j7=7c:?6e5<40j169l?539a890g52:2h70;n3;1;g>;2i=084n525`797=e<56l;<7:7?5?k27>594<8b9>1<3=;1i018lj:2:`?800;393o6397580>h1?5m4=75`>6>d34<72:2h70871;1;g>;10;084n5266797=e<5?==6>6l;<443?5?k27=;54<8b9>22?=;1i01;9n:2:`?802j393o6395b806>d34<>j7=7c:?525<40j16:;?539a893052:2h708?c;1;g>;1<1084n5262`97=e<5?936>6l;<401?5?k27=??4<8b9>110=:?o4>7`9~w05?2908w0;<8;1;e>;2;00:;k52ed197`>57z?60g<40h1699?5b19>114=j9169>j516d8905428=h70;<9;34a>;2<=0:;h5rs41b>5<4s4?8m7=7a:?67g<6?k16ih953d:8yv3303:1>v3:4`80844<8`9>11g=m9169>?516`8yv10:3:14v3872811a=:>m91=:h4=7af>41a34=?87?8f:?47f<6?o16:i9516d8924a28=m709<9;34e>{t?hk1<7?j{<5`1?5?i27<9h4>7d9>30`=9>o01:8?:05f?81193;41e34==j7?8b:?42d<6?k16;;l516`8920?28=i70999;34f>;0?90:;o52763952d<5>?j6<9m;<56g?70j27<9o4>7b9>30b=9>i01:8=:05`?811;3;41d34==;7?8c:?41<<6?j16;:o516g8921?28=i706=b;34a>;0k>0:;o5rs6c:>5<6?r730c=9>i01:;i:05`?81183;41b34==i7?8e:?42c<6?l16;;o516a8920e28=h70998;34g>;0>00:;n52762952e<5>=:6<9l;<56e?70k27<9n4>7b9>30?=9>h01:9n:05`?81003;4>73ty;0=o0:;o52772952d<5><:6<9m;<55g?70k27<:i4>7b9>33c=9>i01:8i:05`?811i3;41b34=<<7?8e:?434<6?l16;8o516g8923d28=n709:b;34f>;0=m0:;o52770952d<5><86<9m;<550?70j27<:84>7c9>330=9>h01:88:05a?81213;41e34=h;7?8f:?412<6?01v:99:18181d=3o;709:9;06`>{t?>?1<7`6<5>?36?;k;|q431<72;q6;n=5e19>301=:;0k<0i<6387d811a=z{>=i6=4>9z?;55<4m0:?;67>4m0:?;6184m0:?;63:4m0:?;574m0:?;51=4m0:?43f<5=m1v:96:182=~;0m90i<638e08a4>;0mj0i<638f08a4>;0n;0i<638f28a4>;0n=0i<638f48a4>;0n?0i<638f68a4>;0m;0i<638e28a4>;0m=0i<638e48a4>;0m?0i<638e68a4>;0m10i<638e88a4>;0mh0i<638ec8a4>;0mm0i<638ed8a4>;0mo0i<638f18a4>;0?h099i5rs90;>5<69r73>44=5e9>`0c=m916h?<519289a4e282;709n7;3;4>;??m0:4=5294395=6<5h8>6<6?;819>`7?=91:01i>m:0:3?8b693;3<63k5g82<5=:kon1=5>4=e45>4>734io=7?70:p3dd=838p1:k?:d28923e2;?o7p}8b783>7}:?l;1i=5274f960b52z?4afh6s|7cf94?4|5>l96h>4=647>73c3ty3c5=m916;;;524f8yv1en3:1>v38f58f4>;0>?099i5rs6a3>5<5s4=m97k?;<553?42l2wx;n?50;0x92`12l:01:87:37g?xu0k;0;6?u27g59a5=:??31>8j4}r5bg?6=:r7{t?ho1<7`6<5>33b=:h:6=4={<5f3?c734==j7<:d:p3g4=838p1:k7:d2892172;?o7p}8b283>7}:?l31i=52763960b52z?4adh6s|7c594?4|5>oo6h>4=67f>73c3ty3`c=m916;8h524f8yv1e13:1>v38eg8f4>;0>9099i5rs6`b>5<5s4=m<7k?;<555?42l2wx;:950;6x92e42:2h709l4;1;g>;0k<084n5276:960b52z?;55<40h16;=l516d8yv>7;3:1>v371080mo1=:h4}r:32`1=9>l0q~6?9;296~;?:8084l526g2952`52z?;67<40h16:k7516d8yv>7j3:1>v37228094<8`9>35c=9>l0q~6?d;296~;?:<084l5270;952`52z?;63<40h16;?6516`8yv>7n3:1>v37268036?=9>h0q~9ia;296~;?9:084l5272a95=652z?;51<40h16;9:51928yv1ak3:1>v371480jo1=5>4}r5e`?6=:r73=;4<8`9>2a5=91:0q~9ie;296~;?9>084l526e595=652z?;5=<40h16;=l51928yv>783:1>v371880mo1=5>4}r:35?6=:r73=l4<8`9>2`1=91:0q~6?2;296~;?9k084l526g295=652z?;5a<40h16:k751928yv>7=3:1>v371d804}r:32?6=:r73=k4<8`9>35c=91:0q~6?7;296~;?:9084l5270;95=653z?4a5<40h164<>5e19>30d=9>k0q~9k3;297~;0m8084l528039a5=:?<4e=m916;;<516c8yv1c13:1?v38f08053z?4b7<40h164?<5e19>332=9>k0q~9kb;297~;0n:084l528319a5=:???1=:o4}r5gg?6=;r7<72=m916;;8516c8yv1cl3:1?v38f48053z?4b3<40h164?85e19>33>=9>k0q~9kf;297~;0n>084l528359a5=:??31=:o4}r5`=?6=;r7<44=m916;;o516c8yv1di3:1?v38e28053z?4a1<40h164<:5e19>33e=9>k0q~9lc;297~;0m<084l528079a5=:??n1=:o4}r5``?6=;r7<40=m916;;k516c8yv1dm3:1?v38e68053z?4a=<40h164<65e19>326=9>k0q~9k0;297~;0m0084l5280;9a5=:?>;1=:o4}r5g5?6=;r7<4g=m916;8o516c8yv1c:3:1?v38ec8053z?4aa<40h16430c=9>k0q~9k5;297~;0ml084l5280g9a5=:?<4`=m916;;>516c8yv1c?3:1?v38f1805cz?436<6?h16;l:539c8927>28=j709?e;34e>;08:0:;l5271`952g<5?l26<9n;<4e4?70i27=i:4>7`9>2ac=9>k01:<7:05`?81f?3;=j6<9m;<547`9><7g=;1k015u278:952c<5>k86<9m;<5b4?5?i2wx;4750;0x92?d2:2j7096f;34f>{t?0k1<7:t=6;;>41e34=j?7?8e:?4=`<40h16;l?516`8yv1?83:1;v385d823c=:??i1=:h4=64;>41a34=<<7?8f:?41g<6?l16;;:516g892>42:2j7p}87g83>7}:?1;1?5o4=6:7>41d3ty32e=9>h01:m9:2:b?81f?3;3<6>6n;<:1f?7?82wx;l<50;4x921f28=j70988;34e>;0?l0:;o527`097=g<518i6<9l;<5`3?70i2wx;4<50;5x920628=m7099f;34b>;0>k0:;k5274a952`<5><86<9j;<553?70m27<584<8`9~w2?62909w0963;1;e>;01?0:;n5rs6:`>5<2s4==<7?8f:?42`<6?o16;;o516d8923f28=m7097f;1;e>{t?1h1<7:t=641>41b34==:7?8e:?4516a8yv1?>3:1;v385g823c=:??n1=:h4=64:>41a34=<=7?8f:?41a<6?l16;;;516g892>>2:2j7p}88483>7}:?1=1?5o4=6:b>41d3ty3854?:4y><1?=:;?;?0:;h52825952c<51>96<9m;<:77?70j273894>7c9><13=9>h015:?:05a?8>393;41e342?;7?8b:?;75<6?k164><516`89=5628=h706<3;34g>;?;10:;n5282;952e<519j6<9l;<:0f?70k273?n4>7b9><6b=9>i015283;41e3ty3;k4?:05x9=?f2:2j706<4;34g>;?;<0:;n52824952e<519<6<9l;<:76?70m2738>4>7d9><12=9>o015:::05f?8>383;41d342?:7?8c:?;02<6?j164>>516a89=5528=h706=f;34f>;?=90:;n5285g952`<518n6<9n;<:eb?70n2735i4>819~w=1b290:jv3798801=:l4=916>41e3428:7?8b:?;72<6?k1649<516a89=2428=h706;4;34g>;?<<0:;n52852952c<51>:6<9j;<:0a?70m273?k4>7d9><10=9>o015:8:05f?8>483;41e342847?8b:?;7<<6?k164>o516`89=5e28=i706;?;m0:;o5283d952g<51>n6<9j;<:1a?70j273jk4>7c9><l0158j4}r:7f?6=:r735l4j0:?;6`<5=m1v5:n:1818>>13o;706=d;06`>{t0<91<7:t=9;:>g6<513j6o>4=9;a>g6<51??6?;k;|q;14<7283p15k9:c289=c02k:015h=:c289=`02k:015h7:c289=`>2k:015hn:c289=`e2k:015hl:c289=`c2k:015k7:c289=c>2k:015kn:c289=ce2k:015kl:c289=cc2k:015kj:c289=ca2k:015h?:c289=`62k:015h<:c289=`32k:015h::c289=`12k:015;=:37g?xu?g6<51h<6o>4=9a1>g6<51i<6o>4=9a;>g6<51i26o>4=9ab>g6<51ii6o>4=9a`>g6<51io6o>4=9`;>g6<51h26o>4=9`b>g6<51hi6o>4=9``>g6<51ho6o>4=9`f>g6<51hm6o>4=9a3>g6<51i:6o>4=9a0>g6<51i?6o>4=9a6>g6<51i=6o>4=973>73c3ty34<4?:3y>?524f8yv>?k3:1>v37b68f4>;?;:099i5rs9;2>5<5s42h>7k?;<:08j4}r::0?6=:r73o44j0:?;7g<5=m1v57::1818>di3o;706{t00<1<7`6<519o6?;k;|q;=2<72;q64nm5e19><6c=:7}:0kk1i=52850960b52z?;fg4=5e9~w=>12909w06mc;g3?8>3<38>h6s|89594?4|51ho6h>4=966>73c3ty3454?:3y>?13:1>v37bg8f4>;?<>099i5rs9:b>5<5s42h<7k?;<:04?42l2wx45l50;0x9=e62l:015==:37g?xu?0m0;6?u28b19a5=:0:>1>8j4}r:;a?6=:r73o94j0:?;70<5=m1v56i:1818>d=3o;706<6;06`>{t00:1<7`6<519<6?;k;|q;0a<72=q6447539a89=?f2:2h7066b;1;g>;?5<5s42n:7=7a:?45=<6?o1v5j6:1818>b?393m639e2823c=z{1nn6=4={<:e6?5?i27=in4>7g9~w=ba2909w06i7;1;e>;1n<0:;k5rs9g3>5<5s42m47=7a:?5b`<6?o1v5k>:1818>a1393m63809823c=z{1o96=4={<:ee?5?i27<=>4>7g9~w=c42909w06ib;1;e>;09l0:;k5rs9g7>5<5s42mo7=7a:?460<6091v5k::1818>al393m6382c823g=z{1im6=4={<:f7g9~w=b72909w06j9;1;e>;0;k0:;l5rs9f2>5<5s42nm7=7a:?406<6?h1v5j=:1818>bj393m639cc823c=z{1n86=4={<:fg?5?i27=h=4>7g9~w=b32909w06jd;1;e>;1l?0:;l5rs9f6>5<5s42ni7=7a:?45=<6091v5j9:1818>bn393m639e282<5=z{1n<6=4={<:e4?5?i27=in4>819~w=b?2909w06i1;1;e>;1n<0:4=5rs9fb>5<5s42m?7=7a:?5b`<6091v5jm:1818>a<393m6380982<5=z{1nh6=4={<:e1?5?i27<=>4>819~w=bc2909w06i6;1;e>;09l0:4=5rs9;f>5<4s42i:7=7a:?;a37`9~w=g>2908w06m7;1;e>;?m>0n<63732823d=z{1kn6=4<{<:`6?5?i273j?4j0:?;7=<6?h1v5oi:1808>d?393m637f68f4>;?;00:;l5rs9`3>5<4s42h47=7a:?;b=7`9~w=d62908w06l9;1;e>;?n00n<6373c823d=z{1h96=4<{<:`e?5?i273jl4j0:?;7f<6?h1v5l<:1808>dj393m637fc8f4>;?;m0:;l5rs9`7>5<4s42ho7=7a:?;bf7`9~w=d22908w06ld;1;e>;?nm0n<6373g823d=z{13m6=4<{<:ae1393m637e88f4>;?<80:;l5rs9c2>5<4s42im7=7a:?;ad7`9~w=g52908w06mb;1;e>;?mk0n<63742823d=z{1k86=4<{<:ag?5?i273in4j0:?;01<6?h1v5o;:1808>el393m637ee8f4>;?<<0:;l5rs9c6>5<4s42ii7=7a:?;a`7`9~w=g12908w06mf;1;e>;?mo0n<63746823d=z{1k<6=4<{<:`4?5?i273j=4j0:?;75<6?h1v5o7:1808>d9393m637f08f4>;?;;0:;l5rs9cb>5<4s42h?7=7a:?;b67`9~w=ge2908w06l4;1;e>;?n=0n<63734823d=z{1kh6=4<{<:`1?5?i273j84j0:?;73<6?h1v5ok:1808>d>393m637f78f4>;?;>0:;l5rs95b>5;0910:;l526gg952g<5?l>6<9n;<4fg?70i27=i>4>7`9>315=9>h01:=m:05a?80c>3;n1=:l4}r:ea?6==r739=4>7c9><1c=9>i015;;:05b?8>am393m637fg823d=z{1=:6=4<{<:5a?70m273;44>7c9><20=;1k0q~69f;296~;??;084l52867952d54z?;2`<6?k164:7516g89=132:2j70687;34f>{t0<<1<79t=917>41a342?>7?8f:?;7`<6?o16498516d89=5628=n706;?=0084l5rs976>5<5s42>;7=7a:?;1d<6?j1v57l:1808>2:3;n1=:o4}r:5`?6=:r73:i4<8`9>7b9><k0q~698;293~;?;>0:;k52857952`<51>:6<9i;<:06?70n273?44>7d9><6b=9>o0158m:2:b?xu?>>0;6?u287;97=g<518516d89=2328=m706;0;34b>;?;90:;k5287797=g54z?;7=<6?l164>m516g89=042:2j70696;34g>{t041a342??7?8f:?;7c<6?o16499516d89=5428=n706;?=o084l5rs97a>5<5s42>h7=7a:?;25<6?j1v4?l:1858?6l38>h639d1823f=:>jh1=:m4=664>41a34=8j7?8f:?5`d<6?o1v4;;:182a~;>>o084l5291:952c<50:26<9j;<;3e?70m2727d9>=40=9>h014?8:05a?8?603;1=:l4=836>41e343:>7?8b:?:56<6?k165;>8?0:;o52917952e<50:<6<9l;<;3g?70k2727b9>=5c=9>i014>i:05`?8?683;41b3439>7?8b:?:`6<6?l165:?516`8yv?2;3:1=:u297g97=g<50:36<9l;<;3=?70k2727b9>=5d=9>i014?9:05f?8?6?3;41d343:97?8c:?:57<6?j165<=516a89<7f28=h707>b;34g>;>8=0:;n52914952e<50:86<9m;<;10?70k272>?4>7g9>=54=9>k014j<:05e?8?093;3<6s|94094?7as43=h7=7a:?:4=<6?k165=7516`89<6f28=i707?b;34f>;>9?0:;n52905952e<50;36<9l;<;2=?70k272=94>7d9>=43=9>o014?=:05f?8?6;3;41b343;:7?8e:?:40<6?k165=9516`89<6d28=i707?d;34f>;>8l0:;o5291d952d<50;;6<9m;<;25?70j272<>4>7`9>=74=9>o014>=:05a?8?c;3;{t18o1<7`6<50::6?;k;|q:62<72=q65;j5b19>=3c=j9165;h5b19>=7>=:1n=529b79f5=:1j=1n=529b:9f5=:1j31n=529bc9f5=:1;<1>8j4}r;17?6=90q655o5b19>==d=j9165485b19>===<`=j9165l>5b19>=d7=j91655m5b19>==b=j91655k5b19>==`=j91654>5b19>=<7=j91654<5b19>=<5=j91654:5b19>=<3=j9165495b19>=<>=j9165475b19>=v368`8f4>;>8<099i5rs843>5<5s433n7k?;<;33?42l2wx5;;50;0x9l:37g?xu>>?0;6?u298`9a5=:19n1>8j4}r;53?6=:r725n4j0:?:4`<5=m1v487:1818?>l3o;707?f;06`>{t1?31<7`6<50;;6?;k;|q:2d<72;q654h5e19>=47=:i90n<63613811a=z{07}:11n1i=52907960b47>52z?:<`2909w077f;g3?8?6?38>h6s|94c94?4|503;6h>4=83;>73c3ty29o4?:3y>=<7=m9165<7524f8yv?2k3:1>v36938f4>;>9h099i5rs87g>5<5s432?7k?;<;2f?42l2wx58k50;0x9;:37g?xu>=o0;6?u29879a5=:19<1>8j4}r;55?6=:r725:4j0:?:4=<5=m1v48=:1818?>03o;707?9;06`>{t1?91<7`6<50:j6?;k;|q:21<72;q654o5e19>=5d=:>m084n5297g97=e<506l;<;16?42l2wx5l<50;0x9{t1hn1<76>f346n;<4e5?70m2wx5o:50;0x9{t1k?1<76>f34=;87?8e:p=g0=838p14mj:2:b?817n3;6n;<52e?70m2wx5o650;0x9{t1k31<76>f34=8<7?8c:p=d5=838p14ll:2:b?814:3;6n;<50b?7?82wx5l;50;0x9{t1h<1<76>f346n;<4ge?7?82wx5l750;0x9{t1hk1<76>f346>6n;<4e5?70n2wx5lk50;0x9{t1hl1<76>f34=;87?8f:p=g6=838p14m6:2:b?817n3;6n;<52e?70n2wx5:<50;1x9<>f2:2j707ma;g3?8?7=3;6n;<;af?c7343;;7?8a:p==4=839p1479:2:b?8?d>3o;707?c;34e>{t1191<7=t=8;a>6>f343hn7k?;<;3`?70i2wx55:50;1x96n;<;``?c7343;j7?8a:p==0=839p147j:2:b?8?dm3o;707>0;34e>{t11=1<7=t=8;e>6>f343hj7k?;<;25?70i2wx55650;1x96n;<;g5?c7343:?7?8a:p=25=839p146l:2:b?8?ek3o;707>4;34e>{t1>>1<7=t=8:g>6>f343ih7k?;<;21?70i2wx5:;50;1x9<>b2:2j707me;g3?8?6>3;6n;<;ab?c7343:;7?8a:p=21=839p147?:2:b?8?d83o;707>8;34e>{t1>21<7=t=8;2>6>f343h=7k?;<;2=?70i2wx5:750;1x96n;<;`7?c7343:n7?8a:p=2d=839p147;:2:b?8?d<3o;707?4;34e>{t1>i1<7=t=8;6>6>f343h97k?;<;32?70i2wx5:k50;1x96n;<;`{t11;1<7=t=8;b>6>f343hm7k?;<;3f?70i2wx59k50;gx9<7c28=j707;e;1;e>;09h0:;l5271d952g<5>:?6<9n;<53g?70i27=jl4>7`9>2c7=9>k01;k7:05b?80cn3;41b343>=7?8b:p=a4=83?p14<;:05a?8?5:3;41f3ty2884?:2y>=14=9>o014:k:05a?8?3i393m6s|95194?4|50>=6>6n;<;7=?70j2wx59:50;6x9<2528=i707;d;34a>;><1084l5295`952d57z?:4=<6?o165<8516d89<7528=m707>a;34b>;>8<0:;h5291g952c<508o6>6n;|q:6<<72;q65?l539c89<4b28=h7p}67183>6}:1;<1=:l4=853>6>f343>=7?8a:p=17=838p14:>:2:b?8?c;3;3<6s|95a94?0|508?6<9n;<;16?70i272>54>7c9>=1e=;1k014j<:05`?8?093;7g9>=50=9>l014>k:05f?8?693;7b9~w<51290>w07?a;34b>;>910:;k52906952`<50:?6<9i;<;0=?5?i2wx5>;50;6x9<6d28=n707>0;34a>;>;>084l5292c952e57z?:4<<6?o165<9516d89<7428=m707>b;34b>;>8>0:;h5291d952c<50986>6n;|q:6c<72;q65>?539c89<5328=h7p}6f183>3}:1o;1>8j4=7f1>41e344c|5h>86>6n;<;gg?70m272hi4>7d9>=ac=9>o014ji:05f?8?bi3;41e343n47?8b:?:a<<6?k165h8516`89;>mo0:;o529e:952d<50nj6<9m;<;g=?70k272ho4>7b9>=`6=9>i014k>:05`?8?b:3;1=:m4=8g6>41d343o;7?8c:?:b=<6?l165k8516`89dg028=n70o;5;34f>{ti;=1<7?8{7b9>=ab=9>i014jj:05`?8?cn3;41b343nh7?8e:?:a=<6?j165h7516a89;>ml0:;n529dd952e<50n36<9l;<;ge?70k272h:4>7c9>=c>=9>i014h9:05e?8?c>3;4}rc12?6=9oq6m9?539c89;>ll0:;o529ed952d<50oj6<9l;<;ff?70k272in4>7b9>=`b=9>i014k7:05f?8?b13;41b343nj7?8e:?:`=<6?l165io516g8928=i707kb;34f>;>m90:;o529d3952d<50o96<9m;<;f7?70j272i94>7c9>=`3=9>h014j8:05b?8?a>3;41a343o97?89:p=c2=838p1l:<:d2897}:i=81i=529e4960b7>52z?b04h6s|9g;94?7>s4k3i7l?;7l?;7l?;{ti;31<7`6<50n26?;k;|qb71<72;q6m8h5e19>=ad=:h0n<636e1811a=z{h9j6=4={7}:i>;1i=529d1960b52z?b37h6s|a2d94?4|5h=?6h>4=8g5>73c3tyj8=4?:3y>e23=m9165h9524f8yvg5i3:1>v3n618f4>;>m1099i5rs`0a>5<5s4k==7k?;<;f=?42l2wxm?m50;0x9d052l:014kn:37g?xuf:m0;6?u2a719a5=:1lh1>8j4}rc1a?6=:r7j:94j0:?:af<5=m1vl{ti::1<7`6<50on6?;k;|qb74<72;q6m;95e19>=``=:10n<636d9811a=z{h986=4={7}:i?i1i=529ef960b52z?b2ah6s|9g794?2|5h>:6>6l;4<8b9>=c0=:52z?b3:1>v3n9`80ln1=:k4}rc;3?6=:r7j5k4<8`9>2c0=9>o0q~o78;296~;fi9084l526gd952c52z?be4<40h16;=7516g8yvg?i3:1>v3na3801=:k4}rc;f?6=:r7jm>4<8`9>34`=9>o0q~o7c;296~;fi=084l52737952e52z?be0<40h16;?j516d8yvg0?3:1>v3n918036c=9>k0q~o89;296~;f1;084l52754952g52z?b=6<40h16:nj516a8yvg0j3:1>v3n9580m81=:m4}rc4g?6=:r7j584<8`9>2a?=9>k0q~o8d;296~;f1?084l52733952`52z?b=2<40h16:h:516d8yvg0n3:1>v3n9980ln1=:h4}rc;4?6=:r7j544<8`9>2c0=9>l0q~o72;296~;f1k084l526gd952`52z?b=f<40h16;=7516d8yvg?<3:1>v3n9e801=:h4}rc;1?6=:r7j5h4<8`9>34`=9>l0q~o;6;297~;f=l084l52a9g9a5=:1m31=:o4}rc65?6=;r7j9k4<8`9>e=`=m9165il516c8yvg2>3:1?v3n6`80;7>53z?b2c<40h16m4h5e19>=`7=9>k0q~o:8;297~;f?9084l52a`29a5=:1l81=:o4}rc6=?6=;r7j;<4<8`9>ed7=m9165h=516c8yvg2i3:1?v3n7380n7>53z?b36<40h16ml=5e19>=`3=9>k0q~o:c;297~;f?=084l52a`69a5=:1l<1=:o4}rc6`?6=;r7j;84<8`9>ed3=m9165h9516c8yvg3?3:1?v3n618053z?b24<40h16m4?5e19>=`?=9>k0q~o;9;297~;f>;084l52a809a5=:1lk1=:o4}rc7e?6=;r7j:>4<8`9>e<5=m9165hl516c8yvg3j3:1?v3n65801i=529da952g53z?b20<40h16m4;5e19>=`b=9>k0q~o;d;297~;f>?084l52a849a5=:1lo1=:o4}rc7a?6=;r7j::4<8`9>e<1=m9165hh516c8yvg3n3:1?v3n6980<7>53z?b2<<40h16m475e19>=ag=9>k0q~o:2;297~;f>k084l52a8`9a5=:1mi1=:o4}rc67?6=;r7j:n4<8`9>e97>53z?b2`<40h16m4k5e19>=a`=9>k0q~o=2;29a~;>n80:;l52a3097=g<5>;m6<9n;<520?70i27<<44>7`9>377=9>k01;hi:05b?80a>3;l>1=:o4=665>41e34=8i7?8b:?5`<<6?k16m?;516`8yvgf>3:19v36f9823g=:1o<1=:m4=8d`>41f34kj:7=7a:?be2<6?h1vl?6:1808g6>3;e4b=9>h0q~o>8;290~;f9?0:;o52a33952c<5h;h6>6n;;>m?0:;k529dg952`<50n26<9j;<;f6?70m27j<<4<8`9~w<`c2909w07if;1;e>;f8;0:;n5rs`67>5<4s43mm7?8b:?b01<40h16m?;516c8yvg6=3:1>v3n14804}rc14?6=>r72j54>7`9>=c0=9>k014hl:05a?8g58393m63na6823f=:i=?1=:o4}rc24?6=?r72hk4>7g9>=`b=9>l014k6:05e?8?ci3;6>f3tyje47=;1k01l?;:05`?xuf8h0;68u29eg952`<50oh6<9i;<;f7g9>e5b=;1k0q~o?9;290~;>m90:;h529d6952c<5h:i6>6n;;>m>0:;k529dd952`<50ni6<9j;<;f7?70m27j<:4<8`9~wd642909w0o?5;1;e>;f810:;n5rs`a7>5<2s4kh97<:d:?40=<6?k16;9>516`893be28=i709<5;34g>{tioi1<7?j{<`23?5?i27jn=4>7d9>eg7=9>o01ll=:05f?8ge;3;41e34kh=7?8b:?bff<6?k16moj516`89ddf28=i70omb;34f>;fk;0:;o52ab1952d<5hkh6<9m;7b9>ed`=9>i01ll;:05`?8ge=3;41d34ki57?8c:?beg<6?j16mnm516g89def28=i70l8b;34a>;e900:;o5rs`da>5<6?r7i=;4<8`9>eg6=9>i01ll>:05`?8ge:3;41b34kh<7?8e:?bg4<6?l16mom516a89ddc28=h70oma;34g>;fjk0:;n52ab0952e<5hi86<9l;7b9>edd=9>h01lml:05`?8gdi3;h1=:h4=c3:>4>73tyjjl4?:0dx9g722:2j70om0;34f>;fj80:;o52ac0952d<5hh86<9m;7b9>ef6=9>i01lm>:05`?8gek3;41b34kh>7?8e:?bg6<6?l16mlm516g89dgb28=n70ond;34f>;fio0:;o52ac6952d<5hh>6<9m;7c9>eg>=9>h01ll6:05a?8gfj3;41e34h:57?8f:?be<<6?01vlm7:1818d6?3o;70onb;06`>{tij=1<7`6<5hkj6?;k;|qbg3<72;q6n<;5e19>ed?=:;e9>0i<63nd1811a=z{hio6=4>9z?a274m0:?a2`4m0:?a31;e;l0i<63m428a4>;e<=0i<63m448a4>;e;e<10i<63m488a4>;e;=0i<63m348a4>;e;?0i<63m368a4>;e;10i<63m388a4>;e;h0i<63m3c8a4>;e;j0i<63m3e8a4>;e;o0i<63m418a4>;e<80i<63m438a4>;fkj099i5rs`dg>5<5s4h8>7k?;1>8j4}r`3a?6=:r7i8>4j0:?bf0<5=m1vo>i:1818d3<3o;70om6;06`>{tj8:1<7`6<5hh<6?;k;|qa54<72;q6n985e19>eg>=:2;296~;e<>0n<63nb8811a=z{k;86=4={<`77}:j:>1i=52aca960b52z?a70h6s|b1394?4|5k9<6h>4=``e>73c3tyif6>=m916mn>524f8yvd7;3:1>v3m388f4>;fk8099i5rsc27>5<5s4h8m7k?;8j4}r`33?6=:r7i?i4j0:?be`<5=m1vo>6:1818d4n3o;70om0;06`>{tj9k1<7`6<5hh:6?;k;|qa4g<72;q6n9?5e19>eg4=:f41=;1i01lmn:37g?xue;=6<9j;|qa10<72;q6n;=539c893c628=n7p}m5`83>7}:j?o1?5o4=7gb>41b3tyi9o4?:3y>f25=;1k01;h<:05f?xue=j0;6?u2b6697=g<5?lh6<9j;|qa1a<72;q6n:;539c8926128=n7p}m5d83>7}:j><1?5o4=632>41b3tyi9k4?:3y>f21=;1k01:?l:05f?xue>90;6?u2b6:97=g<5>8=6<9m;|qa24<72;q6n:7539c8924b28=n7p}m4c83>7}:j?>1?5o4=616>41b3tyi8n4?:3y>f33=;1k01::?:05`?xue>36<9l;|qa0`<72;q6n;9539c893ed28=j7p}m4g83>7}:j?21?5o4=7f2>41f3tyi9=4?:3y>f3?=;1k01;jm:05`?xue=80;6?u2b7c97=g<5>;=6<9i;|qa17<72;q6n;l539c893c628=m7p}m5283>7}:j?i1?5o4=7gb>41a3tyi994?:3y>f3b=;1k01;h<:05e?xue=?0;6?u2b7d97=g<5?lh6<9i;|qa12<72;q6n:>539c8926128=m7p}m5983>7}:j>;1?5o4=632>41a3tyi944?:3y>f24=;1k01:?l:05e?xue9h0;6>u2b2097=g<5k<96h>4=`cg>41f3tyi>84?:2y>f65=;1k01o8<:d289dga28=j7p}m2`83>6}:j:o1?5o4=c4f>`6<5hh?6<9n;|qa6g<72:q6n9=539c89g142l:01ll::05b?xue:j0;6>u2b5697=g<5k=?6h>4=``5>41f3tyi>i4?:2y>f13=;1k01o9::d289dd028=j7p}m2d83>6}:j=<1?5o4=c55>`6<5hh36<9n;|qa6c<72:q6n99539c89g102l:01ll6:05b?xue;90;6>u2b5:97=g<5k=36h>4=``b>41f3tyi?<4?:2y>f1?=;1k01o96:d289dde28=j7p}m1c83>6}:j:>1?5o4=c47>`6<5hhh6<9n;|qa5f<72:q6n>;539c89g022l:01llk:05b?xue9m0;6>u2b2497=g<5k<=6h>4=``f>41f3tyi=h4?:2y>f61=;1k01o88:d289dda28=j7p}m1g83>6}:j:21?5o4=c4;>`6<5hi;6<9n;|qa65<72:q6n>7539c89g0>2l:01lm>:05b?xue:80;6>u2b2c97=g<5k4=`a1>41f3tyi>?4?:2y>f6d=;1k01o8m:d289de428=j7p}m2283>6}:j:i1?5o4=c4`>`6<5hkh6<9n;|qa61<72:q6n>j539c89g0c2l:01loj:05b?xue:?0;6>u2b2d97=g<5k4=``3>41f3tyi>:4?:2y>f16=;1k01o9?:d289dd628=j7p}m2983>6}:j=;1?5o4=c52>`6<5hh96<9n;|qa6<<72:q6n9<539c89g152l:01ll<:05b?xufn?0;6ku2ab7952g<5hl=6>6n;<52g?70i27<=<4>7`9>350=9>k01:?9:05b?80ak3;lk1=:o4=7g2>41f34{tj>k1<7;t=`a`>41e34khm7?8c:?b`5<6?h16n:o539c89g1e28=j7p}nee83>6}:ilk1=:k4=`d6>41e34km>7=7a:pe`d=838p1lkj:2:b?8ga93;ec5=9>h0q~ok2;293~;fj90:;k52acg952`<5hhj6<9i;7d9>eg0=9>o01lj::2:b?xufl80;6?u2ae197=g<5hn=6<9l;|qa5=<72:q6mnk516`89g7?2:2j70oi9;34e>{til31<76>f34h1?5o4=c5a>41d34h:57?8a:pe`2=83=p1ll<:05e?8gd93;41b34ki57?8e:?ba2<40h1vlk<:1818gb=393m63ne9823f=z{hnn6=4:{7g9>ege=9>l01lol:05e?8gb9393m6s|aef94?2|5hh?6<9j;e`4=9>i0q~ok8;293~;fj80:;k52acd952`<5hhi6<9i;7d9>eg1=9>o01ljm:2:b?xufl>0;6?u2ae;97=g<5hnh6<9l;|qgaa<72=q6nlh516d89acb2;?o70ji3;34b>;bi;0:;h5rseg1>5<3s4hjh7?8f:?ga6<5=m16hh6516d89`1c28=n7p}kd683>1}:jhh1=:h4=ef;>73c34noh7?8f:?f1=<6?l1viml:1878df13;41b3tyoo<4?:5y>fd1=9>l01im=:37g?8bd?3;7d9>`30=9>o0q~lkf;29`~;emh0:;k52bd;952`<5ko36<9i;<`f3?70n27ii;4>7g9>f`3=9>l01ok;:05e?8db;3;41a34hnn7?8f:?aa5<5=m1vi9k:1878b0m38>h63kc6823`=:l>l1=5>4=d2:>41d3tyo484?:5y>`=0=:7d9>`=`=91:01h;<:05`?xuc1<0;69u2d84960b<5mo36<9j;7b9~wa?c290?w0j6e;06`>;cn:0:;h52d8d95=6<5l3o6<9l;|qg2f<72mq6h:>524f89a1328=n70j81;34a>;c?;0:;h52d61952c<5m=>6<9j;7d9>`2>=9>o01i96:05f?8b0i3;i1=:k4}ra16?6=:r7h>?4=5e9>f`d=91:0q~m=b;297~;d:k099i52c309f7=:k:<1n?5rsb0b>5<4s4i9m7<:d:?`6gl4m2:?`7`=50;1x9f4>2:k370m;d;:099i5rsb0;>5<4s4i947<:d:?`6<54m2:?`7f?50;1x9f402:k370m;d;8099i5rsb05>5<4s4i9:7<:d:?`626=4<{;4m2:?`7d;d:o099i5rsb07>5<4s4i987<:d:?`6094m2:?`7=;d:m099i5rsb35>5<5s4i9?7?=c:?`53<5=m1vn<>:1808e5938>h63l228a6>;d;>0i>6s|c3a94?5|5j8:6>o7;n4=5e9~wf722909w0m=1;31g>;d9<099i5rsd;1>5<2s4im<7?8a:?`51<6?j16ilj539c89`gb28=n70ji5;34f>{tm0;1<7;t=bd3>41e34i:=7?8c:?fed<40h16hk;516c89`ge28=j7p}mad83>6}:jhl1>8j4=ed6>41a34ojn7?8f:pa<6=83np1ooi:05b?8ebn3;41b34oj47=7a:?fe<<6?l16hk=516c89a?a28=j70jn3;34e>;ci=0:;l52dg0952c<5moh6<9m;|qf;b1k0:;n52dg3952g<5ml;6<9i;ad1=9>o01ih<:05a?8b>n3;1=:m4=ed1>41a3tyn4h4?:by>fd`=9>i01nki:05e?8e703;41f34nno7?8a:?fe1<40h16il;516g89a`328=i70ji2;34g>;cn90:;n5rsd:g>5;bi;0:;l52dg0952g<5ml;6<9m;|qf516a89f6528=h70ji2;34f>;cn90:;l52dd`952g<5l3m6>6n;7`9~w`>e290hw0jje;34f>;dmo0:;h52bgg952e<5jl:6<9m;ak01ih>:05e?8bbn3;41f3tyn4l4?:ey>g``=9>k01ohn:05`?8ea93;41e34nnj7?8b:?gag<6?j16i46539c89acb28=h70jn1;34f>;ci:0:;o52d`6952d55z?`af<6?h16o<:516`89`>?2:2j70k79;34a>;cmh0:;o5rsd4`>5<2s4ino7?8b:?`54<6?k16i5;539c89acf28=j70k76;34e>{tjhi1<7=t=ccg>73c34nnm7?8f:?f<3<6?o1vh8m:18g8dfl3;41b34nn97?8e:?f<6<40h16i5:516g89ac?28=j70j67;34e>;c1k0:;l52d8a952g<5mo<6<9j;;d8k0:;o52e64952e<5mo=6<9n;7b9>a=7=;1k01h6=:05f?8bb03;41d34nn;7?8f:pa3?=83ip1ook:05`?8ebj3;41e34nn87?8a:?ga4<6?h16i:h539c89`>728=n70jj9;34f>;cm>0:;n52dd7952e58z?aea<6?l16ohm516g89f6228=i70k8c;1;e>;cm00:;l52e6f952g<5mo<6<9n;;cm>0:;o52dd7952g<5mo;6<9n;7d9>``5=9>k0q~k96;29g~;cm:0:;o52cd`952c<5kln6<9m;7`9>`3;6>f34n257?8a:pa33=83np1nkm:05b?8dai3;41f34nn:7?8b:?ga1<6?k16hh>516a89`142:2j70jj3;34g>;c100:;o52d8`952d<5m3h6<9m;|qf0=<72;b>=0:;h52ded952d55z?`a=<6?k16o<>516a89`072:2j70jkf;34e>;b>80:;l5rsccb>5<4s4hjn7<:d:?g`c<6?o16i;?516d8yvc3>3:1hv3mac823d=:kl=1=:l4=b2g>41d34o>=7?8e:?g`d<6?l16i8k539c89`3a28=n70jkd;34e>;c0o0:;l52d81952g<5m3?6<9n;7c9~w`22290nw0lnb;34f>;dm>0:;n52c1c952e<5l?:6<9l;7g9>`a0=9>i01h;l:2:b?8c2l3;41d34n287?8c:?g`f<6?o1vh:;:18`8dfj3;41f34o>=7?8b:?g`<<6?h16hi8516c89`3f2:2j70k:b;34a>;cll0:;o52dea952e<5mnj6<9l;|qf06<721q6nll516g89fc?28=n70m?4;34g>;b=>084l52deg952g<5l?36<9n;7c9~w`252903w0mj8;34g>;d880:;n52dea952d<5mnj6<9n;a00=9>o01ij7:05b?xub<80;6nu2de:952d<5jo<6<9j;<`e`?70k27hi44>7c9>`<6=9>k01i7=:2c;?8c293;41b34o>>7=7a:?g=4<6?h1vh:?:18g8eb?3;41e34o?j7?8a:?g`g<6?k16hi7516`89ab228=h70k;e;1;e>;cl10:;n52d83952d<5m386<9m;3;34f>;b;l084l52e2d952c<5mn?6<9m;|qf67<72;cl=0:;l52e2a952g53z?ae<<5=m16hi:516d89`5d28=m7p}j2083>a}:jh31=:o4=bg0>41e34i;h7?8b:?f6f<6?l16hnh516g89`5>2:2j70k;cl;0:;l52d95952g<5m2i6<9n;7d9>`fd=9>h0q~k=0;29a~;ei00:;o52cd1952e<5j:j6<9m;7`9>`f`=9>l01imm:05`?8c4?393m63j39823`=:lm81=:l4=e:4>41e34n3n7?8c:?g41e34in97?8a:?f6f<6?k16hnk516c89aee28=j70k<5;1;e>;b;?0:;h52de1952d<5mn:6<9l;28=n70mj4;34a>;d8=0:;o52e2097=g<5mn86<9n;7`9>`f`=9>h0q~k>d;29<~;dm=0:;n52c13952d<5mn:6<9m;7`9>a66=;1k01h=>:05f?8bdl3;7c9>g`3=9>h01i67:05b?8b?i39j463j2b823d=:lm:1=:h4=eaf>41a34nhm7?8e:?f6a<40h16h57516c8yvc6j3:1hv3le2823d=:jo31=:l4=bg6>41d34n347?8b:?f6d<6?h16hi>516`89aeb28=i70jla;34g>;b:0084l52dbf952e<5m226<9m;7c9~wg>42909w0l73;06`>;e1k0i>6s|b8794?5|5k3>6?;k;<`;7?d534h2m7l=;|qa=6<72:q6n4=524f89g?22k801oo::c08yvd>93:1?v3m90811a=:j091n?52b`69f7=z{k2m6=4<{<`;b?42l27i5<4m2:?ae6c2;?o70l7f;`1?8df:3h97p}m8c83>6}:j1h1>8j4=c:g>g4<5kk:6o<4}r`;=?6=;r7i444=5e9>f=d=j;16nl>5b39~wg>02908w0l77;06`>;e000i>63m9g8a6>{tj1?1<7=t=c:6>73c34h3;7l=;<`:a?d53tyi4?4?:2y>f=4=:41a34n;=7?8f:?g43<6?o16h=h516d89a7?28=m70j>f;34b>;c:?0:;k52d21952`<5m?36<9i;7`9>gae=;1k01i?<:05`?8e3;3;;c8?0:;h52d1d952c<5m;36<9j;;4>7d9>`65=9>o01i;7:05f?8e3j3;41f34iom7=7a:?`05<6?k16h<=516g89f2428=i70jm4;34a>{tkm91<7?9{4>7b9>`3g=9>i01nhn:05`?8b793;41d34n:j7?8c:?g63<6?j16h>=516a89a3?28=h70m;b;34g>;c9=0:;l52c51952e<5mh=6<9m;7c9>ga>=;1k01n:?:05`?8e3:3;6z?g6c<6?k16h;=516`89a0f28=i70mia;34f>;c880:;o52d14952d<5m:m6<9m;7c9>`70=9>h01i=<:05a?8b203;1=:l4=e`5>41d34i?h7?8e:?gf0<6?j16oi8539c89f2728=n70m;3;34a>;d<;0:;l52dc695=655z?aaa<6?o16oh>516c89`7>2:2j70k>a;34a>;ck00:;o5rsedg>5<2s4hm;7?8f:?`a5<6?k16i<8539c89ae>28=j70k>7;34e>{tjh<1<7=t=cc4>73c34nh57?8f:?f52<6?o1vihl:18g8da>3;41b34o:87=7a:?f50<6?l16hn9516c89a1a28=j70j73;34e>;c0=0:;l52e15952d<5mi=6<9j;;dlo0:;n52d91952d<5mi>6<9n;7b9>a44=;1k01h?<:05f?8bd?3;1=:l4=d24>41b34nh:7?8f:p`cg=83ip1oo8:05`?8da93;41f34nh<7?8a:?f55<40h16i;ck?0:;n52db6952e58z?ae2<6?l16nk>516g89fc728=n70k?d;1;e>;ck10:;l52e1g952g<5mi=6<9n;;ck?0:;o52db6952g<5mhm6<9n;7d9>`f4=9>k0q~ji7;29`~;ck;0:;o52ced952c<5jo:6<9m;`f3=9>l01im<:05e?8ben3;6>f34n3=7?8a:?f42<6?j1vih9:18f8ecn3;41f34nh97?8b:?gg6<6?k16hoh516a89f4d28=i70m>5;34f>;b8=084l52db0952e<5m2:6<9m;7b9~wf2d290hw0j:3;1;g>;c>>084n52cg597=e<5jln6>6l;`43=;1i01i?l:2:`?8b5;393o63k618073c3tyio44?:3y>fa3=9h>01om6:37g?xuej:0;6?u2be7960b<5jk<6<9j;|qafa<72;q6noj524f89gb22k80q~ll8;297~;ejm08m552be695d2<5ki36?;k;|qaf7<72;q6ni:524f89fg228=n7p}mc783>6}:jj<1>8j4=c`g>g4<5kn?6o<4}r`g6?6=;r7io;4fac=9h>01oj=:37g?xuejk0;6?u2beg960b<5jh36<9j;|qag0<72:q6nn;524f89ge12k801ojj:c08yvdc93:1?v3mc480e==:jmn1=l:4=cf2>73c3tyinl4?:3y>fab=:u2bb6960b<5ki>6o<4=cfg>g453z?ag1<4i116nim51`689gb72;?o7p}mb883>7}:jmi1>8j4=b`7>41b3tyio>4?:2y>ff5=:6}:jj81>8j4=ca0>g4<5kni6o<4}r``a?6=;r7io?4fag=9h>01omj:37g?xuej>0;6?u2bec960b<5jh;6<9j;|qag4<72:q6nn?524f89ge52k801ojn:c08yvddl3:1?v3mc080e==:jm31=l:4=cag>73c3tyin;4?:3y>fa?=:u2bb2960b<5ki:6o<4=cf:>g453z?ag5<4i116ni651`689ged2;?o7p}mb483>7}:jm21>8j4=bc`>41b3tyink4?:2y>fg`=:6}:jko1>8j4=c`e>g4<5kn<6o<4}r``e?6=;r7inh4fa0=9h>01omn:37g?xuej80;6?u2be4960b<5jk36<9j;|qaff<72:q6nom524f89gdb2k801oj9:c08yvdd?3:1?v3mbb80e==:jm91=l:4=ca4>73c3tyin=4?:3y>fa5=:7g9>gg0=9>l01nl;:05e?8ee:3;41a34ijm7?8f:?`e=<6?o16ol<516d8yvb2=3:1:v3ka4823f=:lkn1=:h4=ec4>41b34n8:7?8f:?g10<40h16h8h516c8yvb193:19v3k618f4>;c>:0:;l52d`a952c<5m<:6>6n;;cj80:;l52dc5952e<5m<>6>6n;7`9~wa0?290=w0j97;g3?8b1i3;41b34nim7?8e:pgc>=83;c>l0:;n52cg:97=g<5mhj6<9l;|q`bf<72?q6oko519289age28=h70j9e;34a>;dnj084l52dc0952e<5jlo6<9n;|q`bc<72?q6okk5e19>`57=9>k01iom:05b?8be83;4j0:?g43<6?h16hlo516g89a632:2j70jm9;34e>{tl921<7;t=e25>4>734njm7?8c:?g4=<40h16ho<516c89a6e28=j7p}k0e83>3}:l9i1i=52d1d952g<5mkj6<9n;7c9>`g?=9>l0q~j>6;292~;c9<0n<63k19823d=:lh31=:k4=e4f>41f34ni57?8e:?g53<40h1vi?n:18;8b603;3<63ka8823f=:l?o1=:l4=e`2>41e34ni;7?8b:?gf6<4i116h3}:l8i1i=52d0d952g<5mk26<9n;`g?=91:0q~j=4;291~;c::0n<63k27823d=:lh21=:k4=e`:>41e34n987=7a:p`7>=83=p1i<9:0:3?8bf03;6>f34ni87?8a:?g6<<6?h1vi6>f34n=j7?8c:?g0c<6?k16okj516`89a0128=i7p}k3083>3}:l::1i=52d21952g<5mk36<9n;7`9>`gg=9>h0q~j<5;293~;c:o0:4=52dcg952g<5mho6<9n;2f0=9>k01i8i:05b?xuc;>0;65u2d2`952g<5m7d9>`g7=9>o01il8:05f?8b4?393m63kb5823f=z{m9o6=49{819>`dd=91:01ioi:05`?8b4l393m63kb3823c=z{m>:6=49{819>`dg=91:01ioj:05e?8b39393m63kb382<5=z{m>>6=47{819>`11=9>k01il>:05e?8be?3;41f3tyo844?:7y>`1d=9>k01i=<:0:3?8bf03;3<63kad823d=:l=31?5o4=e`1>41b3tyo8i4?:6y>`d3=9>l01io8:05b?8b4i3;41a34n?h7=7a:p`05=83;9w0j:3;1;e>;cjj0:;n52bd2952c<5m7c9>g=5=9>h01n6>:05a?8e><3;41e34i3o7?8b:?`128=i70m74;34f>;d?l0:;o5rse30>5<5s4n:?7<:d:?g6f<6?j1vih63k55823f=z{m<96=4={7b9~wa0>2909w0j9a;06`>;c>k0:;n5rsbd:>5<5s4imm7<:d:?`bg<6?j1vi>?:1818b7938>h63k03823f=z{m:>6=4={7b9~wa6b2909w0j?f;06`>;c990:;n5rse34>5<5s4n:47<:d:?g5<<6?j1vi?j:1818b6n38>h63k21823f=z{m8>6=4={:4>7b9~wa552909w0j<3;06`>;c;=0:;n5rse1;>5<5s4n8n7<:d:?g7f<6?j1vi=j:1818b4n38>h63k41823f=z{m>96=4={7b9~wa212909w0j;7;06`>;c<10:;n5rse6b>5<5s4n?n7<:d:?g0f<6?j1vi:j:1818b2938>h63k53823f=z{m?<6=4={7b9~wa3e2909w0j:c;06`>;c=m0:;n5rse1:>5<1s4n?;7?70:?g7d<5=m16h9=519289a2e282;70j;c;o0:4=5rse2:>5<3s4n;m7<:d:?g5g<6?l16h?7516g89a6e28=n7p}lc483>7}:kj<1>8j4=ba4>41d3tyhoo4?:3y>gfe=:90;65u2d8d960b<5lk<6<9l;7b9>adc=9>i01ho6:05`?8cfj3;3<63ja382<5=z{j?m6=47{7b9>a=6=9>i01h9m:05`?8c?13;4=d5g>4>73tyh9h4?:9y>`=`=:1=:m4=d7e>41d34o==7?70:?f1=<6091vn;k:18;8b??38>h63j39823f=:m:<1=:m4=d12>41d34o8j7?8c:?f7d<6?j16i>m519289`54282;7p}l5b83>=}:l>l1>8j4=d30>41d34o:=7?8c:?f4f<6?j16i7;3;4>;b8l0:4=5rsbd1>5<5s4i??7<:d:?``2<6?j1vnh<:1818e3:38>h63ld8823f=z{jl?6=4={7b9~wf`22909w0m;0;06`>;dlm0:;n5rsb`:>5<5s4i897<:d:?aad<6091vnlm:1818e4?38>h63me882<5=z{jhh6=4={819~wfdc2909w0m<9;06`>;em>0:4=5rsb`f>5<5s4i8m7<:d:?aa3<6091vnli:1818e4j38>h63me482<5=z{ji;6=4={819~wfe62909w0m;em:0:4=5rsba1>5<5s4i8i7<:d:?aa7<6091vnm<:1818e4n38>h63me082<5=z{jhj6=4={819~w`?42909w0jjb;06`>;bi90:;k5rsd;7>5<5s4nnj7<:d:?fe0<6?o1vh7::1818ba938>h63ja6823c=z{l3=6=4={7g9~w`?02909w0ji5;06`>;bil0:;k5rsd4f>5<5s4nn<7<:d:?f3g<6?o1vh8i:1818bb<38>h63j81823c=z{l=;6=4={7g9~w`162909w0jj8;06`>;b0=0:;k5rsd51>5<5s4nnm7<:d:?f<<<6?o1vh:6:1818bc=38>h63j57823c=z{l>j6=4={7g9~w`2e2909w0jkb;06`>;b=m0:;k5rsd6`>5<5s4noh7<:d:?f1c<6?o1vh:k:1818bcn38>h63j65823c=z{l8?6=4={7g9~w`422909w0jle;06`>;b;?0:;k5rsd05>5<5s4no<7<:d:?f7=<6?o1vh<8:1818bc:38>h63j3`823c=z{l836=4={7g9~wa`a2909w0jmf;06`>;b8j0:;k5rsd23>5<5s4nh?7<:d:?f54<6?o1vh>>:1818bd=38>h63j12823c=z{l:96=4={7g9~w`642909w0jl9;06`>;b9h0:;k5rsb40>5;em<0:;n52bd6952e<5ko86<9l;<`f6?70k27ii<4>7b9>f`e=9>i01okm:05`?8bek38>h6s|c7694?c|5koj6<9n;<`f=?70i27ii54>7`9>f`1=9>k01ok9:05b?8db=3;41f34hn=7?8a:?aaf<6?h16nhl516c89adc2;?o70lj0;34e>{tk?81<7=t=b63>4>734nin7<:d:?`06<6091vn8>:1808be938>h63l41823c=:k=91=:h4}rafa?6==r7hik4=5e9>`c5=91:01ih::0:3?8cfj3;819>``g=91:01h69:05f?8c0l3;819>a37=9>o01h;7:05e?xudm;0;68u2cd1960b<5mn96<6?;7d9>a65=9>l0q~mke;291~;dlo099i52db595=6<5mi26<6?;7g9~wf2f290hw0m;b;06`>;c<:0:;k52d5`952`<5m9i6<9i;7g9>`0e=91:01i?m:05e?8b513;41a3tyh=k4?:3y>fcd=:{tk821<7=t=b06>44d34hm<7<:d:?`6c<6?h1vn?6:1808e5>3;9o63mf0811a=:k::1=:o4}ra2e?6=;r7h>:4>2b9>fc3=::05b?xud9k0;6>u2c3:957e<5kl=6?;k;288h70li7;06`>;d;:0:;l5rsb3g>5<4s4i9m7?=c:?aaa<5=m16o>:516c8yve6m3:1?v3l2c80e==:k:l1=l:4=cgf>73c3tyo9l4?:7y>`0>=91:01ill:05a?8db83;41f3tyh9=4?:3y>`25=:1282;7p}l5883>7}:l>i1>8j4=b:;>4>73tyh954?:3y>`2d=:0;6?u2d6c960b<5j2h6<6?;|q`13<72;q6h:7524f89f>b282;7p}l5483>7}:l>21>8j4=b;3>4>73tyh994?:3y>`21=:6282;7p}l5083>7}:l>>1>8j4=b:0>4>73tyo9;4?:6y>`05=m916h86516c89add28=j70lj0;34f>;c=?084l52d7d952d<5mhj6<9i;|qg6a<720q6h?h516c89adb28=i70jmd;34a>;c>o0:;h52d01952g<5mk=6<9j;<4`2?70j27o>i4<8`9>`gg=91:0q~m81;296~;e10099i52d61952g52z?a=a<5=m16h:<516c8yve0=3:1>v3m9d811a=:l>;1=:o4}ra42?6=:r7i5k4=5e9>`2e=9>k0q~m87;296~;ei9099i52d6`952g52z?ae4<5=m16h:o516c8yve013:1>v3ma3811a=:l>31=:o4}ra4e?6=:r7im>4=5e9>`2>=9>k0q~m8b;296~;ei=099i52d65952g52z?ae0<5=m16h:8516c8yve0:3:1>v3m9`811a=:l>?1=:o4}ra47?6=:r7i5o4=5e9>`22=9>k0q~mle;2965}:jo<1=:o4=cd4>41f34hnh7?8a:?`gf<6?k16on8516`89gcb28=j70ljf;34e>;en80:;l52bg2952g<5kl>6<9n;7`9>fcd=9>k01oh;:05`?8e4<3;41e34i8<7?8b:?`6c<6?k16o?k516`89f4c28=n70m=c;34a>;d9?0:;h52c07952c<5jin6>6n;7d9>fc5=9>k01i>n:05a?8edn3;;dk?0:;h52d2`952c<5kon6<9m;<`fb?70j27ij<4>7d9>fc6=9>h01oh::05`?8b283;1=:o4=b33>41f34i:=7?8a:?`4a<6?h16o=k516c89f6f28=j70m?b;34e>;d8>0:;l52c1:952g<5j:?6<9n;7`9>g54=9>k01ohk:05b?8dam3;41f34i9o7?8a:?`53<6?h16o<;516c89fef2:2j70ml9;34b>;c<:0:;h52d5`952c<5m9m6<9j;819>ga6=9>l01i?m:05`?8b513;41d3tyho54?:34x9g`128=i70li7;34f>;emm0:;o52cba95=6<5ji=6<6?;7b9>f``=9>i01oh>:05a?8da83;41d34hmn7?8c:?ab1<6?k16o>:516g89f5428=n70m<2;34a>;d;80:;h52c22952c<5j8m6<9j;i4>7b9>g7e=9>i01n?9:05`?8e6=3;41d34n?n7?8c:?g7g<6?j16nk=516a89a2028=h70j:0;34g>;dl90:;h52d0`952d<5m826<9m;3;41f34ih:7?8a:?aa`<6?l16nhh516g89g`628=h70li0;34g>;en<0:;o52bgd952d<5kli6<9m;<`e0?70i27h?94>7b9>g65=9>i01n==:05`?8e493;41d34i9h7?8f:?`6f<6?o16o<8516d89f7228=m70ml4;1;e>;dl80:;n52d51952d<5m>i6<9m;7c9>fc5=9>h01i:8:05a?8b7i3;539c89a?b28=i70jnc;34b>;c>l0:4=52dg3952e<5mom6<9l;7g9>`74=9>o01ih::05f?8cfj3;41b34nj?7?8f:?ge1<6?o16i47516a89d`>28=h7p}k6683>47|5m<<6>6n;7c9>`g6=9>h01ih>:05f?8bbn3;41a34nm97?8c:?feg<6?k16il<516`89ag728=h70jn1;34g>;ci:0:;h52d`6952c<5l326<9m;|q`b2<728;p1nh8:2:b?8b>>3;41d34nn<7?8f:?b66<6?l16hho516g89`>128=h70k8d;34g>;c110:;h52d8;952c<5m3i6<9i;7b9>`74=9>h01l<::05`?xudnl0;6<>t=bdf>6>f34n2:7?8a:?geg<6?k16ho>516c89ac128=n70jj4;34a>;cm90:;o52d3`952e<5moj6<9l;7c9>`<>=9>i01i76:05`?8b>j3;>1=:l4}rf37?6=9;q6h==539c89a>b28=i70jna;34b>;c>l0:;k52de`952e<5mn26<9l;7d9>`a`=9>o01h8>:05`?8c203;41a34n287?8f:?f0c<6?j16h?<516d89<3628=n7p}k0b83>47|5m:h6>6n;7c9>`g6=9>o01i9?:05b?8bcj3;41d34o==7?8b:?f1=<6?k16h4>516a89a?628=h70j63;34a>;c1=0:;h52e5d952d<5m8i6<9i;|qg50<728;p1i?::2:b?8b?>3;41d34nhm7?8f:?;3g<6?l16hi:516g89`5d28=h70k<3;34g>;c010:;h52d9;952c<5m2i6<9i;l4>7b9>`74=9>k0159k:05`?xuc9j0;6<>t=e3`>6>f34n3:7?8a:?ge<<6?k16hlk516`89ab728=n70jle;34a>;ckh0:;o52de6952e<5l9h6<9m;7b9>`=?=9>i01i6m:05f?8b?k3;;c?90:;o52db7952e<5mi86<9l;7d9>`f?=9>o01h?8:05`?8c7m3;41a34n387?8f:?f40<6?j16h?<516a892g028=n7p}k3183>46|5m9;6>6n;7c9>`f3=9>o01im<:05f?8ben3;41e34o;i7?8b:?g<5<6?j16h5?516a89a>428=n70j74;34a>;b8<0:;o52d3`952d517y>fge=j;16ni=5b39>`gc=9>o01ilk:05a?8bf>3;41f34hhn7?8a:?agf<6?h16nnj516c89geb28=j70llf;34e>;el90:;l52be3952g<5kn96<9n;<``7`9>2f0=9>o01nh9:2:b?8b2n3;;>g0d=9>h01i;l:05`?8b0n3;41d34n2j7?8c:?ge5<6?o16h46516d89a?728=m70j78;34b>;c090:;k52d`195=6<5mk?6<6?;819>`<5=91:01i7;:0:3?8b?j3;3<63k8b82<5=:l191=5>4=e:7>4>734o257?8e:?f31<6?l16i9h516g89`4f28=n70k?5;34a>;0i>0:;k5286f952`<50?:6<9i;7g9>g=5=9>i01n6>:05`?8e><3;41d34i3o7?8c:?`128=h70m74;34g>;d?l0:;n5rse02>5<0s4iho7?8f:?`g3<6?o16hl;516`89fea28=j70jn7;34g>;c:8084l52d43952g55z?aad<6?l16o9;516`89f1c2:2j70l68;34=>;d?l0:;l5rsb4;>5<2s4hn57?8e:?`00<6?h16n58516c89f1a2:2j70m74;34e>{tk?31<7;t=cg;>41b34i?:7?8b:?a<=<6?h16o5;539c89f>128=j7p}l6`83>0}:jl=1=:k4=b65>41f34h3m7?8a:?`<2<40h16o56516c8yve1j3:19v3me7823`=:k=<1=:m4=c:`>41f34i357=7a:?`6>f34i3o7?8a:pg3b=83?p1ok;:05f?8e3=3;41f3tyh:h4?:4y>f`5=9>o01n:::05`?8d>:3;7d9>g13=91:01o7;:05b?8e>9393m63l93823d=z{j=;6=4:{<`f5?70m27h8;4>7g9>f<0=9>k01n7<:2:b?8e><3;7`9>g=6=;1k01n6>:05b?xud>>0;68u2bd`952c<5j>>6<9i;<`:g?70i27h4?4<8`9>g=5=9>k0q~m65;291~;emh0:;o52c5:952d<5ki<6<9m;7`9~wf??290>w0lj9;34f>;d<10:;l52bbc952d<5jk86>6n;;ekk0:;o52c`;97=g<5jkj6<9n;|q`=d<7228=j70llc;34f>;dik084l52c`a952g55z?aa3<6?k16o97516a89gec28=i70mnd;1;e>;dil0:;l5rsb;`>5<2s4hn97?8b:?`0<<6?l16nnk516`89fga2:2j70mm0;34e>{tk0n1<7;t=cg7>41e34i?47?8e:?agc<6?k16oo?539c89fd528=j7p}l9d83>0}:jl91=:l4=b6;>41d34ho<7?8b:?`f6<40h16oo:516c8yve>n3:19v3me3823g=:k=21=5>4=cf2>41e34ii97=7a:?`f3<6?h1vno?:1868db93;6>f34ii47?8a:pg<0=83?p1okl:05a?8e313;3<63mc9823g=:kh>1?5o4=bc6>41f3tyh5:4?:4y>f`d=9>h01n:7:05e?8dd13;311=9>k01::7:0:3?814n3;mk1=:o4=7fa>4>73ty<9<4?:5y>304=:0z?465<6?l16;<;516g8926f28=n709=9;34a>;0890:;h526g5952c<5?on6<9j;<4f1?70m27=h?4>7d9>2fb=9>o01:<::05b?80dn3;73c34=887=n8:p2f?=83;8w08k2;3;4>;1km0:4=52734952g<5>>j6?;k;<52`?70j27<=?4>7c9>351=9>h01:?8:05a?80al3;lh1=:l4=7g1>41e34516c893be28=j709=4;34f>;0:l0:;n52727952d87>518y>376=9>k01:?::05b?817i3;41f34;0:=0:;k52735952d<5>8m6<9n;<561?42l27<=44>7b9>35c=9>i01:><:05`?817j3;o:1=:m4=7g4>41d34953`:8yv1283:1=4u270f952g<5>;96<9n;<533?70i27<=:4>7`9>2cb=9>k01;h;:05b?80bj3;jl1=5>4=7f3>41f34e;34g>;09:0:;n5271:952e<5>;36<9l;<4ea?70k27=j84>7b9>2`e=9>i01;k<:05`?815j3;;09>0:;k526gf952`<5?l?6<9i;<4ff?70n27=i?4>7g9>2f`=9>l01;j?:05a?80dj3;73c34=8>7?8a:?46g<60916;>>516`8925228=j709<6;34e>{t=1l1<7;t=4;6>6>f34?=o7?8b:?62<<6?j169;:516c890>d28=h7p}:8d83>3}:=0>1?5o4=44a>41d34?=o7?8e:?62<<6?l169;:516`890>d28=n7p}:8e83>2}:=091?5o4=44a>41e34?=o7?8c:?62d<6?k169;7516c8900328=h70;7c;34b>{t=ko1<79t=4`f>6>f34?h<7?8a:?6g7<6?h16:>j516`8932128=i708;1;=0:;l5rs4a2>5<0s4?h<7?8b:?6g7<6?k169n?539c8932128=h708;1;=0:;n5262f952g52z?6f`o=4=5e9~w0>0290?w0;63;`3?83><3h;70;65;`3?83?038>h6s|59;94??|5<3n6o>4=4;e>g6<54=4c2>g6<54=4c0>g6<54=4c6>g6<5<2j6?;k;|q61g1=j9169o65b19>1g?=j9169oo5b19>1gd=j9169om5b19>1gb=j91695m524f8yv31;3:15v3:6e823d=:=?>1>8j4=44f>41f34?<<7?8a:?637<6?h169::516c8901128=j70;88;34e>;2?h0:;l5rs4;3>5<5s4?2?7k?;<75e?42l2wx94?50;0x90?32l:0188m:37g?xu21;0;6?u25879a5=:=?i1>8j4}r756?6=:r7>o>4j0:?62<<5=m1v8o9:18183e>393m63:6d82<5=z{;=4>819~w0g?2909w0;m8;1;e>;2?;0:4=5rs4c:>5<5s4?i57=7a:?631<6091v8on:18183ei393m63:7782<5=z{;54>819~w0gd2909w0;mc;1;e>;2?h0:4=5rs443>528=m70;78;34e>;20h0:;l5259a952g<5<<:6>6n;|q6<7<72:q695;539c8901b28=j70;70;34g>{t=1;1<7:t=4:0>6>f34?3:1>v3:69805<5s4?=;7=7a:?62={t=>l1<7;t=44:>41e34?h<7?8c:?6<=<6?k1695o516`8901a2:2j7p}:ad83>7}:=k<1i=5257f960b52z?6f2:h4=5e9~w0d72909w0;m8;g3?830838>h6s|5c394?4|54=451>73c3ty>n?4?:3y>1gg=m9169::524f8yv3e;3:1>v3:bc8f4>;2??099i5rs4`7>5<5s4?io7k?;<74u262d960b<5?>?6<9l;<47f?7?82wx9no50;fx90ee2;?o70;j1;34b>;2lo0:;k525ef952`<5h:4>7g9>1a3=9>l018j<:05e?83c93;41a3ty=13b=9>l01;>m:37g?831m3;81=:m4=457>41d34?<:7?8c:?63=<6?j169:o516a8yv0703:1>v3:6e823f=:>931>8j4}r7`o44=5e9>1`7=9>o018ji:05f?83cl3;41b34?o97?8e:?6`6<6?l169i?516g890ea28=n70;ld;34a>;2k10:;h5rs4g0>5<5s4?n?7<:d:?6bg6}:=o91>8j4=4d6>g4<5?:>6o<4}r7e5?6=;r7>j<4=5e9>1c5=j;16:=:5b39~w0ca2908w0;jf;06`>;2n80i>639028a6>{t=ln1<7=t=4gg>73c34?nj7l=;<436?d53ty>io4?:2y>1`d=:9:1n?5rs4g4>5<4s4?n;7<:d:?6a<jk4m2:p1`3=839p18k::37g?83b?3h970;ie;`1?xu2m;0;6>u25d0960b<56o<4=4dg>g453z?6a7<4i1169k751`6890`?2;?o7p}93283>7}:>:81i=52626960b52z?5702909w08<8;g3?804i38>h6s|62a94?4|5?9i6h>4=764>73c3ty=844?:3y>21>=m916:9k524f8yv3d?3:1>v39278f4>;2k1099i5rs4f3>5<5s4<9;7k?;<7g5?42l2wx9i<50;0x934f2l:018j<:37g?xu2l=0;6?u263`9a5=:=m?1>8j4}r7g2?6=:r7=>n4j0:?6`2<5=m1v8j7:181805l3o;70;k9;06`>{t=mk1<7`6<51ab=:7}:>;31i=525bd960b52z?54f;1?=0i<6397c8a4>;1?j0i<6397e8a4>;1?l0i<6397g8a4>;1090i<639808a4>;10;0i<639748a4>;1??0i<639768a4>;1?10i<639788a4>;1?h0i<63:c7811a=z{?;j6=4={<7e=?42l27>o54>7b9~w37c2909w0;id;06`>;2l80:;n5rs73f>5<5s4?mi7<:d:?6`6<6?j1v;?i:18183an38>h63:d4823f=z{?8;6=4={<434?42l27>h:4>7b9~w3462909w08?1;06`>;2l00:;n5rs701>5<5s4<;>7<:d:?6`g<6?j1v;<<:181807;38>h63:de823f=z{?8?6=4={<430?42l27>hk4>7b9~w3422909w08?5;06`>;2m80:;n5rs73a>5<5s4?mm7<:d:?6ga<6?j1v;?l:18183aj38>h63:cg823f=z{?>36=4>ez?50=<40h16:9h516c8933628=h70;lb;34a>;1;>0:;k52640952d<57b9>25?=9>o01;:::05b?831n3;91=:m4=456>41d34?<;7?8c:?63<<6?j169:l516a8932128=n7086c;34g>;11k0:;h526`1952e<5?9j6<9i;<400?70m27=9=4>7`9>216=9>l01;:j:05b?804l3;l539c8932a28=i708:1;34a>;2kk0:;n52625952e<57c9>25?=9>h01;:l:05f?803l3;41b34?<=7?8e:?636<6?l169:;516g8901028=n70;89;34a>;2?k0:;h5268a952c<5?3i6<9i;<4b7?70m27=?l4>7`9>262=9>h01;;?:05`?802:3;==1=:l4=76a>41d34n?j7?8f:p26>=83;mw08<8;1;e>;1o44>7c9>1f0=9>k01;>m:05b?80713;=h1=:l4=76g>41e34;2?<0:;l52565952g<5<=26<9n;<74f?70i27=8;4>7`9>2l01;7m:05`?80f;3;:>1=:h4=773>41e34<>>7?8e:?57a<6?o16h>o516a8yv04=3:1=ku262797=g<5?>m6<9j;<465?70j27>oo4>7c9>261=9>k018m6:05`?83d>3;931=:m4=766>41d34;2?<0:;o52565952d<5<=26<9m;<74f?70j27=5n4>7c9>2h01;o<:05a?804i3;3<6393582<5=:><:1=:k4=771>41a347?8c:?502<6?h16:9l516c89a5f28=m70j;f;3;4>{t>:81<7?k{<406?5?i27>:i4>7c9>207=9>k018mm:05b?831m3;81=:l4=457>41e34?<:7?8b:?63=<6?k169:o516`8932328=j708;c;34f>;11j0:4=5268`952g<5?k86<6?;<466?70i27>i<4>7c9>1a`=9>h018jk:05a?83cj3;41e34?o?7?8b:?6`4<6?k169nh516`890ec28=i70;l8;34f>{t>9o1<7;t=705>6>f34<8j7?8e:?505<6?j169k6516;890e?28=j7p}91083>0}:>;=1?5o4=71e>41a34?n:7?8a:?6`4<6?h16:9>516`8yv06:3:1:v392`80=91=:k4=76b>4>734?n47?8a:?6`6<6?h16:9>516g8yv06;3:1:v392c80=91=:h4=76b>41b34?nm7?8a:?6`0<6?h16:9?516a8yv06<3:19v392b80:l1=5>4=4g`>41f34?o;7?8a:?504<6?h1v;?::186805l393m6393g823f=:=lo1=:o4=4f:>41f3441f3441f34=831=:o4=4fe>41f34:2:b?804n3;41f3ty=27>=;1k01;=i:05a?83b<3;=81=:o4}r424?6=>r7=>44<8`9>215=91:01;:n:05`?83ak3;=81=:l4}r43g?6=;r7=21e=9>i01;>9:05b?xu1=:0;6?u264`97=g<5?:=6<9m;|q511<72;q6:8m539c89361282;7p}95483>7}:>41b3ty=9;4?:3y>20c=;1k01;>9:05`?xu1=>0;6?u264d97=g<5?:<6<9j;|q51=<72;q6:;>539c8936028=i7p}95883>7}:>?;1?5o4=724>41f3ty=9l4?:3y>234=;1k01;>8:05`?xu1?80;6?u269397=g<5<7}:>>?1?5o4=452>41a3ty=:84?:3y>220=;1k0189<:05e?xu1>?0;6?u266597=g<5<=>6<9i;|q522<72;q6::6539c8901028=m7p}96983>7}:>>31?5o4=45:>41a3ty=:44?:3y>22g=;1k0189m:05e?xu1>:0;6?u266197=g<5<7}:>>h1?5o4=453>41b3ty=:n4?:3y>22e=;1k0189=:05f?xu1>m0;6?u266f97=g<5<=?6<9j;|q52`<72;q6::k539c8901128=n7p}96g83>7}:>>l1?5o4=45;>41b3ty=;=4?:3y>2=6=;1k0189n:05f?xu40?0;6>u2394960b<5?h;6<9m;<1;02;?o708m0;34e>;4010:4=5rsecg>5<5s4nji7<:d:?gf5<6?j1vi8k:1808bfn38>h63kb182<5=:l>:1=:k4}rf5a?6=:r7o:h4=5e9>`26=9>l0q~j9f;296~;c>o099i52d62952e7>52z?g51<5=m16h<=516d8yv46l3:1>v3kf5811a=:lo91=:m4}r00g?6=:r7oi44=5e9>``>=9>i0q~<:0;296~;cll099i52def952e>7>52z?g`6<5=m16hi<516a8yv7a;3:1>v3kc9811a=:lj=1=:m4}rf7b?6=:r7o8k4=5e9>`07=91:0q~j:0;296~;c=9099i52d43952`58z?`b5<5=m16ohh519289a?a28=n70k6d;34e>;b100:;k52dg095=6<5ml;6<6?;;c1>0:;h52e6:952g<5l=?6<9i;819>``7=9>o0q~?id;29<~;dm1099i52cd595=6<5m2m6<9j;7g9>`ae=91:01ijn:0:3?8bc>3;|5jo?6?;k;7d9>a7c=9>k01hga`=91:01i9i:05f?8c713;4=ea7>4>734nh<7?8e:pgf`=838p1nmi:37g?8ec93;{tk891<773c34i887?8f:pg5`=838p1n??:37g?8e4;3;3<6s|c0294?4|5j;:6?;k;{tk9n1<773c34i8>7?8f:pg5?=838p1n>n:37g?8e493;3<6s|c1c94?4|5j:i6?;k;{tk9=1<773c34i8<7?8f:pg55=838p1n>;:37g?8e5n3;3<6s|c1694?4|5j:>6?;k;50;0x9f662;?o70m=e;3;4>{tk9;1<773c34i9i7?8f:pfce=838p1ohk:37g?8dan3;2;?o70lib;34b>{tjo31<773c34hmn7?8e:p67?=838p1io8:37g?8bf>3;3<6s|22694?2|5mh96?;k;7c9>g14=9>o0q~jm8;290~;cj0099i52dc`952g<5j>:6<9l;;d<80:;h52c5095=65fz?`00<5=m16h::516a89a1628=h70j82;34g>;c?:0:;n52d67952e<5m==6<9l;7b9>`2?=9>i01i9n:05`?8b0j3;41b3tyh884?:gy>g10=:81=:l4=e50>41e34n<97?8b:?g33<6?k16h:9516`89a1?28=i70j89;34f>;c?h0:;o52d6`952d<5m=h6<9m;;c980:;h52d4d952c54z?`0<<5=m16o8l516a89a7628=h70j:f;34g>{t?821<74>734=:57<:d:p34?=838p1:?k:0:3?816i38>h6s|70`94?4|5>;o6<9l;<52g?42l2wx;{t?8n1<741a34=:i7<:d:p34c=838p1:h6s|71f94?4|5>;>6<6?;<53a?42l2wx;=k50;0x9275282;709?f;06`>{t?8:1<741d34=:=7<:d:p347=838p1:?=:37g?816=3;;>6<9i;<527?42l2wx;<=50;0x927228=h709>4;06`>{t?981<74>734=;?7<:d:p355=838p1:>8:0:3?817<38>h6s|71794?4|5>:<6<9l;<532?42l2wx;=850;0x92602;?o709?a;34f>{t?9=1<741a34=;47<:d:p35>=838p1:>n:05`?817138>h6s|71394?4|5>826<6?;<53f?42l2wx;=l50;0x9270282;709?c;06`>{t?8<1<741d34=::7<:d:p341=838p1:?8:37g?81513;826<9i;<5228=h709=1;06`>{t>o21<74>734h6s|6g`94?4|5?lo6<9l;<4eg?42l2wx:km50;0x93`c2;?o709?0;34f>{t>on1<741a34?:05`?80an38>h6s|6dd94?4|5?l<6<6?;<4e4?42l2wx:k>50;0x93`3282;708i1;06`>{t>o81<741d34{t>l<1<74>734h6s|6d;94?4|5?oi6<9l;<4fe?42l2wx:ho50;0x93ce2;?o708je;34f>{t>lh1<741a34h6s|6ef94?4|5?o>6<6?;<4ga?42l2wx:ik50;0x93c5282;708kf;06`>{t>ml1<77t=7g1>41b342;34a>;09m0:;h52705952c<5>;i6?;k;|q5a5<72;q6:h<516a893c62;?o7p}9e083>7}:>l81>8j4=7g6>41e3ty=i?4?:3y>2`3=9>l01;k<:37g?xu1m:0;6?u26d7952e<5?o?6?;k;|q5gc<72;q6:i>524f893b428=i7p}9d183>7}:>m81=:h4=7f2>73c3ty=h<4?:3y>2a4=:u26bg952c<5?im6?;k;<4g7?70m2wx:no50;0x93ee2;?o708le;34f>{t>jh1<741a34>?6<9j;<577?42l2wx;9=50;0x92232;?o709;9;34b>{t?=?1<741b34=?:7<:d:p310=838p1::8:37g?81313;>36?;k;<57=?70k2wx;>o50;0x925d28=n709{t?:h1<773c34=?=7?8f:p36b=838p1:=i:05f?814m38>h6s|72g94?4|5>9m6?;k;<575?70m2wx;>h50;0x92272;?o709;1;34g>{t>m?1<741b347c9>313=:52z?5`d<5=m16:im516g8yv0ci3:1>v39dc811a=:>mi1=:m4}r517?6=:r7<>94>819>375=:52z?460<5=m16;?6516d8yv15>3:1>v3827811a=:?;21=5>4}r505?6=:r736>=9>h0q~9<3;296~;0;10:;n52726960b52z?46c<6?j16;?l524f8yv15k3:1>v382e811a=:?::1=5>4}r001?6=:r7>:h4>7g9>13`=:52z?637<6?o169:=524f8yv4403:1>v3:75823c=:=>?1>8j4}r00=?6=:r7>;;4>7g9>121=:52z?63d<6?o169:l524f8yv04l3:1>v394382<5=:>:n1>8j4}r474?6=:r7=8=4=5e9>214=9>l0q~8;1;296~;1<8099i52650952c7>52z?507<5=m16:9951928yv03;3:1>v3942811a=:>=>1=:l4}r470?6=:r7=894=5e9>211=9>l0q~8;5;296~;1<<099i52655952c52z?503<5=m16:99516a8yv03i3:1>v394`811a=:>=h1=:h4}r47f?6=:r7=8o4=5e9>21c=91:0q~8;c;296~;152z?50a<5=m16:9k516g8yv44l3:1>v3906811a=:>9<1=:h4}r713?6=:r7>=54l7:?66=<6?01v8<>:18183603h<70;=2;34=>{t=8i1<7f1<5<;o6<96;|q665<72;q69<;5b69>175=9>30q~:kf;297~X3lo168h=516;891ba2:=:7p};de83>6}Y;3lj08;<5rs5fa>5<4sW>on63;e1823<=:9>;|q7a3<72:qU8h84=5ga>41>34>n:7=81:p0`3=839pR9k:;<6fe?70127?i84<709~w1c32908wS:j4:?7a<<6?0168h:53638yv2a93:1?vP;f09>0c3=9>3019h>:252?xu3mo0;6>uQ4dd891`328=270:jf;145>{t;3n;0:;4524df9727mm7>53z\7bd=:6163ty?j54?:2y]0c><5=lo6<96;<6emo7?89:?7b2<4?81v9h9:180[2a>27?jo4>789>0c0=;>;0q~;?3;297~X28:169=9516;890642:=:7p}:0083>6}Y=9;018>9:05:?837939<=6s|51294?5|V<:;70;?5;34=>;28908;<5rs5de>5<4sW>mj63:05823<=:9>;|qff<<72:qUio74=d`b>41>34oi57=81:p15>=839pR8>7;<73=?70127><54<709~w06f2908wS;?a:?64g<6?0169=o53638yv37k3:1?vP:0b9>15b=9>3018>l:252?xu28l0;6>uQ51g8906a28=270;?e;145>{t=8:1<7=t^433?83693;<563:118034=z{8hi6=4<{_3af>;6jj0:;4521c`972753z\0eg=:;hi1=:74=2ca>6163tyo:7>53z\g2>;c?3;<563k6;145>{t::o1<741f34;;;7<:d:p66`=838p1;o=:05b?877<38>h6s|25294?4|5?k:6<9n;<335?42l2wx>9?50;0x93g728=j70hj:37g?xu5<;0;6?u2694952g<5oh1>8j4}r077?6=:r7=5k4>7`9>b=<5=m1v?:;:18180>m3;23811a=z{;>>6=4={<4:`?70i27:=k4=5e9~w7212909w086c;34e>;69j099i5rs364>5<5s4<2n7?70:?25<<5=m1v?:7:18180>i3;17811a=z{;>26=4={<4:6?70i27:=>4=5e9~w72f2909w0860;34e>;699099i5rs36a>5<5s4<3i7?8a:?24a<5=m1v?:l:18180?k3;0`811a=z{;>o6=4={<4:=?70i27m97<:d:p61c=838p18:6:d289`c42;?o7p}=4g83>7}:=:h1=:m4=dg4>73c3ty?no4?:2y]0gd<5=in6?;k;<6af?5092wx8o750;1xZ1d>34>hh7<:d:?7f<<4?81v9l7:180[2e027?on4=5e9>0g>=;>;0q~:m7;297~X3j>168nl524f891d02:=:7p};b783>6}Y39<=6s|4c794?5|V=h>70:l9;06`>;3j<08;<5rs5`7>5<4sW>i863;c9811a=:1?:?4}r6a7?6=;rT?n>524b5960b<5=h86>9>;|q7f7<72:qU8o<4=5a5>73c34>i>7=81:p0f2=839pR9m;;<6g3?42l27?o94<709~w1e42908wS:l3:?7`3<5=m168n=53638yv2d:3:1?vP;c39>0a3=:uQ4b3891b32;?o70:l1;145>{th63;c18034=z{=hm6=4<{_6ab>;3l;099i524cd9727ii7>53z\7f`=:8j4=5`f>6163ty?ni4?:2y]0gb<5=n;6?;k;<6a`?5092wx8om50;1xZ1dd34>hj7<:d:?7ff<4?81v9l>:180[2e927?o84=5e9>0g7=;>;0q~ki1;297~Xbn816ik<524f89``62:=:7p}jeg83>6}Ymll01hh?:37g?8cbn39<=6s|6`:94?5|V?k3708n9;06`>;1i108;<5rsd`1>5<4sWoi>63jb2811a=:mk81?:?4}r3a9>;|q660<72:qU9?;4=405>73c34?997=81:p16?=838p18=6:37g?83403o;7p}:8783>7}:=1?1i=52594960b52z?6<6494=5e9~w4ec2908w0?ld;06`>;6kj08m5521d:95d253z?2gc<5=m16=nk53`:894c>28k?7p}>d083>6}:9m;1>8j4=0f3>6g?34;nm7?n4:p5a5=839p1ec82e1=z{8n>6=4<{<3g1?42l27:h945`e=9h>0q~?k7;297~;6l>099i521e497d><58oo6{t9mh1<7=t=0fa>73c34;om7=n8:?2ac<6i=1vh63>db80e==:9o:1=l:4}r3`7?6=;r7:o>4=5e9>5f4=;h201:0c7?xu6k<0;6>u21b7960b<58i?6>o7;<3f6?7f<2wx=n950;1x94e02;?o70?l6;1b<>;6m:0:m95rs0a:>5<4s4;h57<:d:?2g=<4i116=h:51`68yv7dj3:1?v3>cc811a=:9jk1?l64=0g6>4g33tyij>4?:2y>fc2=:3;j86s|b9694?5|5k2?6?;k;<`;7?5f027i5l4>a59~wg?12908w0l66;06`>;e1<08m552b`795d253z?a=1<5=m16n4=53`:89gg328k?7p}m9383>6}:j081>8j4=c;2>6g?34hj?7?n4:pf<6=839p1o7?:37g?8d?n39j463ma382e1=z{k2n6=4<{<`;a?42l27i4i4fd7=9h>0q~l7c;297~;e0j099i52b9`97d><5kk;6>2:k370l6f;3b0>{tj121<7=t=c:;>73c34h3;7=n8:?a=`<6i=1vo69:1808d?>38>h63m8480e==:j0n1=l:4}r7f0?6=;r7>i94=5e9>1`5=;h2018hn:0c7?xu2n?0;6>u25g4960b<56>o7;<431?7f<2wx9k:50;1x90`32;?o70;i3;1b<>;18=0:m95rs4d1>5<4s4?m>7<:d:?6b4<4i116:==51`68yv3a83:1?v3:f1811a=:=ll1?l64=721>4g33ty>ih4?:2y>1`c=:a59~w0cf2908w0;ja;06`>;2m008m5525gd95d253z?6a=<5=m169h953`:890`b28k?7p}:e783>6}:=l<1>8j4=4g6>6g?34?mh7?n4:p5`1=838p13;j86s|b8a94?4|5k3h6?;k;<`:f?7f<2wx9km50;0x90`d2;?o70;ib;3b0>{t>k:1<7=t=7cf>`6<5?h;6?;k;<4bb?c73ty>9:4?:3y>100=m916989524f8yv32=3:1>v3:558f4>;2=<099i5rs41a>5<5s4?8m7k?;<70f?42l2wx;l;50;0x92g32l:01:o::37g?xu0k>0;6?u27b49a5=:?j=1>8j4}r5:{t0>h1<7`6<51=i6?;k;|q;=a<72;q644m5e19><m0n<6376d811a=z{1=26=4={<:47}:1>:1i=52963960b7>52z?:04h6s|a3194?4|5h896h>4=`00>73c3tyj884?:3y>e12=m916m9;524f8yvg6>3:1>v3n148f4>;f9?099i5rs`02>5<5s4k9<7k?;8j4}rcfe?6=:r7ji44j0:?bad<5=m1vlh::1818ga<3o;70oi5;06`>{tl:<1<7`6<5m9=6?;k;|q63`<72;q69:j5e19>12c=:?6=4={<777?c734??87<:d:p<7d=838p157}:0oo1i=528gd960b52z?:`74=5e9~wdg02909w0on6;g3?8gf?38>h6s|b6`94?4|5k=j6h>4=c5a>73c3tyn544?:3y>a6<9l;|qf0c<72;q6i9h524f89`3728=h7p}j2`83>7}:m;k1>8j4=d0a>41d3tyn<84?:3y>a53=:9:05`?xubik0;6?u2e``960b<5lkh6<9l;|qfe7<72;q6il<524f89`g428=h7p}j9e83>7}:m0n1>8j4=d;f>41d3tyn4;4?:3y>a=0=:28=h7p}j6083>7}:m?;1>8j4=d41>41d3tyn954?:3y>a0>=:m524f89`5c28=h7p}j3283>7}:m:91>8j4=d17>41d3tyn>h4?:3y>a7c=:0;6?u2e05960b<5l;36<9l;|qf4`<72;q6i=k524f89`6a28=h7p}j0883>7}:m931>8j4=d2b>41d3ty99<4?:2y>g`7=:6?;k;h4>7c9~w7332908w0mj9;06`>;c0o0:;k52e41952d97>53z?`aa<5=m16h49516d89`1?28=i7p}=5783>6}:ko;1>8j4=e;e>41a34o2h7?8b:p601=838p1>66:37g?80e83;3<6s|1g794?4|5j>:6<6?;{t9o=1<773c34i?>7?8c:p5c?=838p1io?:37g?8c>l3;{t9oi1<773c34o<47?8f:p5cc=838p1i76:37g?8c003;=>50;0x9a?72;?o70k:3;34b>{t:9;1<773c34o>?7?8e:p654=838p1i7=:37g?8c2;3;3<6s|21194?4|5m236?;k;=:50;0x9a>>2;?o70k=e;34a>{t:9?1<773c34o9i7?70:p650=838p1i6?:37g?8c713;=650;0x9a>52;?o70k?9;3;4>{t:931<773c34ni?7?8a:p65g=838p1il::37g?8be;3;=m50;0x9ag32;?o70jn2;34f>{t:9n1<773c34n2m7?8a:p65`=838p1i7l:37g?8b>i3;{t:881<773c34n3m7?8a:p645=838p1i6l:37g?8b?i3;<;50;0x9a>32;?o70j72;34f>{t:8<1<773c34o257?70:p641=838p1h99:37g?8c0<3;3<6s|20:94?4|5l?:6?;k;<750;0x9`4d2;?o70k=a;3;4>{t:8k1<773c34o;97?70:p64d=838p1:<8:05`?815038>h6s|20a94?4|5>8o6<6?;<51a?42l2wx>{t:;:1<773c34nm=7?70:p677=838p1ik8:37g?8bb>3;3<6s|23094?4|5mnh6?;k;?=50;0x9ab62;?o70jk0;3;4>{t:;>1<773c34nh97?70:p673=838p1ih?:37g?8bbn3;3<6s|23494?4|5mo>6?;k;?950;0x9abf2;?o70jk9;3;4>{t:;21<773c34nhi7?70:p67g=838p1im;:37g?8bd;3;3<6s|23`94?4|5mi;6?;k;?m50;0x9aee2;?o70jla;3;4>{t:;n1<773c34no97?70:p67c=838p1ik>:37g?8bb83;3<6s|23d94?4|5moh6?;k;42l:01:6;:37g?xu00;0;6?u27939a5=:?181>8j4}r5b5?6=:r7m3o;7096f;06`>{t?0n1<7`6<5>3o6?;k;|q4=5<72;q6;5h5e19>3<6=:2j6=4={<5;=?c734=3m7<:d:p3=>=838p1:68:d2892>?2;?o7p}89783>7}:?0?1i=52784960b52z?4=62i38>h6s|84:94?4|51?<6h>4=97;>73c3ty3;:4?:3y><20=m9164:9524f8yv>0=3:1>v37758f4>;??<099i5rs950>5<5s42<>7k?;<:47?42l2wx4;850;0x9=022l:01589:37g?xu?>=0;6?u28719a5=:0?>1>8j4}r:54?6=:r739k4j0:?;25<5=m1v5;j:1818>2l3o;706:e;06`>{t0?i1<7`6<51<3g=::m0n<6362d811a=z{08h6=4={<;1f?c73439o7<:d:p=1d=838p14:n:d289<2e2;?o7p}64883>7}:1=21i=5295;960b52z?:03h6s|92:94?4|509<6h>4=81;>73c3ty2?94?:3y>=65=m9165>:524f8yv?4:3:1>v36308f4>;>;;099i5rs863>5<5s438j7k?;<;74?42l2wx5>k50;0x9<5c2l:014=j:37g?xuf8;0;6?u2a139a5=:i981>8j4}rc34?6=:r72jk4j0:?b45<5=m1vl?i:1818g6m3o;70o>f;06`>{ti8n1<7`6<5h;o6?;k;|qb5g<72;q6me4d=:=838p1l>8:d289d6?2;?o7p}n0783>7}:i9?1i=52a14960b52z?b561;g3?8g6:38>h6s|ae494?4|5hn>6h>4=`f5>73c3tyjh94?:3y>ea5=m916mi:524f8yvga;3:1>v3nf38f4>;fn:099i5rs`d2>5<5s4km<7k?;8j4}rcf4?6=:r7jhk4j0:?ba5<5=m1vljl:1818gcj3o;70okc;06`>{timk1<7`6<5hnj6?;k;|qba=<72;q6mh95e19>e`>=:7<:d:p`7d=838p1i7}:l;n1i=52d46960b52z?g24h6s|cg`94?4|5jl36h>4=bda>73c3tyogc`=m916h=<524f8yvb7?3:1>v3k058f4>;c8>099i5rse33>5<5s4n;h7k?;8j4}rf13?6=:r7o>94j0:?g62<5=m1vi=;:1818b493o;70j<4;06`>{tl<31<7`6<5m?26?;k;|q`g<<72;q6on65e19>gf?=:7}:i;>1i=52a37960b52z?bb=h6s|cb594?4|5ji?6h>4=ba4>73c3tyn5l4?:3y>a<>=m916i4o524f8yvc0=3:1>v3j728f4>;b?<099i5rsd73>5<5s4o?i7k?;2l:01h8j4}rag=?6=:r7hh54j0:?``<<5=m1vi?>:1818ea>3o;70j>1;06`>{tkmh1<7`6<5jni6?;k;|qg1c<72;q6h8;5e19>`0`=:h7<:d:p`4d=838p1i?n:d289a7e2;?o7p}k2883>7}:l;21i=52d3;960b52z?`bfh6s|e8g94?4|5l3h6h>4=d;f>73c3tyn;44?:3y>a21=m916i:7524f8yvc2<3:1>v3j538f4>;b==099i5rsd0e>5<5s4o9h7k?;n:37g?xuc>?0;6?u2d779a5=:l?<1>8j4}rf7{tl=:1<7`6<5m>;6?;k;|qg0f<72;q6h975e19>`1e=:0n<63k3b811a=z{m>?6=4={7}:kh<1i=52c`5960b52z?`e1h6s|cc494?4|5jh>6h>4=b`5>73c3tyhn94?:3y>gg5=m916oo:524f8yvee:3:1>v3lb08f4>;dj;099i5rsb`3>5<5s4ijj7k?;8j4}rabe?6=:r7hm44j0:?`ed<5=m1vno7:1818ef;3o;70mn8;06`>{tkh81<7`6<5jk96?;k;|q5ec<7203p1;oi:2:b?8>513;41f34=3i7?8a:?4;?=h0:;l5284:952g<51<=6<9n;<:50?70i273:=4>7`9><0c=9>k0158l:05b?8>1i3;41f343847?8a:?:71<6?h165><516c89<2728=j707;f8;0:;l52a12952g<5h:n6<9n;7`9>e50=9>k01l?;:05b?8g6:3;1=:o4=`g1>41f34kn<7?8a:?b`f<6?h16mio516c89dc?28=j70oj6;34e>;0i80:;l5278d952g<5>3o6<9n;<:43?70i273;84>7`9><25=9>k014:m:05b?8?313;41f34k:n7?8a:?bb6<6?h16mk?516c89dca28=j70j:4;34e>;c>=0:;l52d7`952g<5jli6<9n;7`9>`46=9>k01i?6:05b?8b583;1=:o4=e7:>41f34ihh7?8a:?`g2<6?h16i4o516c89`1228=j70k:0;34e>;b:k0:;l52e14952g<5jn26<9n;n4>7`9>`0b=9>k01h7j:05b?8c013;41f34n?47?8a:?g17<6?h16h9>516c89a2d28=j70j;c<=0:;l52cef952g<5jn<6<9n;7`9>a6>=9>k01h?<:05b?8cf?3;41f34o8:7?8a:?f74<6?h16i;bi90:;l52e4`952g<5l?=6<9n;7`9>a=?=9>k01h6;:05b?8c1<3;41f34o:m7?8a:?f50<6?h16ilm516c89`g428=j70k77;34e>;b?l0:;l52e70952g<5l?26<9n;7`9>a4>=9>k01h>i:05b?8ef?3;41e34ii87?8b:?`f7<6?k16oo>516`89fgb28=i70mnc;34f>;dih0:;o52c`:952d<5jk96<9m;7d9>g<2=9>o01n7=:05f?8e>83;41b34i347?8e:?`<3<6?l16o5:516g89f1b28=n7p}j8383>7}:m1;1i=52e90960b52z?f3ch6s|e2:94?4|5l9<6h>4=d1;>73c3tyn?;4?:3y>a63=m916i>8524f8yvc493:1>v3j318f4>;b;8099i5rsd30>5<5s4o:>7k?;:37g?xub8j0;6?u2e1`9a5=:m9i1>8j4}rag`?6=:r7hhn4j0:?``a<5=m1vnj8:1818ec>3o;70mk7;06`>{tmh=1<7`6<5lk<6?;k;|qfe0<72;q6il:5e19>ad3=:h7<:d:pa0d=838p1h;n:d289`3e2;?o7p}j5783>7}:m52z?fea2909w0kn8;g3?8cf138>h6s|e9;94?4|5l236h>4=d::>73c3tyn494?:3y>a=5=m916i5:524f8yvc1<3:1>v3j628f4>;b>=099i5rsd7e>5<5s4o>i7k?;h50;0x9`5b2l:01h=i:37g?xub;h0;6?u2e2;9a5=:m:k1>8j4}rg2e?6=:r7n=44j0:?f5d<5=m1vh?::1818c6<3o;70k>5;06`>{tmhi1<7`6<5lkh6?;k;|qfe6<72;q6il?5e19>ad5=:7}:m<=1i=52e4;960b52z?f7gh6s|e0:94?4|5l;=6h>4=d3;>73c3tyna5b=m916i=h524f8yve?;3:1>v3l838f4>;d0:099i5rsb:2>5<5s4i3<7k?;8j4}ra:4?6=:r7h4k4j0:?`=5<5=m1vn6j:1818e?l3o;70m7e;06`>{tk1i1<7`6<5j2h6?;k;|q`g=g=:0n<63l89811a=z{j2=6=4={32;?o7p}l7d83>7}:k>n1i=52c6g960b52z?47=<5=m16;>9516c8yv4493:1>v3838811a=:?:=1=:l4}r006?6=:r7362=9>k0q~<<3;296~;0;?099i52726952d52z?63f<40h169;?5e19~yk4ek<0;6:47=4}o0ag<<728qC?kk4n53;>1=zf;hhm7>51zJ0b`=i<82196sa2caa>5<6sA9mi6`;1985?xh5jji1<7?tH2df?k2603=0qc4}O;oo0b9?7:99~j7ddm3:1=vF=12we>omi:182M5am2d?=54n;|l1fa6=83;pD>hj;o62{i:kn86=4>{I1ea>h3910n7p`=be694?7|@:ln7c:>8;d8yk4el<0;64}O;oo0b9?7:038yk4el>0;64}O;oo0b9?7:018yk4el00:60(9?=:7c`?xh5jmk1<7?tH2df?k2603;>7p`=be`94?7|@:ln7D=81;3x5?{]9>;1?v?n0;3b3?5bm3wQ?hk51z726?{i<821=;5rn3`gg?6=9rB8jh5a40:952=zf;hoh7>51zJ0b`=i<821=55rn3`ga?6=9rB8jh5a40:95<=zf;hoj7>51zJ0b`=i<821=l5rn3`f4?6=9rB8jh5a40:95g=zf;hn=7>51zJ0b`=i<821=n5rn3`f6?6=9rB8jh5a40:95a=zf;hn?7>51zJ0b`=i<821=h5rn3`f0?6=9rB8jh5a40:95c=zf;hn97>51zJ0b`=i<821>=5rn3`f2?6=9rB8jh5a40:964=zf;hn;7>51zJ0b`=i<821>?5rn3`f51zJ0b`=i<821>95rn3`fe?6=9rB8jh5a40:960=zf;hnn7>51zJ0b`=i<821>;5rn3`fg?6=9rB8jh5a40:962=zf;hnh7>51zJ0b`=i<821>55rn3`fa?6=9rB8jh5a40:96<=zf;hnj7>51zJ0b`=i<821>l5rn3`e4?6=:rB8jh5F36397~2=9391qW?81;1x5d6=9h=1?<75}[12=?4|9121?;1?v?n0;3b3?5613wQ?<752z3;vF1=7=5}[345?5|9h:1=l9530;9y_56138p=56530d9yk26038o7p`=bg194?4|@:ln7D=81;1x0?7=;3wQ=:?53z3b4?7f?39:57sU30;96~7?039:j7sa40:96`=zf;hm87>52zJ0b`=N;>;1?v:51;19y_70939p=l>51`5974?=uS9:57:47hj;H145?5|<3;1?7sU16397~7f83;j;7=>9;Y74?=:r;347=>f;m04>=;91vb?li6;296~N4nl1B?:?53z695?5=uS;<=7=t1`295d1=;831qW=>9;0x5=>=;8l1qc:>8;12?xh5jo=1<7v?78;12b?{i<821??5rn3`e;1?v?n0;3b3?5613wQ?<752z3;vF1=7=5}[345?5|9h:1=l9530;9y_56138p=56530d9yk26039>7p`=bg`94?4|@:ln7D=81;1x0?7=;3wQ=:?53z3b4?7f?39:57sU30;96~7?039:j7sa40:973=zf;hmo7>52zJ0b`=N;>;1?v:51;19y_70939p=l>51`5974?=uS9:57:47=8;|l1fcb=838pD>hj;H145?5|<3;1?7sU16397~7f83;j;7=>9;Y74?=:r;347=>f;m04>=;11vb?lie;296~N4nl1B?:?53z695?5=uS;<=7=t1`295d1=;831qW=>9;0x5=>=;8l1qc:>8;1:?xh5jol1<7v?78;12b?{i<821?l5rn3a34?6=9rB8jh5a40:97g=zf;i;=7>51zJ0b`=i<821?n5rn3a36?6=9rB8jh5a40:97a=zf;i;?7>51zJ0b`=i<821?h5rn3a30?6=9rB8jh5a40:97c=zf;i;97>51zJ0b`=i<8218=5rn3a32?6=9rB8jh5a40:904=zf;i;;7>51zJ0b`=i<8218?5rn3a351zJ0b`=i<821895rn3a3e?6=9rB8jh5a40:900=zf;i;n7>52zJ0b`=N;>;1?v:51;19y_70939p=l>51`5974?=uS9:57:47:9;|l1g5e=838pD>hj;H145?5|<3;1?7sU16397~7f83;j;7=>9;Y74?=:r;347=>f;m04>=<>1vb?m?d;295~N4nl1e8<65499~j7e7m3:1=vF=<01vb?m?f;395~N4nl1e8<654`9~j7e683;1=vF=1;395~N4nl1e8<654b9~j7e6:3;1=vF=3;395~N4nl1e8<654d9~j7e6<3;1=vF=5;395~N4nl1e8<65519~j7e6>3;1=vF==81vb?m>7;395~N4nl1e8<65539~j7e603;1=vF==:1vb?m>9;395~N4nl1e8<65559~j7e6i3;1=vF==<1vb?m>b;395~N4nl1e8<65579~j7e6k3;1=vF==>1vb?m>d;395~N4nl1e8<65599~j7e6m3:1=vF==01vb?m>f;295~N4nl1e8<655`9~j7e583;1=vF==k1vb?m=1;295~N4nl1e8<655b9~j7e5:3:1=vF==m1vb?m=3;295~N4nl1e8<655d9~j7e5<3:1=vF==o1vb?m=5;295~N4nl1e8<65619~j7e5>3:1=vF=>81vb?m=7;295~N4nl1e8<65639~j7e503:1=vF=>:1vb?m=9;295~N4nl1e8<65659~j7e5i3:1=vF=><1vb?m=b;295~N4nl1e8<65679~j7e5k3:1=vF=>>1vb?m=d;295~N4nl1e8<65699~j7e5m3:1=vF=>01vb?m=f;295~N4nl1e8<656`9~j7e483:1=vF=>k1vb?m<1;295~N4nl1e8<656b9~j7e4:3:1=vF=>m1vb?m<3;295~N4nl1e8<656d9~j7e4<3:1=vF=>o1vb?m<5;295~N4nl1e8<65719~j7e4>3:1=vF=?81vb?m<7;295~N4nl1e8<65739~j7e403:1=vF=?:1vb?m<9;295~N4nl1e8<65759~j7e4i3:1=vF=?<1vb?m=?>1vb?m=?01vb?m=?k1/8<<550f8yk4d<80;6i0qc4}O;oo0b9?7:6f8yk4d<:0;6o0qc4}O;oo0b9?7:6d8yk4d<<0;64}O;oo0b9?7:938yk4d<>0;64}O;oo0b9?7:918yk4d<00;60qc4}O;oo0b9?7:978yk4d4}O;oo0b9?7:958yk4d4}O;oo0b9?7:9;8yk4d4}O;oo0b9?7:9`8yk4d=80;64}O;oo0b9?7:9f8yk4d=:0;64}O;oo0b9?7:9d8yk4d=<0;64}O;oo0b9?7:838yk4d=>0;64}O;oo0b9?7:818yk4d=00;60b>k;:09~j7e2i3:1?vF=1<1e?h:50:m6f3e290:wE=ie:l75=<>>2we>n;l:182M5am2d?=5467:m6f3c290:wE=ie:l75=<>02we>n;j:182M5am2d?=5469:m6f3a290:wE=ie:l75=<>i2we>n8?:182M5am2d?=546b:m6f06290:wE=ie:l75=<>k2we>n8=:182M5am2d?=546d:m6f04290:wE=ie:l75=<>m2we>n8;:182M5am2d?=546f:m6f02290:wE=ie:l75=n89:182M5am2d?=54n1:m6f00290:wE=ie:l75=n87:182M5am2d?=54n3:m6f0>290:wE=ie:l75=n8n:182M5am2d?=54n5:m6f0e290:wE=ie:l75=2we>n8l:182M5am2d?=54n7:m6f0c290:wE=ie:l75=n8j:181M5am2d?=54n9:m6f0a2909wE=ie:l75=n9?:181M5am2d?=54nb:m6f162909wE=ie:l75=n9=:181M5am2d?=54nd:m6f142909wE=ie:l75=n9;:181M5am2d?=54nf:m6f122909wE=ie:l75=n99:181M5am2d?=54m1:m6f102909wE=ie:l75=n97:181M5am2d?=54m3:m6f1>2909wE=ie:l75=n9n:181M5am2d?=54m5:m6f1e2909wE=ie:l75=2we>n9l:181M5am2d?=54m7:m6f1c2909wE=ie:l75=n9j:182M5am2d?=54m9:m6f1a290:wE=ie:l75=n6?:182M5am2d?=54mb:m6f>6290:wE=ie:l75=n6=:182M5am2d?=54md:m6f>4290:wE=ie:l75=n6;:182M5am2d?=54mf:m6f>2290:wE=ie:l75=n69:182M5am2d?=54l1:m6f>0290:wE=ie:l75=n67:182M5am2d?=54l3:m6f>>290:=vF=k=1vb?m7a;295~N4nl1e8<65c49~j7e?j3:1=vF=k?1vb?m7c;295~N4nl1e8<65c69~j7e?l3:1=vF=k11vb?m7e;295~N4nl1e8<65c89~j7e?n3:1=vF=kh1vb?m60;295~N4nl1e8<65cc9~j7e>93:1=vF=kj1vb?m62;295~N4nl1e8<65ce9~j7e>;3:1>vF=kl1vb?m64;295~N4nl1e8<65cg9~j7e>=3:1>vF=l91vb?m66;295~N4nl1e8<65d09~j7e>?3:1=vF=l;1vb?m68;295~N4nl1e8<65d29~j7e>13:1=vF=l=1vb?m6a;295~N4nl1e8<65d49~j7e>j3:1=vF=l?1vb?m6c;295~N4nl1e8<65d69~j7e>l3:1=vF=l11vb?m6e;295~N4nl1e8<65d89~j7e>n3:1=vF=lh1vb?mn0;295~N4nl1e8<65dc9~j7ef93:1=vF=lj1vb?mn2;295~N4nl1e8<65de9~j7ef;3:1=vF=ll1vb?mn4;295~N4nl1e8<65dg9~j7ef=3:1=vF=m91vb?mn6;295~N4nl1e8<65e09~j7ef?3:1=vF=m;1vb?mn8;295~N4nl1e8<65e29~j7ef13:1=vF=m=1vb?mna;295~N4nl1e8<65e49~j7efj3:1=vF=m?1vb?mnc;295~N4nl1e8<65e69~j7efl3:1=vF=m11vb?mne;295~N4nl1e8<65e89~j7efn3:1=vF=mh1vb?mm0;295~N4nl1e8<65ec9~j7ee93:1=vF=mj1vb?mm2;295~N4nl1e8<65ee9~j7ee;3:1=vF=ml1vb?mm4;295~N4nl1e8<65eg9~j7ee=3:1=vF=n91vb?mm6;295~N4nl1e8<65f09~j7ee?3:1=vF=n;1vb?mm8;295~N4nl1e8<65f29~j7ee13:1=vF=n=1vb?mma;295~N4nl1e8<65f49~j7eej3:1=vF=n?1vb?mmc;295~N4nl1e8<65f69~j7eel3:1=vF=n11vb?mme;295~N4nl1e8<65f89~j7een3:1=vF=nh1vb?ml0;295~N4nl1e8<65fc9~j7ed93:1=vF=nj1vb?ml2;295~N4nl1e8<65fe9~j7ed;3:1=vF=nl1vb?ml4;295~N4nl1e8<65fg9~j7ed=3:1=vF=99:0qc4}O;oo0b9?7:022?xh5kj=1<7?tH2df?k2603;;>6sa2ba;>5<6sA9mi6`;198246=zf;ih57>51zJ0b`=i<821==:4}o0`gd<728qC?kk4n53;>4623td9onl50;3xL6`b3g>:47??6:m6fed290:wE=ie:l75=<68>1vb?mld;295~N4nl1e8<6511:8yk4dkl0;68;33e>{i:jn;6=4>{I1ea>h3910:k;|l1ga5=83;pD>hj;o62nj;:182M5am2d?=54>0g9~j7ec=3:1=vF=98:0qc4}O;oo0b9?7:032?xh5km=1<7?tH2df?k2603;:>6sa2bf;>5<6sA9mi6`;198256=zf;io57>51zJ0b`=i<821=<:4}o0``d<728qC?kk4n53;>4723td9oil50;3xL6`b3g>:47?>6:m6fbd290:wE=ie:l75=<69>1vb?mkd;295~N4nl1e8<6510:8yk4dll0;68;32e>{i:jo;6=4>{I1ea>h3910:=o5rn3af5?6=9rB8jh5a40:954ehj;o62nk;:182M5am2d?=54>1g9~j7eb=3:1=vF=9;:0qc4}O;oo0b9?7:002?xh5kl=1<7?tH2df?k2603;9>6sa2bg;>5<6sA9mi6`;198266=zf;in57>51zJ0b`=i<821=?:4}o0`ad<728qC?kk4n53;>4423td9ohl50;3xL6`b3g>:47?=6:m6fcd290:wE=ie:l75=<6:>1vb?mjd;295~N4nl1e8<6513:8yk4dml0;68;31e>{i:jl;6=4>{I1ea>h3910:>o5rn3ae5?6=9rB8jh5a40:957ehj;o62nh;:182M5am2d?=54>2g9~j7ea=3:1=vF=9::0qc4}O;oo0b9?7:012?xh5ko=1<7?tH2df?k2603;8>6sa2bd;>5<6sA9mi6`;198276=zf;im57>51zJ0b`=i<821=>:4}o0`bd<728qC?kk4n53;>4523td9okl50;3xL6`b3g>:47?<6:m6f`d290:wE=ie:l75=<6;>1vb?mid;295~N4nl1e8<6512:8yk4dnl0;68;30e>{i:m:;6=4>{I1ea>h3910:?o5rn3f35?6=9rB8jh5a40:956ehj;o62i>;:182M5am2d?=54>3g9~j7b7=3:1=vF=9=:0qc4}O;oo0b9?7:062?xh5l9=1<7?tH2df?k2603;?>6sa2e2;>5<6sA9mi6`;198206=zf;n;57>51zJ0b`=i<821=9:4}o0g4d<728qC?kk4n53;>4223td9h=l50;3xL6`b3g>:47?;6:m6a6d290:wE=ie:l75=<6<>1vb?j?d;295~N4nl1e8<6515:8yk4c8l0;627p`=d1d94?7|@:ln7c:>8;37e>{i:m;;6=4>{I1ea>h3910:8o5rn3f25?6=9rB8jh5a40:951ehj;o62i?;:182M5am2d?=54>4g9~j7b6=3:1=vF=9<:0qc4}O;oo0b9?7:072?xh5l8=1<7?tH2df?k2603;>>6sa2e3;>5<6sA9mi6`;198216=zf;n:57>51zJ0b`=i<821=8:4}o0g5d<728qC?kk4n53;>4323td9h:47?:6:m6a7d290:wE=ie:l75=<6=>1vb?j>d;295~N4nl1e8<6514:8yk4c9l0;68;36e>{i:m8;6=4>{I1ea>h3910:9o5rn3f15?6=9rB8jh5a40:950e?4?:0yK7cchj;o62i<;:182M5am2d?=54>5g9~j7b5=3:1=vF=9?:0qc4}O;oo0b9?7:042?xh5l;=1<7?tH2df?k2603;=>6sa2e0;>5<6sA9mi6`;198226=zf;n957>51zJ0b`=i<821=;:4}o0g6d<728qC?kk4n53;>4023td9h?l50;3xL6`b3g>:47?96:m6a4d290:wE=ie:l75=<6>>1vb?j=d;295~N4nl1e8<6517:8yk4c:l0;68;35e>{i:m9;6=4>{I1ea>h3910::o5rn3f05?6=9rB8jh5a40:953ehj;o62i=;:182M5am2d?=54>6g9~j7b4=3:1=vF=9>:0qc4}O;oo0b9?7:052?xh5l:=1<7?tH2df?k2603;<>6sa2e1;>5<6sA9mi6`;198236=zf;n857>51zJ0b`=i<821=::4}o0g7d<728qC?kk4n53;>4123td9h>l50;3xL6`b3g>:47?86:m6a5d290:wE=ie:l75=<6?>1vb?j8;34e>{i:m>;6=4>{I1ea>h3910:;o5rn3f75?6=9rB8jh5a40:952ehj;o62i:;:182M5am2d?=54>7g9~j7b3=3:1=vF=91:0qc4}O;oo0b9?7:0:2?xh5l==1<7?tH2df?k2603;3>6sa2e6;>5<6sA9mi6`;1982<6=zf;n?57>51zJ0b`=i<821=5:4}o0g0d<728qC?kk4n53;>4>23td9h9l50;3xL6`b3g>:47?76:m6a2d290:wE=ie:l75=<60>1vb?j;d;295~N4nl1e8<6519:8yk4c8;3;e>{i:m?;6=4>{I1ea>h3910:4o5rn3f65?6=9rB8jh5a40:95=ehj;o62i;;:182M5am2d?=54>8g9~j7b2=3:1=vF=90:0qc4}O;oo0b9?7:0;2?xh5l<=1<7?tH2df?k2603;2>6sa2e7;>5<6sA9mi6`;1982=6=zf;n>57>51zJ0b`=i<821=4:4}o0g1d<728qC?kk4n53;>4?23td9h8l50;3xL6`b3g>:47?66:m6a3d290:wE=ie:l75=<61>1vb?j:d;295~N4nl1e8<6518:8yk4c=l0;68;3:e>{i:m<;6=4>{I1ea>h3910:5o5rn3f55?6=9rB8jh5a40:95hj;o62m2we>i8;:182M5am2d?=54>9g9~j7b1=3:1=vF=9h:0qc4}O;oo0b9?7:0c2?xh5l?=1<7?tH2df?k2603;j>6sa2e4;>5<6sA9mi6`;1982e6=zf;n=57>51zJ0b`=i<821=l:4}o0g2d<728qC?kk4n53;>4g23td9h;l50;3xL6`b3g>:47?n6:m6a0d290:wE=ie:l75=<6i>1vb?j9d;295~N4nl1e8<651`:8yk4c>l0;68;3be>{i:m=;6=4>{I1ea>h3910:mo5rn3f45?6=9rB8jh5a40:95dehj;o62i9;:182M5am2d?=54>ag9~j7b0=3:1=vF=9k:0qc4}O;oo0b9?7:0`2?xh5l>=1<7?tH2df?k2603;i>6sa2e5;>5<6sA9mi6`;1982f6=zf;n<57>51zJ0b`=i<821=o:4}o0g3d<728qC?kk4n53;>4d23td9h:l50;3xL6`b3g>:47?m6:m6a1d290:wE=ie:l75=<6j>1vb?j8d;295~N4nl1e8<651c:8yk4c?l0;68;3ae>{i:m2;6=4>{I1ea>h3910:no5rn3f;5?6=9rB8jh5a40:95gehj;o62i6;:182M5am2d?=54>bg9~j7b?=3:1=vF=9j:0qc4}O;oo0b9?7:0a2?xh5l1=1<7?tH2df?k2603;h>6sa2e:;>5<6sA9mi6`;1982g6=zf;n357>51zJ0b`=i<821=n:4}o0g4e23td9h5l50;3xL6`b3g>:47?l6:m6a>d290:wE=ie:l75=<6k>1vb?j7d;295~N4nl1e8<651b:8yk4c0l0;68;3`e>{i:m3;6=4>{I1ea>h3910:oo5rn3f:5?6=9rB8jh5a40:95fehj;o62i7;:182M5am2d?=54>cg9~j7b>=3:1=vF=9m:0qc4}O;oo0b9?7:0f2?xh5l0=1<7?tH2df?k2603;o>6sa2e;;>5<6sA9mi6`;1982`6=zf;n257>51zJ0b`=i<821=i:4}o0g=d<728qC?kk4n53;>4b23td9h4l50;3xL6`b3g>:47?k6:m6a?d290:wE=ie:l75=<6l>1vb?j6d;295~N4nl1e8<651e:8yk4c1l0;68;3ge>{i:mk;6=4>{I1ea>h3910:ho5rn3fb5?6=9rB8jh5a40:95aehj;o62io;:182M5am2d?=54>dg9~j7bf=3:1=vF=9l:0qc4}O;oo0b9?7:0g2?xh5lh=1<7?tH2df?k2603;n>6sa2ec;>5<6sA9mi6`;1982a6=zf;nj57>51zJ0b`=i<821=h:4}o0ged<728qC?kk4n53;>4c23td9hll50;3xL6`b3g>:47?j6:m6agd290:wE=ie:l75=<6m>1vb?jnd;295~N4nl1e8<651d:8yk4cil0;68;3fe>{i:mh;6=4>{I1ea>h3910:io5rn3fa5?6=9rB8jh5a40:95`ehj;o62il;:182M5am2d?=54>eg9~j7be=3:1=vF=9o:0qc4}O;oo0b9?7:0d2?xh5lk=1<7?tH2df?k2603;m>6sa2e`;>5<6sA9mi6`;1982b6=zf;ni57>51zJ0b`=i<821=k:4}o0gfd<728qC?kk4n53;>4`23td9hol50;3xL6`b3g>:47?i6:m6add290:wE=ie:l75=<6n>1vb?jmd;295~N4nl1e8<651g:8yk4cjl0;68;3ee>{i:mi;6=4>{I1ea>h3910:jo5rn3f`5?6=9rB8jh5a40:95cehj;o62im;:182M5am2d?=54>fg9~j7bd=3:1=vF=:9:0qc4}O;oo0b9?7:322?xh5lj=1<7?tH2df?k26038;>6sa2ea;>5<6sA9mi6`;198146=zf;nh57>51zJ0b`=i<821>=:4}o0ggd<728qC?kk4n53;>7623td9hnl50;3xL6`b3g>:471vb?jld;295~N4nl1e8<6521:8yk4ckl0;68;03e>{i:mn;6=4>{I1ea>h39109k;|l1`a5=83;pD>hj;o62ij;:182M5am2d?=54=0g9~j7bc=3:1=vF=:8:0qc4}O;oo0b9?7:332?xh5lm=1<7?tH2df?k26038:>6sa2ef;>5<6sA9mi6`;198156=zf;no57>51zJ0b`=i<821><:4}o0g`d<728qC?kk4n53;>7723td9hil50;3xL6`b3g>:47<>6:m6abd290:wE=ie:l75=<59>1vb?jkd;295~N4nl1e8<6520:8yk4cll0;68;02e>{i:mo;6=4>{I1ea>h39109=o5rn3ff5?6=9rB8jh5a40:964ehj;o62ik;:182M5am2d?=54=1g9~j7bb=3:1=vF=:;:0qc4}O;oo0b9?7:302?xh5ll=1<7?tH2df?k260389>6sa2eg;>5<6sA9mi6`;198166=zf;nn57>51zJ0b`=i<821>?:4}o0gad<728qC?kk4n53;>7423td9hhl50;3xL6`b3g>:47<=6:m6acd290:wE=ie:l75=<5:>1vb?jjd;295~N4nl1e8<6523:8yk4cml0;68;01e>{i:ml;6=4>{I1ea>h39109>o5rn3fe5?6=9rB8jh5a40:967ehj;o62ih;:182M5am2d?=54=2g9~j7ba=3:1=vF=:::0qc4}O;oo0b9?7:312?xh5lo=1<7?tH2df?k260388>6sa2ed;>5<6sA9mi6`;198176=zf;nm57>51zJ0b`=i<821>>:4}o0gbd<728qC?kk4n53;>7523td9hkl50;3xL6`b3g>:47<<6:m6a`d290:wE=ie:l75=<5;>1vb?jid;295~N4nl1e8<6522:8yk4cnl0;68;00e>{i:l:;6=4>{I1ea>h39109?o5rn3g35?6=9rB8jh5a40:966ehj;o62h>;:182M5am2d?=54=3g9~j7c7=3:1=vF=:=:0qc4}O;oo0b9?7:362?xh5m9=1<7?tH2df?k26038?>6sa2d2;>5<6sA9mi6`;198106=zf;o;57>51zJ0b`=i<821>9:4}o0f4d<728qC?kk4n53;>7223td9i=l50;3xL6`b3g>:47<;6:m6`6d290:wE=ie:l75=<5<>1vb?k?d;295~N4nl1e8<6525:8yk4b8l0;627p`=e1d94?7|@:ln7c:>8;07e>{i:l;;6=4>{I1ea>h391098o5rn3g25?6=9rB8jh5a40:961ehj;o62h?;:182M5am2d?=54=4g9~j7c6=3:1=vF=:<:0qc4}O;oo0b9?7:372?xh5m8=1<7?tH2df?k26038>>6sa2d3;>5<6sA9mi6`;198116=zf;o:57>51zJ0b`=i<821>8:4}o0f5d<728qC?kk4n53;>7323td9i:47<:6:m6`7d290:wE=ie:l75=<5=>1vb?k>d;295~N4nl1e8<6524:8yk4b9l0;68;06e>{i:l8;6=4>{I1ea>h391099o5rn3g15?6=9rB8jh5a40:960e?4?:0yK7cchj;o62h<;:182M5am2d?=54=5g9~j7c5=3:1=vF=:?:0qc4}O;oo0b9?7:342?xh5m;=1<7?tH2df?k26038=>6sa2d0;>5<6sA9mi6`;198126=zf;o957>51zJ0b`=i<821>;:4}o0f6d<728qC?kk4n53;>7023td9i?l50;3xL6`b3g>:47<96:m6`4d290:wE=ie:l75=<5>>1vb?k=d;295~N4nl1e8<6527:8yk4b:l0;68;05e>{i:l9;6=4>{I1ea>h39109:o5rn3g05?6=9rB8jh5a40:963ehj;o62h=;:182M5am2d?=54=6g9~j7c4=3:1=vF=:>:0qc4}O;oo0b9?7:352?xh5m:=1<7?tH2df?k26038<>6sa2d1;>5<6sA9mi6`;198136=zf;o857>51zJ0b`=i<821>::4}o0f7d<728qC?kk4n53;>7123td9i>l50;3xL6`b3g>:47<86:m6`5d290:wE=ie:l75=<5?>1vb?k8;04e>{i:l>;6=4>{I1ea>h39109;o5rn3g75?6=9rB8jh5a40:962ehj;o62h:;:182M5am2d?=54=7g9~j7c3=3:1=vF=:1:0qc4}O;oo0b9?7:3:2?xh5m==1<7?tH2df?k260383>6sa2d6;>5<6sA9mi6`;1981<6=zf;o?57>51zJ0b`=i<821>5:4}o0f0d<728qC?kk4n53;>7>23td9i9l50;3xL6`b3g>:47<76:m6`2d290:wE=ie:l75=<50>1vb?k;d;295~N4nl1e8<6529:8yk4b8;0;e>{i:l?;6=4>{I1ea>h391094o5rn3g65?6=9rB8jh5a40:96=ehj;o62h;;:182M5am2d?=54=8g9~j7c2=3:1=vF=:0:0qc4}O;oo0b9?7:3;2?xh5m<=1<7?tH2df?k260382>6sa2d7;>5<6sA9mi6`;1981=6=zf;o>57>51zJ0b`=i<821>4:4}o0f1d<728qC?kk4n53;>7?23td9i8l50;3xL6`b3g>:47<66:m6`3d290:wE=ie:l75=<51>1vb?k:d;295~N4nl1e8<6528:8yk4b=l0;68;0:e>{i:l<;6=4>{I1ea>h391095o5rn3g55?6=9rB8jh5a40:96hj;o62m2we>h8;:182M5am2d?=54=9g9~j7c1=3:1=vF=:h:0qc4}O;oo0b9?7:3c2?xh5m?=1<7?tH2df?k26038j>6sa2d4;>5<6sA9mi6`;1981e6=zf;o=57>51zJ0b`=i<821>l:4}o0f2d<728qC?kk4n53;>7g23td9i;l50;3xL6`b3g>:471vb?k9d;295~N4nl1e8<652`:8yk4b>l0;68;0be>{i:l=;6=4>{I1ea>h39109mo5rn3g45?6=9rB8jh5a40:96dehj;o62h9;:182M5am2d?=54=ag9~j7c0=3:1=vF=:k:0qc4}O;oo0b9?7:3`2?xh5m>=1<7?tH2df?k26038i>6sa2d5;>5<6sA9mi6`;1981f6=zf;o<57>51zJ0b`=i<821>o:4}o0f3d<728qC?kk4n53;>7d23td9i:l50;3xL6`b3g>:471vb?k8d;295~N4nl1e8<652c:8yk4b?l0;68;0ae>{i:l2;6=4>{I1ea>h39109no5rn3g;5?6=9rB8jh5a40:96gehj;o62h6;:182M5am2d?=54=bg9~j7c?=3:1=vF=:j:0qc4}O;oo0b9?7:3a2?xh5m1=1<7?tH2df?k26038h>6sa2d:;>5<6sA9mi6`;1981g6=zf;o357>51zJ0b`=i<821>n:4}o0f7e23td9i5l50;3xL6`b3g>:47d290:wE=ie:l75=<5k>1vb?k7d;295~N4nl1e8<652b:8yk4b0l0;68;0`e>{i:l3;6=4>{I1ea>h39109oo5rn3g:5?6=9rB8jh5a40:96fehj;o62h7;:182M5am2d?=54=cg9~j7c>=3:1=vF=:m:0qc4}O;oo0b9?7:3f2?xh5m0=1<7?tH2df?k26038o>6sa2d;;>5<6sA9mi6`;1981`6=zf;o257>51zJ0b`=i<821>i:4}o0f=d<728qC?kk4n53;>7b23td9i4l50;3xL6`b3g>:471vb?k6d;295~N4nl1e8<652e:8yk4b1l0;68;0ge>{i:lk;6=4>{I1ea>h39109ho5rn3gb5?6=9rB8jh5a40:96aehj;o62ho;:182M5am2d?=54=dg9~j7cf=3:1=vF=:l:0qc4}O;oo0b9?7:3g2?xh5mh=1<7?tH2df?k26038n>6sa2dc;>5<6sA9mi6`;1981a6=zf;oj57>51zJ0b`=i<821>h:4}o0fed<728qC?kk4n53;>7c23td9ill50;3xL6`b3g>:471vb?knd;295~N4nl1e8<652d:8yk4bil0;68;0fe>{i:lh;6=4>{I1ea>h39109io5rn3ga5?6=9rB8jh5a40:96`ehj;o62hl;:182M5am2d?=54=eg9~j7ce=3:1=vF=:o:0qc4}O;oo0b9?7:3d2?xh5mk=1<7?tH2df?k26038m>6sa2d`;>5<6sA9mi6`;1981b6=zf;oi57>51zJ0b`=i<821>k:4}o0ffd<728qC?kk4n53;>7`23td9iol50;3xL6`b3g>:471vb?kmd;295~N4nl1e8<652g:8yk4bjl0;68;0ee>{i:li;6=4>{I1ea>h39109jo5rn3g`5?6=9rB8jh5a40:96cehj;o62hm;:182M5am2d?=54=fg9~j7cd=3:1=vF=;9:0qc4}O;oo0b9?7:222?xh5mj=1<7?tH2df?k26039;>6sa2da;>5<6sA9mi6`;198046=zf;oh57>51zJ0b`=i<821?=:4}o0fgd<728qC?kk4n53;>6623td9inl50;3xL6`b3g>:47=?6:m6`ed290:wE=ie:l75=<48>1vb?kld;295~N4nl1e8<6531:8yk4bkl0;68;13e>{i:ln;6=4>{I1ea>h39108>k;|l1aa5=83;pD>hj;o62hj;:182M5am2d?=54<0g9~j7cc=3:1=vF=;8:0qc4}O;oo0b9?7:232?xh5mm=1<7?tH2df?k26039:>6sa2df;>5<6sA9mi6`;198056=zf;oo57>51zJ0b`=i<821?<:4}o0f`d<728qC?kk4n53;>6723td9iil50;3xL6`b3g>:47=>6:m6`bd290:wE=ie:l75=<49>1vb?kkd;295~N4nl1e8<6530:8yk4bll0;68;12e>{i:lo;6=4>{I1ea>h39108=o5rn3gf5?6=9rB8jh5a40:974e?k;|l1a`5=83;pD>hj;o62hk;:182M5am2d?=54<1g9~j7cb=3:1=vF=;;:0qc4}O;oo0b9?7:202?xh5ml=1<7?tH2df?k260399>6sa2dg;>5<6sA9mi6`;198066=zf;on57>51zJ0b`=i<821??:4}o0fad<728qC?kk4n53;>6423td9ihl50;3xL6`b3g>:47==6:m6`cd290:wE=ie:l75=<4:>1vb?kjd;295~N4nl1e8<6533:8yk4bml0;68;11e>{i:ll;6=4>{I1ea>h39108>o5rn3ge5?6=9rB8jh5a40:977ehj;o62hh;:182M5am2d?=54<2g9~j7ca=3:1=vF=;::0qc4}O;oo0b9?7:212?xh5mo=1<7?tH2df?k260398>6sa2dd;>5<6sA9mi6`;198076=zf;om57>51zJ0b`=i<821?>:4}o0fbd<728qC?kk4n53;>6523td9ikl50;3xL6`b3g>:47=<6:m6``d290:wE=ie:l75=<4;>1vb?kid;295~N4nl1e8<6532:8yk4bnl0;68;10e>{i:o:;6=4>{I1ea>h39108?o5rn3d35?6=9rB8jh5a40:976e=k;|l1b55=83;pD>hj;o62k>;:182M5am2d?=54<3g9~j7`7=3:1=vF=;=:0qc4}O;oo0b9?7:262?xh5n9=1<7?tH2df?k26039?>6sa2g2;>5<6sA9mi6`;198006=zf;l;57>51zJ0b`=i<821?9:4}o0e4d<728qC?kk4n53;>6223td9j=l50;3xL6`b3g>:47=;6:m6c6d290:wE=ie:l75=<4<>1vb?h?d;295~N4nl1e8<6535:8yk4a8l0;627p`=f1d94?7|@:ln7c:>8;17e>{i:o;;6=4>{I1ea>h391088o5rn3d25?6=9rB8jh5a40:971e:k;|l1b45=83;pD>hj;o62k?;:182M5am2d?=54<4g9~j7`6=3:1=vF=;<:0qc4}O;oo0b9?7:272?xh5n8=1<7?tH2df?k26039>>6sa2g3;>5<6sA9mi6`;198016=zf;l:57>51zJ0b`=i<821?8:4}o0e5d<728qC?kk4n53;>6323td9j:47=:6:m6c7d290:wE=ie:l75=<4=>1vb?h>d;295~N4nl1e8<6534:8yk4a9l0;68;16e>{i:o8;6=4>{I1ea>h391089o5rn3d15?6=9rB8jh5a40:970e?4?:0yK7cc;k;|l1b75=83;pD>hj;o62k<;:182M5am2d?=54<5g9~j7`5=3:1=vF=;?:0qc4}O;oo0b9?7:242?xh5n;=1<7?tH2df?k26039=>6sa2g0;>5<6sA9mi6`;198026=zf;l957>51zJ0b`=i<821?;:4}o0e6d<728qC?kk4n53;>6023td9j?l50;3xL6`b3g>:47=96:m6c4d290:wE=ie:l75=<4>>1vb?h=d;295~N4nl1e8<6537:8yk4a:l0;68;15e>{i:o9;6=4>{I1ea>h39108:o5rn3d05?6=9rB8jh5a40:973e8k;|l1b65=83;pD>hj;o62k=;:182M5am2d?=54<6g9~j7`4=3:1=vF=;>:0qc4}O;oo0b9?7:252?xh5n:=1<7?tH2df?k26039<>6sa2g1;>5<6sA9mi6`;198036=zf;l857>51zJ0b`=i<821?::4}o0e7d<728qC?kk4n53;>6123td9j>l50;3xL6`b3g>:47=86:m6c5d290:wE=ie:l75=<4?>1vb?h8;14e>{i:o>;6=4>{I1ea>h39108;o5rn3d75?6=9rB8jh5a40:972e9k;|l1b15=83;pD>hj;o62k:;:182M5am2d?=54<7g9~j7`3=3:1=vF=;1:0qc4}O;oo0b9?7:2:2?xh5n==1<7?tH2df?k260393>6sa2g6;>5<6sA9mi6`;1980<6=zf;l?57>51zJ0b`=i<821?5:4}o0e0d<728qC?kk4n53;>6>23td9j9l50;3xL6`b3g>:47=76:m6c2d290:wE=ie:l75=<40>1vb?h;d;295~N4nl1e8<6539:8yk4a8;1;e>{i:o?;6=4>{I1ea>h391084o5rn3d65?6=9rB8jh5a40:97=e6k;|l1b05=83;pD>hj;o62k;;:182M5am2d?=54<8g9~j7`2=3:1=vF=;0:0qc4}O;oo0b9?7:2;2?xh5n<=1<7?tH2df?k260392>6sa2g7;>5<6sA9mi6`;1980=6=zf;l>57>51zJ0b`=i<821?4:4}o0e1d<728qC?kk4n53;>6?23td9j8l50;3xL6`b3g>:47=66:m6c3d290:wE=ie:l75=<41>1vb?h:d;295~N4nl1e8<6538:8yk4a=l0;68;1:e>{i:o<;6=4>{I1ea>h391085o5rn3d55?6=9rB8jh5a40:977k;|l1b35=83;pD>hj;o62m2we>k8;:182M5am2d?=54<9g9~j7`1=3:1=vF=;h:0qc4}O;oo0b9?7:2c2?xh5n?=1<7?tH2df?k26039j>6sa2g4;>5<6sA9mi6`;1980e6=zf;l=57>51zJ0b`=i<821?l:4}o0e2d<728qC?kk4n53;>6g23td9j;l50;3xL6`b3g>:47=n6:m6c0d290:wE=ie:l75=<4i>1vb?h9d;295~N4nl1e8<653`:8yk4a>l0;68;1be>{i:o=;6=4>{I1ea>h39108mo5rn3d45?6=9rB8jh5a40:97deok;|l1b25=83;pD>hj;o62k9;:182M5am2d?=54=;k:0qc4}O;oo0b9?7:2`2?xh5n>=1<7?tH2df?k26039i>6sa2g5;>5<6sA9mi6`;1980f6=zf;l<57>51zJ0b`=i<821?o:4}o0e3d<728qC?kk4n53;>6d23td9j:l50;3xL6`b3g>:47=m6:m6c1d290:wE=ie:l75=<4j>1vb?h8d;295~N4nl1e8<653c:8yk4a?l0;68;1ae>{i:o2;6=4>{I1ea>h39108no5rn3d;5?6=9rB8jh5a40:97gelk;|l1b=5=83;pD>hj;o62k6;:182M5am2d?=54=;j:0qc4}O;oo0b9?7:2a2?xh5n1=1<7?tH2df?k26039h>6sa2g:;>5<6sA9mi6`;1980g6=zf;l357>51zJ0b`=i<821?n:4}o0e6e23td9j5l50;3xL6`b3g>:47=l6:m6c>d290:wE=ie:l75=<4k>1vb?h7d;295~N4nl1e8<653b:8yk4a0l0;68;1`e>{i:o3;6=4>{I1ea>h39108oo5rn3d:5?6=9rB8jh5a40:97femk;|l1b<5=83;pD>hj;o62k7;:182M5am2d?=54=3:1=vF=;m:0qc4}O;oo0b9?7:2f2?xh5n0=1<7?tH2df?k26039o>6sa2g;;>5<6sA9mi6`;1980`6=zf;l257>51zJ0b`=i<821?i:4}o0e=d<728qC?kk4n53;>6b23td9j4l50;3xL6`b3g>:47=k6:m6c?d290:wE=ie:l75=<4l>1vb?h6d;295~N4nl1e8<653e:8yk4a1l0;68;1ge>{i:ok;6=4>{I1ea>h39108ho5rn3db5?6=9rB8jh5a40:97aejk;|l1bd5=83;pD>hj;o62ko;:182M5am2d?=54=;l:0qc4}O;oo0b9?7:2g2?xh5nh=1<7?tH2df?k26039n>6sa2gc;>5<6sA9mi6`;1980a6=zf;lj57>51zJ0b`=i<821?h:4}o0eed<728qC?kk4n53;>6c23td9jll50;3xL6`b3g>:47=j6:m6cgd290:wE=ie:l75=<4m>1vb?hnd;295~N4nl1e8<653d:8yk4ail0;68;1fe>{i:oh;6=4>{I1ea>h39108io5rn3da5?7=9rB8jh5a40:97`e:0yK7cckk;|l1bg5=93;pD>hj;o62kl;:082M5am2d?=54=;o:0qc4}O;oo0b9?7:2d2?xh5nk=1=7?tH2df?k26039m>6sa2g`;>4<6sA9mi6`;1980b6=zf;li57?51zJ0b`=i<821?k:4}o0efd<628qC?kk4n53;>6`23td9jol51;3xL6`b3g>:47=i6:m6cdd290:wE=ie:l75=<4n>1vb?hmd;295~N4nl1e8<653g:8yk4ajl0;68;1ee>{i:oi;6=4>{I1ea>h39108jo5rn3d`5?6=9rB8jh5a40:97cehk;|l1bf5=83;pD>hj;o62km;:182M5am2d?=54=<9:0qc4}O;oo0b9?7:522?xh5nj=1<7?tH2df?k2603>;>6sa2ga;>5<6sA9mi6`;198746=zf;lh57>51zJ0b`=i<8218=:4}o0egd<728qC?kk4n53;>1623td9jnl50;3xL6`b3g>:47:?6:m6ced290:wE=ie:l75=<38>1vb?hld;295~N4nl1e8<6541:8yk4akl0;68;63e>{i:on;6=4>{I1ea>h3910?k;|l1ba5=83;pD>hj;o62kj;:182M5am2d?=54;0g9~j7`c=3:1=vF=<8:0qc4}O;oo0b9?7:532?xh5nm=1<7?tH2df?k2603>:>6sa2gf;>5<6sA9mi6`;198756=zf;lo57>51zJ0b`=i<8218<:4}o0e`d<728qC?kk4n53;>1723td9jil50;3xL6`b3g>:47:>6:m6cbd290:wE=ie:l75=<39>1vb?hkd;295~N4nl1e8<6540:8yk4all0;68;62e>{i:oo;6=4>{I1ea>h3910?=o5rn3df5?6=9rB8jh5a40:904ehj;o62kk;:182M5am2d?=54;1g9~j7`b=3:1=vF=<;:0qc4}O;oo0b9?7:502?xh5nl=1<7?tH2df?k2603>9>6sa2gg;>5<6sA9mi6`;198766=zf;ln57>51zJ0b`=i<8218?:4}o0ead<728qC?kk4n53;>1423td9jhl50;3xL6`b3g>:47:=6:m6ccd290:wE=ie:l75=<3:>1vb?hjd;295~N4nl1e8<6543:8yk4aml0;68;61e>{i:ol;6=4>{I1ea>h3910?>o5rn3de5?6=9rB8jh5a40:907ehj;o62kh;:182M5am2d?=54;2g9~j7`a=3:1=vF=<::0qc4}O;oo0b9?7:512?xh5no=1<7?tH2df?k2603>8>6sa2gd;>5<6sA9mi6`;198776=zf;lm57>51zJ0b`=i<8218>:4}o0ebd<728qC?kk4n53;>1523td9jkl50;3xL6`b3g>:47:<6:m6c`d290:wE=ie:l75=<3;>1vb?hid;295~N4nl1e8<6542:8yk4anl0;68;60e>{i;9:;6=4>{I1ea>h3910??o5rn2235?6=9rB8jh5a40:906ehj;o62;:182M5am2d?=54;3g9~j667=3:1=vF=<=:0qc=?0783>4}O;oo0b9?7:562?xh489=1<7?tH2df?k2603>?>6sa312;>5<6sA9mi6`;198706=zf::;57>51zJ0b`=i<82189:4}o134d<728qC?kk4n53;>1223td8<=l50;3xL6`b3g>:47:;6:m756d290:wE=ie:l75=<3<>1vb>>?d;295~N4nl1e8<6545:8yk578l0;627p`<01d94?7|@:ln7c:>8;67e>{i;9;;6=4>{I1ea>h3910?8o5rn2225?6=9rB8jh5a40:901ehj;o62=<<:0qc=?1783>4}O;oo0b9?7:572?xh488=1<7?tH2df?k2603>>>6sa313;>5<6sA9mi6`;198716=zf:::57>51zJ0b`=i<82188:4}o135d<728qC?kk4n53;>1323td8<:47::6:m757d290:wE=ie:l75=<3=>1vb>>>d;295~N4nl1e8<6544:8yk579l0;68;66e>{i;98;6=4>{I1ea>h3910?9o5rn2215?6=9rB8jh5a40:900e?4?:0yK7cchj;o62=4}O;oo0b9?7:542?xh48;=1<7?tH2df?k2603>=>6sa310;>5<6sA9mi6`;198726=zf::957?51zJ0b`=i<8218;:4}o136d<628qC?kk4n53;>1023td8:47:96:m754d280:wE=ie:l75=<3>>1vb>>=d;395~N4nl1e8<6547:8yk57:l0:68;65e>{i;99;6<4>{I1ea>h3910?:o5rn2205?7=9rB8jh5a40:903e:0yK7cchj;o62=<>:0qc=?3783>4}O;oo0b9?7:552?xh48:=1<7?tH2df?k2603><>6sa311;>5<6sA9mi6`;198736=zf::857>51zJ0b`=i<8218::4}o137d<728qC?kk4n53;>1123td8<>l50;3xL6`b3g>:47:86:m755d290:wE=ie:l75=<3?>1vb>>8;64e>{i;9>;6=4>{I1ea>h3910?;o5rn2275?6=9rB8jh5a40:902ehj;o62=<1:0qc=?4783>4}O;oo0b9?7:5:2?xh48==1<7?tH2df?k2603>3>6sa316;>5<6sA9mi6`;1987<6=zf::?57>51zJ0b`=i<82185:4}o130d<728qC?kk4n53;>1>23td8<9l50;3xL6`b3g>:47:76:m752d290:wE=ie:l75=<30>1vb>>;d;295~N4nl1e8<6549:8yk578;6;e>{i;9?;6=4>{I1ea>h3910?4o5rn2265?6=9rB8jh5a40:90=ehj;o62=<0:0qc=?5783>4}O;oo0b9?7:5;2?xh48<=1<7?tH2df?k2603>2>6sa317;>5<6sA9mi6`;1987=6=zf::>57>51zJ0b`=i<82184:4}o131d<728qC?kk4n53;>1?23td8<8l50;3xL6`b3g>:47:66:m753d290:wE=ie:l75=<31>1vb>>:d;295~N4nl1e8<6548:8yk57=l0;68;6:e>{i;9<;6=4>{I1ea>h3910?5o5rn2255?6=9rB8jh5a40:90hj;o62m2we?=8;:182M5am2d?=54;9g9~j661=3:1=vF=4}O;oo0b9?7:5c2?xh48?=1<7?tH2df?k2603>j>6sa314;>5<6sA9mi6`;1987e6=zf::=57>51zJ0b`=i<8218l:4}o132d<728qC?kk4n53;>1g23td8<;l50;3xL6`b3g>:47:n6:m750d290:wE=ie:l75=<3i>1vb>>9d;295~N4nl1e8<654`:8yk57>l0;68;6be>{i;9=;6=4>{I1ea>h3910?mo5rn2245?6=9rB8jh5a40:90dehj;o62=4}O;oo0b9?7:5`2?xh48>=1<7?tH2df?k2603>i>6sa315;>5<6sA9mi6`;1987f6=zf::<57>51zJ0b`=i<8218o:4}o133d<728qC?kk4n53;>1d23td8<:l50;3xL6`b3g>:47:m6:m751d290:wE=ie:l75=<3j>1vb>>8d;295~N4nl1e8<654c:8yk57?l0;68;6ae>{i;92;6=4>{I1ea>h3910?no5rn22;5?6=9rB8jh5a40:90gehj;o62=4}O;oo0b9?7:5a2?xh481=1<7?tH2df?k2603>h>6sa31:;>5<6sA9mi6`;1987g6=zf::357>51zJ0b`=i<8218n:4}o131e23td8<5l50;3xL6`b3g>:47:l6:m75>d290:wE=ie:l75=<3k>1vb>>7d;295~N4nl1e8<654b:8yk570l0;68;6`e>{i;93;6=4>{I1ea>h3910?oo5rn22:5?6=9rB8jh5a40:90fehj;o62=3:1=vF=4}O;oo0b9?7:5f2?xh480=1<7?tH2df?k2603>o>6sa31;;>5<6sA9mi6`;1987`6=zf::257>51zJ0b`=i<8218i:4}o13=d<728qC?kk4n53;>1b23td8<4l50;3xL6`b3g>:47:k6:m75?d290:wE=ie:l75=<3l>1vb>>6d;295~N4nl1e8<654e:8yk571l0;68;6ge>{i;9k;6=4>{I1ea>h3910?ho5rn22b5?6=9rB8jh5a40:90aehj;o62=4}O;oo0b9?7:5g2?xh48h=1<7?tH2df?k2603>n>6sa31c;>5<6sA9mi6`;1987a6=zf::j57>51zJ0b`=i<8218h:4}o13ed<728qC?kk4n53;>1c23td8:47:j6:m75gd290:wE=ie:l75=<3m>1vb>>nd;295~N4nl1e8<654d:8yk57il0;68;6fe>{i;9h;6=4>{I1ea>h3910?io5rn22a5?6=9rB8jh5a40:90`ehj;o62=4}O;oo0b9?7:5d2?xh48k=1<7?tH2df?k2603>m>6sa31`;>5<6sA9mi6`;1987b6=zf::i57>51zJ0b`=i<8218k:4}o13fd<728qC?kk4n53;>1`23td8:47:i6:m75dd290:wE=ie:l75=<3n>1vb>>md;295~N4nl1e8<654g:8yk57jl0;68;6ee>{i;9i;6=4>{I1ea>h3910?jo5rn22`5?6=9rB8jh5a40:90cehj;o62==9:0qc=?c783>4}O;oo0b9?7:422?xh48j=1<7?tH2df?k2603?;>6sa31a;>5<6sA9mi6`;198646=zf::h57>51zJ0b`=i<8219=:4}o13gd<728qC?kk4n53;>0623td8:47;?6:m75ed290:wE=ie:l75=<28>1vb>>ld;295~N4nl1e8<6551:8yk57kl0;68;73e>{i;9n;6=4>{I1ea>h3910>k;|l04a5=83;pD>hj;o62==8:0qc=?d783>4}O;oo0b9?7:432?xh48m=1<7?tH2df?k2603?:>6sa31f;>5<6sA9mi6`;198656=zf::o57>51zJ0b`=i<8219<:4}o13`d<728qC?kk4n53;>0723td8:47;>6:m75bd290:wE=ie:l75=<29>1vb>>kd;295~N4nl1e8<6550:8yk57ll0;68;72e>{i;9o;6=4>{I1ea>h3910>=o5rn22f5?6=9rB8jh5a40:914ehj;o62{08~^4162:q:m=4>a6805<898~j17?2<;m7p`<0d794?7|@:ln7D=81;3x5?{]9>;1?v?n0;3b3?5613wQ?<751z3;4}o13a3<728qC?kk4I252>4}62tP:;<4<{0c3>4g02:;26pT<18824>?2td?=54:209~j66b?3:1=vF8;716>{i;9o36=4>{I1ea>O4?80:w<4rZ052>6}6i90:m:4<188~^67>28q:4l4rn53;>0443td8==;>0qc=?e`83>4}O;oo0E>9>:0y2>x\6?808w67>2tP8=44>{0:b>xh3910>>85rn22ff?6=9rB8jh5F36395~7=uS;<=7=t1`295d1=;831qW=>9;3x5=g=ug>:47;=6:m75cd290:wE=ie:K034<6s80vV<9>:2y2e5<6i>08=44rZ23:>4}6010vb9?7:404?xh48ln1<7?tH2df?L5093;p=7sU16397~7f83;j;7=>9;Y74?=9r;347sa40:917>:|X234<4s8k;6x\4900:w<67:|l75=<2:01vb>>jf;295~N4nl1B?:?51z39y_70939p=l>51`5974?=uS9:57?t19:9yk2603?9m6sa31d3>5<6sA9mi6G<70824u>a182e2<4900vV>?6:0y2<=hj;H145?7|93wQ=:?53z3b4?7f?39:57sU30;95~7?03we8<6553a8yk57n;0;670804g728k<6>?6:|X05<<6s8236p`;19866a=zf::m?7>51zJ0b`=N;>;1=v?5}[345?5|9h:1=l9530;9y_5613;p=565}o62{08~^4162:q:m=4>a6805<898~j17?2<8m7p`<0g794?7|@:ln7D=81;3x5?{]9>;1?v?n0;3b3?5613wQ?<751z3;>4}o13b3<728qC?kk4I252>4}62tP:;<4<{0c3>4g02:;26pT<18824>?2td?=54:309~j66a?3:1=vF8;706>{i;9l36=4>{I1ea>O4?80:w<4rZ052>6}6i90:m:4<188~^67>28q:454rn53;>0543td8==:>0qc=?f`83>4}O;oo0E>9>:0y2>x\6?808w67>2tP8=44>{0:b>xh3910>?85rn22ef?6=9rB8jh5F36395~7=uS;<=7=t1`295d1=;831qW=>9;3x5=>=ug>:47;<6:m75`d290:wE=ie:K034<6s80vV<9>:2y2e5<6i>08=44rZ23:>4}6010vb9?7:414?xh48on1<7?tH2df?L5093;p=7sU16397~7f83;j;7=>9;Y74?=9r;347sa40:916>:|X234<4s8k;6x\4900:w<67:|l75=<2;01vb>>if;295~N4nl1B?:?51z39y_70939p=l>51`5974?=uS9:57?t1959yk2603?8m6sa3023>5<6sA9mi6G<70824u>a182e2<4900vV>?6:0y2<<hj;H145?7|93wQ=:?53z3b4?7f?39:57sU30;95~7??3we8<6552a8yk568;0;6?uG3gg8j17?2<9o7p`<11194?4|@:ln7c:>8;70a>{i;8:?6=4={I1ea>h3910>?k5rn2331?6=:rB8jh5a40:9116;|l0551=838pD>hj;o627:181M5am2d?=54:429~j67713:1>vF===>0qc=>0`83>7}O;oo0b9?7:466?xh499h1<75<5sA9mi6`;198602=zf:;;h7>52zJ0b`=i<8219964}o124`<72;qC?kk4n53;>02>3td8==h50;0xL6`b3g>:47;;a:m74772909wE=ie:l75=<2?>1;296~N4nl1e8<6555a8yk569;0;6?uG3gg8j17?2<>o7p`<10194?4|@:ln7c:>8;77a>{i;8;?6=4>{I1ea>O4?80:w?4rZ052>7}6i90:m:4rn53;>02a3g9n87>4}o1250<728qC?kk4I252>4}52tP:;<4={0c3>4g02td?=54:519m7`2=82we?{38~^4162;q:m=4>a68~j17?20;670814g728k<6p`;198617=i;l>1<6sa303;>5<6sA9mi6G<70827a182e2:3y2e5<6i>0vb9?7:476?k5b<3:0qc=>1c83>4}O;oo0E>9>:0y1>x\6?809wxh3910>9;5rn232g?6=9rB8jh5F36395~4=uS;<=7:47;:7:m747c290:wE=ie:K034<6s;0vV<9>:3y2e5<6i>0vb9?7:47;?xh498o1<7?tH2df?L5093;p>7sU16396~7f83;j;7sa40:910??=0;295~N4nl1B?:?51z09y_70938p=l>51`59yk2603?>n6sa3002>5<6sA9mi6G<70827a182e2hj;H145?7|:3wQ=:?52z3b4?7f?3we8<6554f8yk56::0;670814g728k<6p`;19861`=zf:;987>51zJ0b`=N;>;1=v<5}[345?4|9h:1=l95}o62{38~^4162;q:m=4>a68~j17?2<<;7p`<13494?7|@:ln7D=81;3x6?{]9>;1>v?n0;3b3?{i<8219;?4}o1262<728qC?kk4I252>4}52tP:;<4<{0c3>4g02:;26pT<188267a2td?=54:639~j67503:1=vF8;757>{i;8826=4>{I1ea>O4?80:w?4rZ052>6}6i90:m:4<188~^67>28q8=k4rn53;>0033td8=?o50;3xL6`b3@9<=7?t2;Y527=4}52tP:;<4;{0c3>6dd28k<6>?6:|X05<<6s:;n6p`;198623=zf:;9o7>51zJ0b`=N;>;1=v<5}[345?2|9h:1?om51`5974?=uS9:57?t3329yk2603?=;6sa300g>5<6sA9mi6`;19862==zf:;9i7>51zJ0b`=i<8219;74}o126c<728qC?kk4n53;>00f3td8=>>51;3xL6`b3g>:47;9b:&757?<1;395~N4nl1e8<6557a8 1752lij7p`<12095?7|@:ln7c:>8;75`>"39;0noo5rn2307?7=9rB8jh5a40:913c<,=;96hml;|l0562=93;pD>hj;o62==>:0(9?=:daf?xh49:<1=7?tH2df?k2603?<=6*;138fgc=zf:;8;7?51zJ0b`=i<8219:<4$531>`b73td8=>651;3xL6`b3g>:47;83:&757?<9;395~N4nl1e8<655668 1752li87p`<12c95?7|@:ln7c:>8;741>"39;0no95rn230f?7=9rB8jh5a40:9120<,=;96hm:;|l056e=93;pD>hj;o62==>20(9?=:da4?xh49:o1<7?tH2df?k2603?<56sa301e>4<6sA9mi6`;19863d=#<881o;84}o1205<628qC?kk4n53;>01e3->:>7m80:m7426280:wE=ie:l75=<2?j1/8<<5c7d8yk56<;0:62;a5a>{i;8>86<4>{I1ea>h3910>;h5+4009g3b:0yK7cc4782>4}O;oo0b9?7:4:2?!26:3i=m6sa3064>4<6sA9mi6`;1986<7=#<881o;74}o120=<628qC?kk4n53;>0>43->:>7m98:m742>280:wE=ie:l75=<20=1/8<<561d8yk567):>2;42=>{i;8>i6<4>{I1ea>h3910>4;5+400924>:0yK7cc8<0qc=>4d82>4}O;oo0b9?7:4::?!26:3<:96sa306e>4<6sA9mi6`;19860>e3->:>78>3:m7436280:wE=ie:l75=<20j1/8<<56008yk56=;0:62;425>{i;8?86<4>{I1ea>h3910>4h5+4009af>:0yK7cc8:0qc=>5783>4}O;oo0b9?7:4;2?xh49<=1<7?tH2df?k2603?2>6sa307;>5<6sA9mi6`;1986=6=zf:;>57>51zJ0b`=i<82194:4}o121d<728qC?kk4n53;>0?23td8=8l50;3xL6`b3g>:47;66:m743d290:wE=ie:l75=<21>1vb>?:d;295~N4nl1e8<6558:8yk56=l0;68;7:e>{i;8<;6=4>{I1ea>h3910>5o5rn2355?6=9rB8jh5a40:91hj;o62m2we?<8;:182M5am2d?=54:9g9~j671=3:1=vF==h:0qc=>6783>4}O;oo0b9?7:4c2?xh49?=1<7?tH2df?k2603?j>6sa304;>5<6sA9mi6`;1986e6=zf:;=57>51zJ0b`=i<8219l:4}o122d<728qC?kk4n53;>0g23td8=;l50;3xL6`b3g>:47;n6:m740d290:wE=ie:l75=<2i>1vb>?9d;295~N4nl1e8<655`:8yk56>l0;68;7be>{i;8=;6=4>{I1ea>h3910>mo5rn2345?6=9rB8jh5a40:91dehj;o62==k:0qc=>7783>4}O;oo0b9?7:4`2?xh49>=1<7?tH2df?k2603?i>6sa305;>5<6sA9mi6`;1986f6=zf:;<57>51zJ0b`=i<8219o:4}o123d<728qC?kk4n53;>0d23td8=:l50;3xL6`b3g>:47;m6:m741d290:wE=ie:l75=<2j>1vb>?8d;295~N4nl1e8<655c:8yk56?l0;68;7ae>{i;82;6=4>{I1ea>h3910>no5rn23;5?6=9rB8jh5a40:91gehj;o62==j:0qc=>8783>4}O;oo0b9?7:4a2?xh491=1<7?tH2df?k2603?h>6sa30:;>5<6sA9mi6`;1986g6=zf:;357>51zJ0b`=i<8219n:4}o120e23td8=5l50;3xL6`b3g>:47;l6:m74>d290:wE=ie:l75=<2k>1vb>?7d;295~N4nl1e8<655b:8yk560l0;68;7`e>{i;83;6=4>{I1ea>h3910>oo5rn23:5?6=9rB8jh5a40:91fehj;o62=3:1=vF==m:0qc=>9783>4}O;oo0b9?7:4f2?xh490=1<7?tH2df?k2603?o>6sa30;;>5<6sA9mi6`;1986`6=zf:;257>51zJ0b`=i<8219i:4}o12=d<728qC?kk4n53;>0b23td8=4l50;3xL6`b3g>:47;k6:m74?d290:wE=ie:l75=<2l>1vb>?6d;295~N4nl1e8<655e:8yk561l0;68;7ge>{i;8k;6=4>{I1ea>h3910>ho5rn23b5?6=9rB8jh5a40:91aehj;o62==l:0qc=>a783>4}O;oo0b9?7:4g2?xh49h=1<7?tH2df?k2603?n>6sa30c;>5<6sA9mi6`;1986a6=zf:;j57>51zJ0b`=i<8219h:4}o12ed<728qC?kk4n53;>0c23td8=ll50;3xL6`b3g>:47;j6:m74gd290:wE=ie:l75=<2m>1vb>?nd;295~N4nl1e8<655d:8yk56il0;68;7fe>{i;8h;6=4>{I1ea>h3910>io5rn23a5?6=9rB8jh5a40:91`ehj;o62==o:0qc=>b783>4}O;oo0b9?7:4d2?xh49k=1<7?tH2df?k2603?m>6sa30`;>5<6sA9mi6`;1986b6=zf:;i57>51zJ0b`=i<8219k:4}o12fd<728qC?kk4n53;>0`23td8=ol50;3xL6`b3g>:47;i6:m74dd290:wE=ie:l75=<2n>1vb>?md;295~N4nl1e8<655g:8yk56jl0;68;7ee>{i;8i;6=4>{I1ea>h3910>jo5rn23`5?6=9rB8jh5a40:91cehj;o62=>9:0qc=>c783>4}O;oo0b9?7:722?xh49j=1<7?tH2df?k2603<;>6sa30a;>5<6sA9mi6`;198546=zf:;h57>51zJ0b`=i<821:=:4}o12gd<728qC?kk4n53;>3623td8=nl50;3xL6`b3g>:478?6:m74ed290:wE=ie:l75=<18>1vb>?ld;295~N4nl1e8<6561:8yk56kl0;68;43e>{i;8n;6=4>{I1ea>h3910=k;|l05a5=83;pD>hj;o62=>8:0qc=>d783>4}O;oo0b9?7:732?xh49m=1<7?tH2df?k2603<:>6sa30f;>5<6sA9mi6`;198556=zf:;o57>51zJ0b`=i<821:<:4}o12`d<728qC?kk4n53;>3723td8=il50;3xL6`b3g>:478>6:m74bd290:wE=ie:l75=<19>1vb>?kd;295~N4nl1e8<6560:8yk56ll0;68;42e>{i;8o;6=4>{I1ea>h3910==o5rn23f5?6=9rB8jh5a40:924ehj;o62=>;:0qc=>e783>4}O;oo0b9?7:702?xh49l=1<7?tH2df?k2603<9>6sa30g;>5<6sA9mi6`;198566=zf:;n57>51zJ0b`=i<821:?:4}o12ad<728qC?kk4n53;>3423td8=hl50;3xL6`b3g>:478=6:m74cd290:wE=ie:l75=<1:>1vb>?jd;295~N4nl1e8<6563:8yk56ml0;68;41e>{i;8l;6=4>{I1ea>h3910=>o5rn23e5?6=9rB8jh5a40:927ehj;o62=>::0qc=>f783>4}O;oo0b9?7:712?xh49o=1<7?tH2df?k2603<8>6sa30d;>5<6sA9mi6`;198576=zf:;m57>51zJ0b`=i<821:>:4}o12bd<728qC?kk4n53;>3523td8=kl50;3xL6`b3g>:478<6:m74`d290:wE=ie:l75=<1;>1vb>?id;295~N4nl1e8<6562:8yk56nl0;68;40e>{i;;:;6=4>{I1ea>h3910=?o5rn2035?6=9rB8jh5a40:926ehj;o62;:182M5am2d?=5493g9~j647=3:1=vF=>=:0qc==0783>4}O;oo0b9?7:762?xh4:9=1<7?tH2df?k26036sa332;>5<6sA9mi6`;198506=zf:8;57>51zJ0b`=i<821:9:4}o114d<728qC?kk4n53;>3223td8>=l50;3xL6`b3g>:478;6:m776d290:wE=ie:l75=<1<>1vb>27p`<21d94?7|@:ln7c:>8;47e>{i;;;;6=4>{I1ea>h3910=8o5rn2025?6=9rB8jh5a40:921ehj;o62=><:0qc==1783>4}O;oo0b9?7:772?xh4:8=1<7?tH2df?k2603<>>6sa333;>5<6sA9mi6`;198516=zf:8:57>51zJ0b`=i<821:8:4}o115d<728qC?kk4n53;>3323td8>:478:6:m777d290:wE=ie:l75=<1=>1vb><>d;295~N4nl1e8<6564:8yk559l0;68;46e>{i;;8;6=4>{I1ea>h3910=9o5rn2015?6=9rB8jh5a40:920e?4?:0yK7cchj;o62=>?:0qc==2783>4}O;oo0b9?7:742?xh4:;=1<7?tH2df?k2603<=>6sa330;>5<6sA9mi6`;198526=zf:8957>51zJ0b`=i<821:;:4}o116d<728qC?kk4n53;>3023td8>?l50;3xL6`b3g>:47896:m774d290:wE=ie:l75=<1>>1vb><=d;295~N4nl1e8<6567:8yk55:l0;68;45e>{i;;9;6=4>{I1ea>h3910=:o5rn2005?6=9rB8jh5a40:923ehj;o62=>>:0qc==3783>4}O;oo0b9?7:752?xh4::=1<7?tH2df?k2603<<>6sa331;>5<6sA9mi6`;198536=zf:8857>51zJ0b`=i<821:::4}o117d<728qC?kk4n53;>3123td8>>l50;3xL6`b3g>:47886:m775d290:wE=ie:l75=<1?>1vb><8;44e>{i;;>;6=4>{I1ea>h3910=;o5rn2075?6=9rB8jh5a40:922ehj;o62=>1:0qc==4783>4}O;oo0b9?7:7:2?xh4:==1<7?tH2df?k2603<3>6sa336;>5<6sA9mi6`;1985<6=zf:8?57>51zJ0b`=i<821:5:4}o110d<728qC?kk4n53;>3>23td8>9l50;3xL6`b3g>:47876:m772d290:wE=ie:l75=<10>1vb><;d;295~N4nl1e8<6569:8yk558;4;e>{i;;?;6=4>{I1ea>h3910=4o5rn2065?6=9rB8jh5a40:92=ehj;o62=>0:0qc==5783>4}O;oo0b9?7:7;2?xh4:<=1<7?tH2df?k2603<2>6sa337;>5<6sA9mi6`;1985=6=zf:8>57>51zJ0b`=i<821:4:4}o111d<728qC?kk4n53;>3?23td8>8l50;3xL6`b3g>:47866:m773d290:wE=ie:l75=<11>1vb><:d;295~N4nl1e8<6568:8yk55=l0;68;4:e>{i;;<;6=4>{I1ea>h3910=5o5rn2055?6=9rB8jh5a40:92hj;o62m2we??8;:182M5am2d?=5499g9~j641=3:1=vF=>h:0qc==6783>4}O;oo0b9?7:7c2?xh4:?=1<7?tH2df?k26036sa334;>5<6sA9mi6`;1985e6=zf:8=57>51zJ0b`=i<821:l:4}o112d<728qC?kk4n53;>3g23td8>;l50;3xL6`b3g>:478n6:m770d290:wE=ie:l75=<1i>1vb><9d;295~N4nl1e8<656`:8yk55>l0;68;4be>{i;;=;6=4>{I1ea>h3910=mo5rn2045?6=9rB8jh5a40:92del8mf:&747<4?11/?:<5689K525<@8hj7E?84:`7`<<72=k1:o?5694x^d>=?r?>688556862:o1?i4rH04`?!5b13<27c?9e;4a5>h4j<0=4l5a40:94>o3lo0;6)=8a;6ga>h4?00;76g;de83>!50i3>oi6`<7882?>o3lj0;6)=8a;6ga>h4?00976g;dc83>!50i3>oi6`<7880?>o3m10;6)=8a;6f3>h4?00;76g;e783>!50i3>n;6`<7882?>o3m<0;6)=8a;6f3>h4?00976g;e583>!50i3>n;6`<7880?>o3n80;6)=8a;6e4>h4?00;76g;eg83>!50i3>m<6`<7882?>o3ml0;6)=8a;6e4>h4?00976g;ee83>!50i3>m<6`<7880?>o3nh0;6)=8a;6e=>h4?00;76g;f983>!50i3>m56`<7882?>o3n>0;6)=8a;6e=>h4?00976g;f783>!50i3>m56`<7880?>o28:0;6)=8a;736>h4?00;76g:0083>!50i3?;>6`<7882?>o2890;6)=8a;736>h4?00976g;fg83>!50i3?;>6`<7880?>o1ih0;66gjb883>>o29;0;66g:0983>>o28h0;66g:0b83>>o28l0;66g:1183>>o6jk0;66g>oc>3:17b:mb;29 61f2=hj7c=89;28?j2e13:1(>9n:5`b?k5013;07b:m8;29 61f2=hj7c=89;08?j2e?3:1(>9n:5`b?k5013907b:m6;29 61f2=hj7c=89;68?j2e=3:1(>9n:5`b?k5013?07b:m4;29 61f2=hj7c=89;48?j2e;3:1(>9n:5`b?k5013=07b:m2;29 61f2=hj7c=89;:8?j2d<3:1(>9n:5`b?k5013307b:l3;29 61f2=hj7c=89;c8?j2d:3:1(>9n:5`b?k5013h07b:l1;29 61f2=hj7c=89;a8?j2d83:1(>9n:5`b?k5013n07b:mf;29 61f2=hj7c=89;g8?j2em3:1(>9n:5`b?k5013l07b:md;29 61f2=hj7c=89;33?>i3jj0;6)=8a;6ae>h4?00:=65`4c394?"4?h0?nl5a36;957=<#lh1<7*<7`8fe>h4?00;76%j9;29 61f2lk0b>96:098/`>=83.8;l4ja:l03<<532!n;7>5$25b>`g54+d494?"4?h0nm6`<7887?>-b=3:1(>9n:dc8j61>2<10'k:50;&03d2=<#o81<7*<7`8fe>h4?00376%i1;29 61f2lk0b>96:898/c6=83.8;l4ja:l03<5$25b>`g-bl3:1(>9n:dc8j61>2m10'hm50;&03dc=5<5<5<<#lo96=44+dg5>5<a48fb6=O;lh0@9?6:2y'7c>=;>>0(>hn:255?!5a139<96sa40:95>{emkl1=7850;2x 40c28>m7)=l7;6g=>"4nk085<5+3d497`3<,8386>5U3g595~35?3wC=;o4n53;>7=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f`dc280=6=4?{%35`?73n2.8o:4;d89'7cd=;0;0(>k9:2g6?!7>;390V>h8:0y6628;18m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820c=#;j=18i74$2da>6?63-9n:7=j5:&2=6<43S9m;7?t5359yM71i2d?=54;;hg3>5<>o4?o0;66gm0;29?j5?i3:17pljd382>3<729q/=;j515`8 6e02=n27)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thnh>4>:783>5}#9?n1=9l4$2a4>1b>3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<13`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdblh0:6;4?:1y'53b=9=h0(>m8:5f:?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1984?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`f`g<62?0;6=u+17f951d<,:i<69j6;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=547;hg3>5<>o4?o0;66gm0;29?j5?i3:17pljdb82>3<729q/=;j515`8 6e02=n27)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h391027dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thnhi4>:783>5}#9?n1=9l4$2a4>1b>3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=>oe83:17b=7a;29?xdbll0:6;4?:1y'53b=9=h0(>m8:5f:?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198a?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`f`c<62?0;6=u+17f951d<,:i<69j6;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54l;hg3>5<>o4?o0;66gm0;29?j5?i3:17plje182>3<729q/=;j515`8 6e02=n27)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910o7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thni<4>:783>5}#9?n1=9l4$2a4>1b>3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=>oe83:17b=7a;29?xdbl=0:6;4?:1y'53b=9=h0(>m8:5f:?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198e?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`f`0<62?0;6=u+17f951d<,:i<69j6;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>0:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66smee495?0=83:p(<8k:06a?!5d?3>o56*\4n>0:w8<8:|J22d=i<821=<5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnhj8:085>5<7s-;=h7?;b:&0g2<3l01/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2880eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b590a?<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xdbl00:6;4?:1y'53b=9=h0(>m8:5f:?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19820>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a10e=93<1<7>t$04g>42a3-9h;7;;e:&0bg<4181/?h853d78 4?42:1Q?k951z713?{O9?k0b9?7:078m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820c=#;j=199k4$2da>6?63-9n:7=j5:&2=6<43S9m;7?t5359yM71i2d?=54>6:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm54g95?0=83:p(<8k:06e?!5d?3??i6*6=];o=1=v;=7;K53gf2900qo?l1;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;3:?l7f<3:17dl=:188k73c2900qo?lc;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;3a?l7f<3:17dl=:188k73c2900qo?le;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;3g?l7f<3:17dl=:188k73c2900qo?k0;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;3e?l7f<3:17dl=:188k73c2900qo?k2;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;02?l7f<3:17dl=:188k73c2900qo?k4;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;00?l7f<3:17dl=:188k73c2900qo?k6;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;06?l7f<3:17dl=:188k73c2900qo?k8;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;04?l7f<3:17dl=:188k73c2900qo?ka;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;0:?l7f<3:17dl=:188k73c2900qo?kc;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;0a?l7f<3:17dl=:188k73c2900qo?l2;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;0g?l7f<3:17dl=:188k73c2900qo?l4;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<7?53;294~"4nk0?<;5+3b590a?<@88;0e?l7f<3:17dl=:188k73c2900qo?l6;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;12?l7f<3:17dl=:188k73c2900qo?l8;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;10?l7f<3:17dl=:188k73c2900qo?la;390?6=8r.8jo4>bd9'7f1=5;h`1>5<5<8;16?l7f<3:17dl=:188k73c2900qo?j6;397?6=8r.8jo4;079'7f1=5;h`1>5<h39108;6g>7883>>i5=m0;66sm55095?0=83:p(<8k:06e?!5d?3?9j6*6=];o=1=v;=7;K53g64id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qo;;1;392?6=8r.::i4>4g9'7f1==;l0(>hm:2;2?!5b>39n96*>9280?_5a?3;p9?95}I35e>h3910856gj0;29?ld12900ei>50;9j72`=831bn=4?::m050;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8k5+3b5917`<,:li6>7>;%1f2?5b=2.:5>4<;[1e3?7|=;=1qE?9a:l75=<4j2cn<7>5;h`5>5<>{e==h1=7850;2x 40c28>i7)=l7;71b>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~f2e2280=6=4?{%35`?73n2.8o:48579'7cd=;0;0(>k9:2g6?!7>;390V>h8:0y6628;1g?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`4g1<62?0;6=u+17f951`<,:i<6:;9;%1ef?5>92.8i;4{404>xN6>h1e8<653d9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37b>"4k>0<9;5+3g`97<7<,:o=6>k:;%3:7?5:47=i;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl72182>3<729q/=;j515`8 6e02>?=7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910?<6gj0;29?ld12900ei>50;9j72`=831bn=4?::m02.8jo4<909'7`0=1k1/=4=53:X0b2<6s<8<6pF>6`9m04>=<81bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>14>oc83:17d=8f;29?ld72900c>6n:188yg>6l3;1:7>50z&22a<6;390V>h8:0y6628;60?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`;5g<62?0;6=u+17f951d<,:i<6:;9;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54;4:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm80c95?0=83:p(<8k:06a?!5d?3=>:6*\4n>0:w8<8:|J22d=i<821885fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn5?6:085>5<7s-;=h7?;b:&0g2<0=?1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2=<0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59300<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xd?9>0:6;4?:1y'53b=9=h0(>m8:675?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1987<>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a<40=93<1<7>t$04g>42e3-9h;79:6:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65489ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0<9;5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo6>4;392?6=8r.::i4>4c9'7f1=?<<0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603>i7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th3=>4>:783>5}#9?n1=9l4$2a4>2313-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<3k2cn<7>5;h`5>5<>{e0881=7850;2x 40c28>i7)=l7;562>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~f=40280=6=4?{%35`?73j2.8o:48579'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:5g8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=1;884$2da>6?63-9n:77m;%3:7?5:47:i;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl72482>3<729q/=;j515`8 6e02>?=7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910><6gj0;29?ld12900ei>50;9j72`=831bn=4?::m02.8jo4<909'7`0=1k1/=4=53:X0b2<6s<8<6pF>6`9m04>==81bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>04>oc83:17d=8f;29?ld72900c>6n:188yg>5:3;1:7>50z&22a<6;390V>h8:0y6628;70?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`;64<62?0;6=u+17f951d<,:i<6:;9;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:4:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm80a95?0=83:p(<8k:06a?!5d?3=>:6*\4n>0:w8<8:|J22d=i<821985fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn5?>:085>5<7s-;=h7?;b:&0g2<0=?1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2<<0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59300<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xd0n90:6;4?:1y'53b=9=h0(>m8:675?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1986<>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a3``=93<1<7>t$04g>42e3-9h;79:6:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65589ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0<9;5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo9jd;392?6=8r.::i4>4c9'7f1=?<<0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603?i7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th:783>5}#9?n1=9l4$2a4>2313-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2k2cn<7>5;h`5>5<>{e?lk1=7850;2x 40c28>i7)=l7;562>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~f2c>280=6=4?{%35`?73j2.8o:48579'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:4g8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=1;884$2da>6?63-9n:77m;%3:7?5:47;i;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl8e682>3<729q/=;j515`8 6e02>?=7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910=<6gj0;29?ld12900ei>50;9j72`=831bn=4?::m02.8jo4<909'7`0=1k1/=4=53:X0b2<6s<8<6pF>6`9m04>=>81bi=4?::ka2?6=3`n;6=44i25e>5<o>6<49:183!71l3;?n6*5U3g595~35?3wC=;o4n53;>34>oc83:17d=8f;29?ld72900c>6n:188yg1b<3;1:7>50z&22a<6;390V>h8:0y6628;40?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`4a6<62?0;6=u+17f951d<,:i<6:;9;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=5494:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm7d095?0=83:p(<8k:06a?!5d?3=>:6*\4n>0:w8<8:|J22d=i<821:85fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn:h8:085>5<7s-;=h7?;b:&0g2<0=?1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2?<0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59300<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xd0n<0:6;4?:1y'53b=9=h0(>m8:675?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1985<>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a3c2=93<1<7>t$04g>42e3-9h;79:6:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65689ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0<9;5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo9i2;392?6=8r.::i4>4c9'7f1=?<<0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k26035;n1;e?6=3th:783>5}#9?n1=9l4$2a4>2313-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<1k2cn<7>5;h`5>5<>{e?li1=7850;2x 40c28>i7)=l7;562>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~f2c6280=6=4?{%35`?73j2.8o:48579'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:7g8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=1;884$2da>6?63-9n:77m;%3:7?5:478i;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl79c82>3<729q/=;j515d8 6e0218h7)=ib;1:5>"4m?08i85+18197>\4n>0:w8<8:|J22d=i<821;=5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn57n:085>5<7s-;=h7?;f:&0g27)?63;18^6`028q>>:4rH04b?k2603=:7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th3544>:783>5}#9?n1=9h4$2a4>=4d3-9mn7=61:&0a3<4m<1/=4=53:X0b2<6s<8<6pF>6`9m04>=?;1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>25>oc83:17d=8f;29?ld72900c>6n:188yg>a=3;1:7>50z&22a<6;390V>h8:0y6628;57?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`;b1<62?0;6=u+17f951d<,:i<6592.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=5485:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm8g195?0=83:p(<8k:06a?!5d?329o6*\4n>0:w8<8:|J22d=i<821;;5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn5h>:085>5<7s-;=h7?;b:&0g2=0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59<7e<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xd?mo0:6;4?:1y'53b=9=h0(>m8:90`?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1984=>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a<`c=93<1<7>t$04g>42e3-9h;76=c:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<657`9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>03>n5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo6jc;392?6=8r.::i4>4c9'7f1=0;i0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603=h7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th3io4>:783>5}#9?n1=9l4$2a4>=4d3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<0l2cn<7>5;h`5>5<>{e0lk1=7850;2x 40c28>i7)=l7;:1g>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~f=c>280=6=4?{%35`?73j2.8o:472b9'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:6d8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=14?m4$2da>6?63-9n:77m;%3:7?5:476?;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl7fe82>3<729q/=;j515`8 6e0218h7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h39103=6gj0;29?ld12900ei>50;9j72`=831bn=4?::m05k2.8jo4<909'7`0=1k1/=4=53:X0b2<6s<8<6pF>6`9m04>=0;1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>=5>oc83:17d=8f;29?ld72900c>6n:188yg>ai3;1:7>50z&22a<6;390V>h8:0y6628;:7?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`;b<<62?0;6=u+17f951d<,:i<6592.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=5475:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm8g:95?0=83:p(<8k:06a?!5d?329o6*\4n>0:w8<8:|J22d=i<8214;5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn5h8:085>5<7s-;=h7?;b:&0g250;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<7?56;294~"6>m0:8o5+3b59<7e<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62?3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd?m>0:6;4?:1y'53b=9=h0(>m8:90`?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198;=>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a<`0=93<1<7>t$04g>42e3-9h;76=c:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<658`9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>03>n5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo6l5;392?6=8r.::i4>4c9'7f1=0;i0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k26032h7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th3o94>:783>5}#9?n1=9l4$2a4>=4d3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=5;h`5>5<>{e0j91=7850;2x 40c28>i7)=l7;:1g>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~f=e6280=6=4?{%35`?73j2.8o:472b9'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:9d8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=14?m4$2da>6?63-9n:77m;%3:7?5:477?;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl7bg82>3<729q/=;j515`8 6e0218h7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h39102=6gj0;29?ld12900ei>50;9j72`=831bn=4?::m05k2.8jo4<909'7`0=1k1/=4=53:X0b2<6s<8<6pF>6`9m04>=1;1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;><5>oc83:17d=8f;29?ld72900c>6n:188yg>ek3;1:7>50z&22a<6;390V>h8:0y6628;;7?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`;fg<62?0;6=u+17f951d<,:i<6592.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=5465:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm8cc95?0=83:p(<8k:06a?!5d?329o6*\4n>0:w8<8:|J22d=i<8215;5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn5l6:085>5<7s-;=h7?;b:&0g250;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59<7e<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xd?km0:6;4?:1y'53b=9=h0(>m8:90`?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198:=>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::at$04g>42e3-9h;76=c:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<659`9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>03>n5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo6la;392?6=8r.::i4>4c9'7f1=0;i0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k26033h7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th3o44>:783>5}#9?n1=9l4$2a4>=4d3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<>l2cn<7>5;h`5>5<>{e0j21=7850;2x 40c28>i7)=l7;:1g>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~f=e0280=6=4?{%35`?73j2.8o:472b9'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:8d8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=14?m4$2da>6?63-9n:77m;%3:7?5:47o?;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl7b682>3<729q/=;j515`8 6e0218h7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910j=6gj0;29?ld12900ei>50;9j72`=831bn=4?::m05k2.8jo4<909'7`0=1k1/=4=53:X0b2<6s<8<6pF>6`9m04>=i;1bi=4?::ka2?6=3`n;6=44i25e>5<6c23-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xd>>l0:6;4?:1y'53b=9=l0(>m8:823?!5aj392=6*9i:188mg6=831d?5o50;9~f<0c280=6=4?{%35`?73n2.8o:46019'7cd=;0;0(>k9:2g6?!7>;390V>h8:0y6628;c6?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`:gd<62?0;6=u+17f951d<,:i<64>?;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54n6:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm9b;95?0=83:p(<8k:06a?!5d?33;<6*\4n>0:w8<8:|J22d=i<821m:5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn4m7:085>5<7s-;=h7?;b:&0g2<>891/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2h20eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59=56<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o623`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd>k<0:6;4?:1y'53b=9=h0(>m8:823?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198be>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a=f2=93<1<7>t$04g>42e3-9h;77?0:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65ac9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>02<=5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo7l2;392?6=8r.::i4>4c9'7f1=19:0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603ko7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th2o<4>:783>5}#9?n1=9l4$2a4><673-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=5;h`5>5<>{e1j:1=7850;2x 40c28>i7)=l7;;34>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~fk9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:c28m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=15=>4$2da>6?63-9n:77m;%3:7?5:47l>;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl6be82>3<729q/=;j515`8 6e020:;7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910i>6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>=j:1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>g2>oc83:17d=8f;29?ld72900c>6n:188yg?c83;1:7>50z&22a<6;390V>h8:0y6628;`6?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`:gc<62?0;6=u+17f951d<,:i<64>?;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54m6:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm9bg95?0=83:p(<8k:06a?!5d?33;<6*\4n>0:w8<8:|J22d=i<821n:5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn4mk:085>5<7s-;=h7?;b:&0g2<>891/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2k20eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59=56<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o623`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd>kk0:6;4?:1y'53b=9=h0(>m8:823?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198ae>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a=f0=93<1<7>t$04g>42e3-9h;77?0:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65bc9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>02<=5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo7ma;392?6=8r.::i4>4c9'7f1=19:0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603ho7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th25l4>:783>5}#9?n1=9l4$2a4><673-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=5;h`5>5<>{e1031=7850;2x 40c28>i7)=l7;;34>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~fk9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:b28m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=15=>4$2da>6?63-9n:77m;%3:7?5:47m>;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl69482>3<729q/=;j515`8 6e020:;7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910h>6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>=k:1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>f2>oc83:17d=8f;29?ld72900c>6n:188yg?>:3;1:7>50z&22a<6;390V>h8:0y6628;a6?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`:=4<62?0;6=u+17f951d<,:i<64>?;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54l6:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm98295?0=83:p(<8k:06a?!5d?33;<6*\4n>0:w8<8:|J22d=i<821o:5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn46i:085>5<7s-;=h7?;b:&0g2<>891/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2j20eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59=56<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o623`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd>0m0:6;4?:1y'53b=9=h0(>m8:823?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198`e>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a==e=93<1<7>t$04g>42e3-9h;77?0:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65cc9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>02<=5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qo7n0;392?6=8r.::i4>4c9'7f1=19:0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603io7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th25k4>:783>5}#9?n1=9l4$2a4><673-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=5;h`5>5<>{e10o1=7850;2x 40c28>i7)=l7;;34>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~fk9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:e28m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=15=>4$2da>6?63-9n:77m;%3:7?5:47j>;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl69c82>3<729q/=;j515`8 6e020:;7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910o>6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>=l:1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>a2>oc83:17d=8f;29?ld72900c>6n:188yg??i3;1:7>50z&22a<6;390V>h8:0y6628;f6?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`b06<62?0;6=u+17f951`<,:i<64j;;%1ef?5>92.8i;4{404>xN6>h1e8<65d79ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37b>"4k>02h95+3g`97<7<,:o=6>k:;%3:7?5:47j8;hg3>5<>o4?o0;66gm0;29?j5?i3:17pln4082>3<729q/=;j515d8 6e020n?7)=ib;1:5>"4m?08i85+18197>\4n>0:w8<8:|J22d=i<821h55fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnl7j:085>5<7s-;=h7?;b:&0g2<>l=1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2m30eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59=a2<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xdf1j0:6;4?:1y'53b=9=h0(>m8:8f7?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198gf>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::aet$04g>42e3-9h;77k4:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65db9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>02h95+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qoo68;392?6=8r.::i4>4c9'7f1=1m>0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603nn7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thj5:4>:783>5}#9?n1=9l4$2a4>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=5;h`5>5<>{ei0<1=7850;2x 40c28>i7)=l7;;g0>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~fd?2280=6=4?{%35`?73j2.8o:46d59'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:d38m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=15i:4$2da>6?63-9n:77m;%3:7?5:47k=;hg3>5<>o4?o0;66gm0;29?j5?i3:17pln9282>3<729q/=;j515`8 6e020n?7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910n?6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>=m=1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>`3>oc83:17d=8f;29?ld72900c>6n:188ygg>83;1:7>50z&22a<6;390V>h8:0y6628;g5?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`be0<62?0;6=u+17f951d<,:i<64j;;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54j7:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sma`695?0=83:p(<8k:06a?!5d?33o86*\4n>0:w8<8:|J22d=i<821i55fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnlo<:085>5<7s-;=h7?;b:&0g2<>l=1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2l30eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<7?56;294~"6>m0:8o5+3b59=a2<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xdfi80:6;4?:1y'53b=9=h0(>m8:8f7?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198ff>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::aed6=93<1<7>t$04g>42e3-9h;77k4:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65eb9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>02h95+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qoo6a;392?6=8r.::i4>4c9'7f1=1m>0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603on7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thj4k4>:783>5}#9?n1=9l4$2a4>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=5;h`5>5<>{ei1o1=7850;2x 40c28>i7)=l7;;g0>"4nk085<5+3d49=g=#9091?6T9i:188mg6=831d?5o50;9~fd0b280=6=4?{%35`?73j2.8o:46d59'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:g38m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=15i:4$2da>6?63-9n:77m;%3:7?5:47h=;hg3>5<>o4?o0;66gm0;29?j5?i3:17pln6b82>3<729q/=;j515`8 6e020n?7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910m?6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>=n=1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>c3>oc83:17d=8f;29?ld72900c>6n:188ygg103;1:7>50z&22a<6;390V>h8:0y6628;d5?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`b22<62?0;6=u+17f951d<,:i<64j;;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54i7:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sma7495?0=83:p(<8k:06a?!5d?33o86*\4n>0:w8<8:|J22d=i<821j55fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnl8::085>5<7s-;=h7?;b:&0g2<>l=1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2o30eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59=a2<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o62>oe83:17b=7a;29?xdf>:0:6;4?:1y'53b=9=h0(>m8:8f7?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198ef>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::ae34=93<1<7>t$04g>42e3-9h;77k4:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<65fb9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>02h95+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gf2900qoo90;392?6=8r.::i4>4c9'7f1=1m>0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603ln7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thj;84>:783>5}#9?n1=9l4$2a4>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=5;h`5>5<>{ei>>1=7850;2x 40c28>i7)=l7;;g0>"4nk085<5+3d49=g=#9091?6T>oc83:17d=8f;29?ld72900c>6n:188ygg0;3;1:7>50z&22a<6;390V>h8:0y6628;335>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::ae24=93<1<7>t$04g>42e3-9h;77k4:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<651108m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=15i:4$2da>6?63-9n:77m;%3:7?5:47??3:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sma6295?0=83:p(<8k:06a?!5d?33o86*\4n>0:w8<8:|J22d=i<821==:4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qoo9f;392?6=8r.::i4>4c9'7f1=1m>0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603;;96gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>=99<0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<j7?56;294~"6>m0:8o5+3b59=a2<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{eii7)=l7;;g0>"4nk085<5+3d49=g=#9091?6T>oc83:17d=8f;29?ld72900c>6n:188ygd6?3;1:7>50z&22a<6"61:087W=i7;3x171=uA;=m6`;19824<=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fg71280=6=4?{%35`?73n2.8o:4na99'7cd=;0;0(>k9:2g6?!7>;390V>h8:0y6628;33e>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::af43=93<1<7>t$04g>42a3-9h;7on8:&0bg<4181/?h853d78 4?42:1Q?k951z713?{O9?k0b9?7:02a?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`a37<62?0;6=u+17f951d<,:i<6lo7;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>0b9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0jm55+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gk;hg3>5<>o4?o0;66gm0;29?j5?i3:17plm7182>3<729q/=;j515`8 6e02hk37)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910:>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vno8i:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thi:i4>:783>5}#9?n1=9l4$2a4>dg?3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6991bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>4763`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xde>k0:6;4?:1y'53b=9=h0(>m8:`c;?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198257=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fg0f280=6=4?{%35`?73j2.8o:4na99'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:030?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`a2<<62?0;6=u+17f951d<,:i<6lo7;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>159ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0jm55+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plm6682>3<729q/=;j515`8 6e02hk37)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910:=;5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vno89:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thi:84>:783>5}#9?n1=9l4$2a4>dg?3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6911bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>47>3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xde?00:6;4?:1y'53b=9=h0(>m8:`c;?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19825d=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fg1?280=6=4?{%35`?73j2.8o:4na99'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:03a?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`a32<62?0;6=u+17f951d<,:i<6lo7;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>1b9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0jm55+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plm7482>3<729q/=;j515`8 6e02hk37)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910:=h5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vno9;:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thi;>4>:783>5}#9?n1=9l4$2a4>dg?3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6:91bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>4463`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xde>:0:6;4?:1y'53b=9=h0(>m8:`c;?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198267=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fg05280=6=4?{%35`?73j2.8o:4na99'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:000?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`a07<62?0;6=u+17f951d<,:i<6lo7;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>259ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0jm55+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plm4182>3<729q/=;j515`8 6e02hk37)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910:>;5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vno=i:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thi?i4>:783>5}#9?n1=9l4$2a4>dg?3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6:11bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>44>3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xde;k0:6;4?:1y'53b=9=h0(>m8:`c;?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19826d=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fg5f280=6=4?{%35`?73j2.8o:4na99'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:00a?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`a7<<62?0;6=u+17f951d<,:i<6lo7;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>2b9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0jm55+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plm3682>3<729q/=;j515`8 6e02hk37)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910:>h5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vno=9:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thi?84>:783>5}#9?n1=9l4$2a4>dg?3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6;91bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>4563`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xde<00:6;4?:1y'53b=9=h0(>m8:`c;?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198277=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fg2?280=6=4?{%35`?73j2.8o:4na99'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:010?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`a02<62?0;6=u+17f951d<,:i<6lo7;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>359ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0jm55+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plm4482>3<729q/=;j515`8 6e02hk37)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910:?;5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vno:;:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thi8>4>:783>5}#9?n1=9l4$2a4>dg?3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6;11bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>45>3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xde;:0:6;4?:1y'53b=9=h0(>m8:`c;?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19827d=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fg55280=6=4?{%35`?73j2.8o:4na99'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:01a?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|``73<62:0;6=u+3g`9050<,:i<6o6>;I35e>h3910:?n5f1`694?=nj;0;66a=5e83>>{ek;81=7:50;2x 6`e28hn7)=l7;`;5>N6>h1e8<6512f8m44d2900eo<50;9j7d>=831d>8j50;9~ff5a28086=4?{%1ef?27>2.8o:4m809K53g5<8;30b>o6:j0;66gm2;29?l5f03:17b<:d;29?xdd;l0:6>4?:1y'7cd=<9<0(>m8:c:2?M71i2d?=54>419j5d2=831bn?4?::m11a<722wio?o51;694?6|,:li6;:6*bd9'7f1=j1;0D<8n;o62n4?::ka6?6=3`9j47>5;n06`?6=3thh?n4>:283>5}#;oh18=84$2a4>g>63A;=m6`;198201=n9h>1<75fb383>>i5=m0;66smc3:95?2=83:p(>hm:0`f?!5d?3h3=6F>6`9m04>=9=?0e<5<7s-9mn7:?6:&0g24213`;j87>5;h`1>5<2b83>>oe:3:17d=n8;29?j42l3:17pll3`82>6<729q/?kl54148 6e02k2:7E?9a:l75=<6<11b=l:50;9jf7<722e99i4?::ag70=93>1<7>t$2da>4db3-9h;7l71:J22d=i<821=974i00`>5<4<4290;w)=ib;632>"4k>0i4<5G17c8j17?28>j7d?n4;29?ld52900c?;k:188yge5=3;187>50z&0bg<6jl1/?n95b938L40f3g>:47?;b:k26f<722ci>7>5;h1bh7>5;|``7=<62:0;6=u+3g`9050<,:i<6o6>;I35e>h3910:8n5f1`694?=nj;0;66a=5e83>>{ek;>1=7:50;2x 6`e28hn7)=l7;`;5>N6>h1e8<6515f8m44d2900eo<50;9j7d>=831d>8j50;9~ff5028086=4?{%1ef?27>2.8o:4m809K53g5<8;37b>o6:j0;66gm2;29?l5f03:17b<:d;29?xdd;<0:6>4?:1y'7cd=<9<0(>m8:c:2?M71i2d?=54>519j5d2=831bn?4?::m11a<722wio??51;694?6|,:li6;:6*h7>5;|`a<6<62=0;6=u+3g`95gc<,:i<6o6>;I35e>h3910:995f13a94?=nj;0;66g>i5=m0;66smb`795?5=83:p(>hm:525?!5d?3h3=6F>6`9m04>=98j50;9~fg?2280?6=4?{%1ef?7em2.8o:4m809K53g5<;6g>a583>>oe:3:17b<:d;29?xde1:0:694?:1y'7cd=9ko0(>m8:c:2?M71i2d?=54>599j57e=831bn?4?::k0e=<722e99i4?::afd5=9391<7>t$2da>1613-9h;7l71:J22d=i<821=874i0c7>5<50z&0bg<38?1/?n95b938L40f3g>:47?:b:k2e1<722ci>7>5;n06`?6=3thi4k4>:583>5}#;oh1=ok4$2a4>g>63A;=m6`;19821f=n9;i1<75fb383>>o4i10;66a=5e83>>{ejh;1=7=50;2x 6`e2=:=7)=l7;`;5>N6>h1e8<6514f8m4g32900eo<50;9l60b=831vno6k:087>5<7s-9mn7?me:&0g243b3`;9o7>5;h`1>5<5<8;36b>o6i=0;66gm2;29?j42l3:17plm8c82>1<729q/?kl51cg8 6e02k2:7E?9a:l75=<6>91b=?m50;9jf7<722c8m54?::m11a<722win4h51;194?6|,:li69>9;%1`3?d?92B::l5a40:95374<3290;w)=ib;3aa>"4k>0i4<5G17c8j17?28<97d?=c;29?ld52900e>o7:188k73c2900qol6e;397?6=8r.8jo4;079'7f1=j1;0D<8n;o62h7>5;|`a<2<62=0;6=u+3g`95gc<,:i<6o6>;I35e>h3910::95f13a94?=nj;0;66g>i5=m0;66smb8f95?5=83:p(>hm:525?!5d?3h3=6F>6`9m04>=9??0e8j50;9~fg>2280?6=4?{%1ef?7em2.8o:4m809K53g5<a583>>oe:3:17b<:d;29?xde0;0:694?:1y'7cd=9ko0(>m8:c:2?M71i2d?=54>699j57e=831bn?4?::k0e=<722e99i4?::a`36=93<1<7>t$04g>42a3-9h;7l71:&0bg<4181/?h853d78 4?42:1Q?k951z713?{O9?k0b9?7:04:?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`g22<62?0;6=u+17f951`<,:i<6o6>;%1ef?5>92.8i;4{404>xN6>h1e8<6517c8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820c=#;j=1n5?4$2da>6?63-9n:7=j5:&2=6<43S9m;7?t5359yM71i2d?=54>6c9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37b>"4k>0i4<5+3g`97<7<,:o=6>k:;%3:7?5:47?9c:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66smd1195?0=83:p(<8k:06e?!5d?3h3=6*6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plk0b82>3<729q/=;j515d8 6e02k2:7)=ib;1:5>"4m?08i85+18197>\4n>0:w8<8:|J22d=i<821=;k4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qoj>5;392?6=8r.::i4>4g9'7f1=j1;0(>hm:2;2?!5b>39n96*>9280?_5a?3;p9?95}I35e>h3910::k5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vni?l:085>5<7s-;=h7?;f:&0g27)?63;18^6`028q>>:4rH04b?k2603;<<6gj0;29?ld12900ei>50;9j72`=831bn=4?::m05;n1;e?6=3tho?=4>:783>5}#9?n1=9h4$2a4>g>63-9mn7=61:&0a3<4m<1/=4=53:X0b2<6s<8<6pF>6`9m04>=9>80eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<?7?56;294~"6>m0:8k5+3b59f=7<,:li6>7>;%1f2?5b=2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6?:1bi=4?::ka2?6=3`n;6=44i25e>5<6<4<:183!5aj3>;:6*h7>5;|`afa<62=0;6=u+3g`95gc<,:i<6o6>;I35e>h3910:;;5f13a94?=nj;0;66g>i5=m0;66smbeg95?5=83:p(>hm:525?!5d?3h3=6F>6`9m04>=9>=0e8j50;9~fge1280?6=4?{%1ef?7em2.8o:4m809K53g5<a583>>oe:3:17b<:d;29?xdek<0:694?:1y'7cd=9ko0(>m8:c:2?M71i2d?=54>7`9j57e=831bn?4?::k0e=<722e99i4?::afae=9391<7>t$2da>1613-9h;7l71:J22d=i<821=:l4i0c7>5<50z&0bg<38?1/?n95b938L40f3g>:47?8d:k2e1<722ci>7>5;n06`?6=3thio>4>:583>5}#;oh1=ok4$2a4>g>63A;=m6`;19823`=n9;i1<75fb383>>o4i10;66a=5e83>>{ejmk1=7=50;2x 6`e2=:=7)=l7;`;5>N6>h1e8<6516d8m4g32900eo<50;9l60b=831vnom=:087>5<7s-9mn7?me:&0g24>73`;9o7>5;h`1>5<5<8;3;5>o6i=0;66gm2;29?j42l3:17plmc082>1<729q/?kl51cg8 6e02k2:7E?9a:l75=<60;1b=?m50;9jf7<722c8m54?::m11a<722wini651;194?6|,:li69>9;%1`3?d?92B::l5a40:95=54<3290;w)=ib;3aa>"4k>0i4<5G17c8j17?282?7d?=c;29?ld52900e>o7:188k73c2900qolk7;397?6=8r.8jo4;079'7f1=j1;0D<8n;o62h7>5;|`afc<62=0;6=u+3g`95gc<,:i<6o6>;I35e>h3910:4;5f13a94?=nj;0;66g>i5=m0;66smbe495?5=83:p(>hm:525?!5d?3h3=6F>6`9m04>=91=0e8j50;9~fgdb280?6=4?{%1ef?7em2.8o:4m809K53g5<a583>>oe:3:17b<:d;29?xdejj0:694?:1y'7cd=9ko0(>m8:c:2?M71i2d?=54>8`9j57e=831bn?4?::k0e=<722e99i4?::a1gc=93<1<7>t$04g>42a3-9h;7;:f:&0bg<4181/?h853d78 4?42:1Q?k951z713?{O9?k0b9?7:0:a?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`6=0<62?0;6=u+17f951`<,:i<68;i;%1ef?5>92.8i;4{404>xN6>h1e8<6519a8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820c=#;j=198h4$2da>6?63-9n:7=j5:&2=6<43S9m;7?t5359yM71i2d?=54>8e9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37b>"4k>0>9k5+3g`97<7<,:o=6>k:;%3:7?5:47?7e:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm5b195?0=83:p(<8k:06e?!5d?3?>j6*6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17pl:a482>3<729q/=;j515d8 6e02"4m?08i85+18197>\4n>0:w8<8:|J22d=i<821=4>4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qo;n4;392?6=8r.::i4>4g9'7f1==hm:2;2?!5b>39n96*>9280?_5a?3;p9?95}I35e>h3910:5<5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn8o<:085>5<7s-;=h7?;f:&0g2<2=o1/?kl53838 6c12:o>7)?63;18^6`028q>>:4rH04b?k2603;2>6gj0;29?ld12900ei>50;9j72`=831bn=4?::m05;n1;e?6=3th>m<4>:783>5}#9?n1=9h4$2a4>03a3-9mn7=61:&0a3<4m<1/=4=53:X0b2<6s<8<6pF>6`9m04>=90>0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8k5+3b5910`<,:li6>7>;%1f2?5b=2.:5>4<;[1e3?7|=;=1qE?9a:l75=<61<1bi=4?::ka2?6=3`n;6=44i25e>5<6c23-;2?7=4Z2d4>4}2:>0vD<8n;o62>2cn<7>5;h`5>5<>{e=0o1=7850;2x 40c28>m7)=l7;76b>"4nk085<5+3d497`3<,8386>5U3g595~35?3wC=;o4n53;>4?03`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd2jm0:6;4?:1y'53b=9=l0(>m8:47e?!5aj392=6*>oc83:17d=8f;29?ld72900c>6n:188yg3ek3;1:7>50z&22a<6"61:087W=i7;3x171=uA;=m6`;1982=<=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f0de280=6=4?{%35`?73n2.8o:4:5g9'7cd=;0;0(>k9:2g6?!7>;390V>h8:0y6628;3:e>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a1gg=93<1<7>t$04g>42a3-9h;7;:f:&0bg<4181/?h853d78 4?42:1Q?k951z713?{O9?k0b9?7:0;a?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`6f<<62?0;6=u+17f951`<,:i<68;i;%1ef?5>92.8i;4{404>xN6>h1e8<6518a8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820c=#;j=198h4$2da>6?63-9n:7=j5:&2=6<43S9m;7?t5359yM71i2d?=54>9e9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37b>"4k>0>9k5+3g`97<7<,:o=6>k:;%3:7?5:47?6e:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm5c495?0=83:p(<8k:06e?!5d?3?>j6*6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17pl:6982>3<729q/=;j515d8 6e02"4m?08i85+18197>\4n>0:w8<8:|J22d=i<821=l>4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qo;97;392?6=8r.::i4>4g9'7f1==hm:2;2?!5b>39n96*>9280?_5a?3;p9?95}I35e>h3910:m<5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn8hm:080>5<7s-9mn7:?6:&0g2<2k=1C=;o4n53;>4g53`;j87>5;h`1>5<a583>>oe:3:17b<:d;29?xd2m:0:694?:1y'7cd=9ko0(>m8:4a7?M71i2d?=54>a59j57e=831bn?4?::k0e=<722e99i4?::a253=9391<7>t$2da>1613-9h;7;l4:J22d=i<821=l;4i0c7>5<6<4;:183!5aj3;ii6*50z&0bg<38?1/?n955b68L40f3g>:47?n7:k2e1<722ci>7>5;n06`?6=3th>j>4>:583>5}#;oh1=ok4$2a4>0e33A;=m6`;1982e==n9;i1<75fb383>>o4i10;66a=5e83>>{e>991=7=50;2x 6`e2=:=7)=l7;7`0>N6>h1e8<651`;8m4g32900eo<50;9l60b=831vn8h>:087>5<7s-9mn7?me:&0g2<2k=1C=;o4n53;>4gf3`;9o7>5;h`1>5<5<7?53;294~"4nk0?<;5+3b591f2<@88;3bf>o6i=0;66gm2;29?j42l3:17pl:eg82>1<729q/?kl51cg8 6e029;%1`3?3d<2B::l5a40:95db4<3290;w)=ib;3aa>"4k>0>o95G17c8j17?28kn7d?=c;29?ld52900e>o7:188k73c2900qo8?0;397?6=8r.8jo4;079'7f1==j>0D<8n;o62h7>5;|`6ag<62=0;6=u+3g`95gc<,:i<68m;;I35e>h3910:n=5f13a94?=nj;0;66g>i5=m0;66sm5gd95?5=83:p(>hm:525?!5d?3?h86F>6`9m04>=9k;0e8j50;9~f0c>280?6=4?{%1ef?7em2.8o:4:c59K53g5<a583>>oe:3:17b<:d;29?xd2m>0:694?:1y'7cd=9ko0(>m8:4a7?M71i2d?=54>b59j57e=831bn?4?::k0e=<722e99i4?::a1cb=9391<7>t$2da>1613-9h;7;l4:J22d=i<821=o;4i0c7>5<6<4;:183!5aj3;ii6*50z&0bg<38?1/?n955b68L40f3g>:47?m7:k2e1<722ci>7>5;n06`?6=3th>i?4>:583>5}#;oh1=ok4$2a4>0e33A;=m6`;1982f==n9;i1<75fb383>>o4i10;66a=5e83>>{e>:81=7850;2x 40c28>m7)=l7;7`0>"4nk085<5+3d497`3<,8386>5U3g595~35?3wC=;o4n53;>4d>3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd1;<0:6;4?:1y'53b=9=l0(>m8:4a7?!5aj392=6*>oc83:17d=8f;29?ld72900c>6n:188yg0403;1:7>50z&22a<6"61:087W=i7;3x171=uA;=m6`;1982fg=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f35e280=6=4?{%35`?73n2.8o:4:c59'7cd=;0;0(>k9:2g6?!7>;390V>h8:0y6628;3ag>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a21>=93<1<7>t$04g>42a3-9h;7;l4:&0bg<4181/?h853d78 4?42:1Q?k951z713?{O9?k0b9?7:0`g?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`56<<62?0;6=u+17f951d<,:i<68m;;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>bd9ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0>o95+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17pl93082>3<729q/=;j515`8 6e02"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910:o=5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn;=?:085>5<7s-;=h7?;b:&0g2<2k=1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?28i:7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th=>k4>:783>5}#9?n1=9l4$2a4>0e33-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6k;1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>4e43`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd1:m0:6;4?:1y'53b=9=h0(>m8:4a7?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1982g1=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f34d280=6=4?{%35`?73j2.8o:4:c59'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:0a6?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`56g<62?0;6=u+17f951d<,:i<68m;;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54>c79ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0>o95+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17pl92682>3<729q/=;j515`8 6e02"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910:o55fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn;<9:085>5<7s-;=h7?;b:&0g2<2k=1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?28i27dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th=:783>5}#9?n1=9h4$2a4>0e33-9mn7=61:&0a3<4m<1/=4=53:X0b2<6s<8<6pF>6`9m04>=9jk0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<7?56;294~"6>m0:8k5+3b591f2<,:li6>7>;%1f2?5b=2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6kk1bi=4?::ka2?6=3`n;6=44i25e>5<6c23-;2?7=4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{e>?:1=7850;2x 40c28>m7)=l7;7`0>"4nk085<5+3d497`3<,8386>5U3g595~35?3wC=;o4n53;>4ec3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd1=o0:6;4?:1y'53b=9=l0(>m8:4a7?!5aj392=6*>oc83:17d=8f;29?ld72900c>6n:188yg02m3;1:7>50z&22a<6"61:087W=i7;3x171=uA;=m6`;1982gc=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f33c280=6=4?{%35`?73n2.8o:4:c59'7cd=;0;0(>k9:2g6?!7>;390V>h8:0y6628;3g4>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a20e=93<1<7>t$04g>42a3-9h;7;l4:&0bg<4181/?h853d78 4?42:1Q?k951z713?{O9?k0b9?7:0f2?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`51g<62?0;6=u+17f951`<,:i<68m;;%1ef?5>92.8i;4{404>xN6>h1e8<651e08m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820c=#;j=19n:4$2da>6?63-9n:7=j5:&2=6<43S9m;7?t5359yM71i2d?=54>d29ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37b>"4k>0>o95+3g`97<7<,:o=6>k:;%3:7?5:47?k4:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm66:95?0=83:p(<8k:06e?!5d?3?h86*6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17pl97682>3<729q/=;j515d8 6e02"4m?08i85+18197>\4n>0:w8<8:|J22d=i<821=i84id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qo886;392?6=8r.::i4>4g9'7f1==j>0(>hm:2;2?!5b>39n96*>9280?_5a?3;p9?95}I35e>h3910:h:5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn;9::085>5<7s-;=h7?;f:&0g2<2k=1/?kl53838 6c12:o>7)?63;18^6`028q>>:4rH04b?k2603;o46gj0;29?ld12900ei>50;9j72`=831bn=4?::m05;n1;e?6=3th=4<4>:783>5}#9?n1=9h4$2a4>0e33-9mn7=61:&0a3<4m<1/=4=53:X0b2<6s<8<6pF>6`9m04>=9mk0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8k5+3b591f2<,:li6>7>;%1f2?5b=2.:5>4<;[1e3?7|=;=1qE?9a:l75=<6lk1bi=4?::ka2?6=3`n;6=44i25e>5<6c23-;2?7=4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{e>>o1=7850;2x 40c28>m7)=l7;7`0>"4nk085<5+3d497`3<,8386>5U3g595~35?3wC=;o4n53;>4bc3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd1?m0:6;4?:1y'53b=9=l0(>m8:4a7?!5aj392=6*>oc83:17d=8f;29?ld72900c>6n:188yg00k3;1:7>50z&22a<6"61:087W=i7;3x171=uA;=m6`;1982`c=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f31e280=6=4?{%35`?73n2.8o:4:c59'7cd=;0;0(>k9:2g6?!7>;390V>h8:0y6628;3f4>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a222=93<1<7>t$04g>42a3-9h;7;l4:&0bg<4181/?h853d78 4?42:1Q?k951z713?{O9?k0b9?7:0g2?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`536<62?0;6=u+17f951`<,:i<68m;;%1ef?5>92.8i;4{404>xN6>h1e8<651d08m`6=831bn;4?::kg4?6=3`95;h`3>5<1b>3A;=m6`;1982a6=n9>k1<75f16`94?=h;8n1<75rb47;>4<4290;w)=ib;3bf>"6>m088;3f0>o6?h0;66g>7c83>>i49m0;66sm68;95?2=83:p(>hm:0ca?!71l38j<6*d;29?xd2=90:6>4?:1y'7cd=9hh0(<8k:3ge?!5d?3??i6*<7e8705=O9?k0b9?7:0g5?l70i3:17d?8b;29?j56l3:17pl:3482>6<729q/?kl51``8 40c2;om7)=l7;71b>"4?m0??k5G17c8j17?28o<7d?8a;29?l70j3:17b=>d;29?xd2;80:6>4?:1y'7cd=9hh0(<8k:22b?!5d?3?9j6F>6`9m04>=9l20e<9n:188m41e2900c>?k:188yg0>i3;1:7>50z&0bg<6ik1/=;j529f8 6e02?337E?9a:l75=<6m01b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vn;7i:085>5<7s-9mn7?nb:&22a<5?91/?n9568:8L40f3g>:47?ja:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a2t$2da>4ge3-;=h7<84:&0g2<1111C=;o4n53;>4ce3`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3th=m=4>:783>5}#;oh1=ll4$04g>7133-9h;7868:J22d=i<821=hm4i05b>5<5<5<3:1;h4$2a4>3??3A;=m6`;1982aa=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zj?3o6<49:183!5aj3;jn6*>6e812`=#;j=1:464H04b?k2603;ni6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm6`395?0=83:p(>hm:0ca?!71l383;6*d;29?xd1190:6;4?:1y'7cd=9hh0(<8k:34g?!5d?3??h6F>6`9m04>=9o:0e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qo876;392?6=8r.8jo4>ac9'53b=:?n0(>m8:46g?M71i2d?=54>f09j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?9k:51e?M71i2d?=54>f39j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?9k:5`3?M71i2d?=54>f29j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?5;h34g?6=3`;5;h34b?6=3f9:h7>5;|`5<`<62?0;6=u+3g`95dd<,85<5<5<5<5<i7?56;294~"4nk0:mo5+17f96g><,:i<6:;9;I35e>h3910:j55f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb67e>4<1290;w)=ib;3bf>"6>m09n55+3b59300<@88;3e=>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{e??:1=7850;2x 6`e28ki7)?9d;0a<>"4k>0<9;5G17c8j17?28lj7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17pl86082>3<729q/?kl51``8 40c2;h37)=l7;562>N6>h1e8<651g`8m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg11k3;1:7>50z&0bg<6ik1/=;j52c78 6e02>?=7E?9a:l75=<6nj1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vn:8k:085>5<7s-9mn7?nb:&22a<5j<1/?n957448L40f3g>:47?id:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a33c=93<1<7>t$2da>4ge3-;=h74`b3`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3th<:k4>:783>5}#;oh1=ll4$04g>7d23-9h;79:6:J22d=i<821=kh4i05b>5<5<5<3:1lk4$2a4>2313A;=m6`;198145=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zj>6e81e`=#;j=1;884H04b?k26038;=6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm77:95?0=83:p(>hm:0ca?!71l38ji6*d;29?xd0>00:6;4?:1y'7cd=9hh0(<8k:3cf?!5d?3=>:6F>6`9m04>=:990e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qo980;392?6=8r.8jo4>ac9'53b=:k?0(>m8:675?M71i2d?=54=059j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?9;h34e?6=3`;5;h34g?6=3`;5;h34b?6=3f9:h7>5;|`41f<62?0;6=u+3g`95dd<,82B::l5a40:96515<5<5<n7?55;294~"4nk0:mo5+17f96<1<,:i<6:;9;%14`?20l2B::l5a40:965>5<5<494$2a4>2313-9=74i05b>5<5<5<7?55;294~"4nk0:mo5+17f96<1<,:i<6:;9;%14`?20j2B::l5a40:965g5<5<494$2a4>2313-9=l4i05b>5<5<5<5<5<o<4$2a4>2313-9=j4i05b>5<5<5<5<5<o<4$2a4>2313-9=h4i05b>5<5<5<57?54;294~"4nk0:mo5+17f963?<,:i<6:;9;%14`?24<2B::l5a40:96465<5<2B::l5a40:96475<5<3:1i<4$2a4>2313-9<<4i05b>5<5<5<hk4$2a4>2313-9<=4i05b>5<5<h39109=95f16c94?=n9>h1<75`30f94?=zj>?36<4<:183!5aj3;jn6*>6e81ac=#;j=1;884$25g>1533A;=m6`;198150=n9>k1<75f16`94?=h;8n1<75rb65`>4<4290;w)=ib;3bf>"6>m08h39109=;5f16c94?=n9>h1<75`30f94?=zj19?6<49:183!5aj3;jn6*>6e81f==#;j=14?m4H04b?k26038:;6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm82795?0=83:p(>hm:0ca?!71l38i46*d;29?xd?;?0:6;4?:1y'7cd=9hh0(<8k:3`;?!5d?329o6F>6`9m04>=:830e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qo6<7;392?6=8r.8jo4>ac9'53b=:k20(>m8:90`?M71i2d?=54=1`9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?5;h34g?6=3`;5;h34b?6=3f9:h7>5;|`;01<62?0;6=u+3g`95dd<,85k2B::l5a40:964b5<5<5<h39109=h5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb963>4<1290;w)=ib;3bf>"6>m09mh5+3b59<7e<@88;02b>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{e0=;1=7850;2x 6`e28ki7)?9d;0ba>"4k>03>n5G17c8j17?2;8;7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17pl73d82>3<729q/?kl51``8 40c2;kn7)=l7;:1g>N6>h1e8<652338m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg>4n3;1:7>50z&0bg<6ik1/=;j52`g8 6e0218h7E?9a:l75=<5:;1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vn5:9:085>5<7s-9mn7?nb:&22a<5j<1/?n9583a8L40f3g>:47<=3:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a<11=93<1<7>t$2da>4ge3-;=h77433`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3th3?=4>:783>5}#;oh1=ll4$04g>7d23-9h;76=c:J22d=i<821>?;4i05b>5<5<5<3:1o;4$2a4>=4d3A;=m6`;198163=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zj19:6<4::183!5aj3;jn6*>6e81=2=#;j=14?m4$25g>11?3A;=m6`;198162=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rb910>4<2290;w)=ib;3bf>"6>m095:5+3b59<7e<,:=o6998;I35e>h39109>55f16c94?=n9>h1<75f16a94?=n9>o1<75`30f94?=zj1936<4::183!5aj3;jn6*>6e81=2=#;j=14?m4$25g>1113A;=m6`;19816<=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rb91:>4<2290;w)=ib;3bf>"6>m095:5+3b59<7e<,:=o699:;I35e>h39109>l5f16c94?=n9>h1<75f16a94?=n9>o1<75`30f94?=zj19j6<4::183!5aj3;jn6*>6e81f7=#;j=14?m4$25g>11?3A;=m6`;19816g=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rb91a>4<2290;w)=ib;3bf>"6>m09n?5+3b59<7e<,:=o6998;I35e>h39109>n5f16c94?=n9>h1<75f16a94?=n9>o1<75`30f94?=zj19h6<4::183!5aj3;jn6*>6e81f7=#;j=14?m4$25g>1113A;=m6`;19816a=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rb91g>4<2290;w)=ib;3bf>"6>m09n?5+3b59<7e<,:=o699:;I35e>h39109>h5f16c94?=n9>h1<75f16a94?=n9>o1<75`30f94?=zj18m6<4;:183!5aj3;jn6*>6e812<=#;j=14?m4$25g>1543A;=m6`;19816c=n9>k1<75f16`94?=n9>i1<75`30f94?=zj1?;6<4::183!5aj3;jn6*>6e81`2=#;j=14?m4$25g>1023A;=m6`;198175=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rb96f>4<1290;w)=ib;3bf>"6>m09h?5+3b59<7e<,:=o698:;I35e>h39109?<5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb96:>4<4290;w)=ib;3bf>"6>m09ih5+3b59<7e<,:=o69=m;I35e>h39109??5f16c94?=n9>h1<75`30f94?=zj1??6<4<:183!5aj3;jn6*>6e81a7=#;j=14?m4H04b?k260388?6g>7`83>>o6?k0;66a<1e83>>{e0;o1=7=50;2x 6`e28ki7)?9d;0fb>"4k>03>n5+36f9065<@88;000>o6?h0;66g>7c83>>i49m0;66sm84095?5=83:p(>hm:0ca?!71l39;m6*3<729q/?kl51``8 40c2;h37)=l7;;34>N6>h1e8<652248m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg?713;1:7>50z&0bg<6ik1/=;j52c:8 6e020:;7E?9a:l75=<5;>1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vn4>n:085>5<7s-9mn7?nb:&22a<5j11/?n959128L40f3g>:47<<8:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a=5d=93<1<7>t$2da>4ge3-;=h7891C=;o4n53;>75>3`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3th2=;4>:783>5}#;oh1=ll4$04g>7d23-9h;77?0:J22d=i<821>>o4i05b>5<5<5<3:1o;4$2a4><673A;=m6`;19817g=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zj0;36<49:183!5aj3;jn6*>6e81f0=#;j=15=>4H04b?k260388o6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm90;95?0=83:p(>hm:0ca?!71l38i96*d;29?xd>9=0:6;4?:1y'7cd=9hh0(<8k:3cf?!5d?33;<6F>6`9m04>=::o0e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qo7>5;392?6=8r.8jo4>ac9'53b=:ho0(>m8:823?M71i2d?=54=3g9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?;h34e?6=3`;5;h34g?6=3`;5;h34b?6=3f9:h7>5;|`:5d<62?0;6=u+3g`95dd<,85<5<5<?;I35e>h391098>5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb827>4<1290;w)=ib;3bf>"6>m09n85+3b59=56<@88;070>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{e19<1=7850;2x 6`e28ki7)?9d;0a1>"4k>02<=5G17c8j17?2;>>7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17pl60482>0<729q/?kl51``8 40c2;3<7)=l7;;34>"4?m0?;95G17c8j17?2;>=7d?8a;29?l70j3:17d?8c;29?l70m3:17b=>d;29?xd>8>0:684?:1y'7cd=9hh0(<8k:3;4?!5d?33;<6*<7e8736=O9?k0b9?7:364?l70i3:17d?8b;29?l70k3:17d?8e;29?j56l3:17pl60b82>0<729q/?kl51``8 40c2;3<7)=l7;;34>"4?m0?;?5G17c8j17?2;>37d?8a;29?l70j3:17d?8c;29?l70m3:17b=>d;29?xd>8m0:684?:1y'7cd=9hh0(<8k:3;4?!5d?33;<6*<7e8734=O9?k0b9?7:36:?l70i3:17d?8b;29?l70k3:17d?8e;29?j56l3:17pl60d82>0<729q/?kl51``8 40c2;h97)=l7;;34>"4?m0?;95G17c8j17?2;>j7d?8a;29?l70j3:17d?8c;29?l70m3:17b=>d;29?xd>8o0:684?:1y'7cd=9hh0(<8k:3`1?!5d?33;<6*<7e8736=O9?k0b9?7:36a?l70i3:17d?8b;29?l70k3:17d?8e;29?j56l3:17pl61182>0<729q/?kl51``8 40c2;h97)=l7;;34>"4?m0?;?5G17c8j17?2;>h7d?8a;29?l70j3:17d?8c;29?l70m3:17b=>d;29?xd>980:684?:1y'7cd=9hh0(<8k:3`1?!5d?33;<6*<7e8734=O9?k0b9?7:36g?l70i3:17d?8b;29?l70k3:17d?8e;29?j56l3:17pl60282>1<729q/?kl51``8 40c2;<27)=l7;;34>"4?m0???5G17c8j17?2;>n7d?8a;29?l70j3:17d?8c;29?j56l3:17pl62582>0<729q/?kl51``8 40c2;n<7)=l7;;34>"4?m0?:95G17c8j17?2;>m7d?8a;29?l70j3:17d?8c;29?l70m3:17b=>d;29?xd>:;0:6;4?:1y'7cd=9hh0(<8k:3f1?!5d?33;<6*<7e8721=O9?k0b9?7:373?l70i3:17d?8b;29?l70k3:17d?8e;29?l70n3:17b=>d;29?xd>9m0:6>4?:1y'7cd=9hh0(<8k:3gf?!5d?33;<6*<7e877f=O9?k0b9?7:372?l70i3:17d?8b;29?j56l3:17pl62982>6<729q/?kl51``8 40c2;o97)=l7;;34>N6>h1e8<652408m41f2900e<9m:188k67c2900qo7?2;397?6=8r.8jo4>ac9'53b=:ll0(>m8:823?!50l3>8>6F>6`9m04>=:<90e<9n:188m41e2900c>?k:188yg?5>3;1?7>50z&0bg<6ik1/=;j531c8 6e020:;7)=8d;67a>N6>h1e8<652468m41f2900e<9m:188k67c2900qo7kc;392?6=8r.8jo4>ac9'53b=:k20(>m8:8f7?M71i2d?=54=549j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?0D<8n;o622c:;l4?::k23g<722c:;n4?::k23`<722c:;k4?::m05a<722wi5ik51;494?6|,:li65;h34g?6=3`;5;h34b?6=3f9:h7>5;|`:`c<62?0;6=u+3g`95dd<,85<5<5<h39109945f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb8ga>4<1290;w)=ib;3bf>"6>m09n85+3b59=a2<@88;06e>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{e1li1=7850;2x 6`e28ki7)?9d;0a1>"4k>02h95G17c8j17?2;?i7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17pl6ee82>3<729q/?kl51``8 40c2;h>7)=l7;;g0>N6>h1e8<6524a8m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg?b03;1:7>50z&0bg<6ik1/=;j52`g8 6e020n?7E?9a:l75=<5=m1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vn4k6:085>5<7s-9mn7?nb:&22a<5il1/?n959e68L40f3g>:47<:e:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a=`0=93<1<7>t$2da>4ge3-;=h7l=1C=;o4n53;>73a3`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3th2i:4>:783>5}#;oh1=ll4$04g>7gb3-9h;77k4:J22d=i<821>;>4i05b>5<5<5<3:1o;4$2a4>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zj0om6<49:183!5aj3;jn6*>6e81f0=#;j=15i:4H04b?k26038=>6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm9e:95?0=83:p(>hm:0ca?!71l38i96*d;29?xd>lh0:6;4?:1y'7cd=9hh0(<8k:3`6?!5d?33o86F>6`9m04>=:?>0e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qo7k9;391?6=8r.8jo4>ac9'53b=:0=0(>m8:8f7?!50l3><<6F>6`9m04>=:??0e<9n:188m41e2900e<9l:188m41b2900c>?k:188yg?cj3;197>50z&0bg<6ik1/=;j52858 6e020n?7)=8d;65b>N6>h1e8<652748m41f2900e<9m:188m41d2900e<9j:188k67c2900qo7j0;391?6=8r.8jo4>ac9'53b=:0=0(>m8:8f7?!50l3>=h6F>6`9m04>=:?=0e<9n:188m41e2900e<9l:188m41b2900c>?k:188yg?b93;197>50z&0bg<6ik1/=;j52858 6e020n?7)=8d;65g>N6>h1e8<6527:8m41f2900e<9m:188m41d2900e<9j:188k67c2900qo7j2;391?6=8r.8jo4>ac9'53b=:k80(>m8:8f7?!50l3><<6F>6`9m04>=:?30e<9n:188m41e2900e<9l:188m41b2900c>?k:188yg?b;3;197>50z&0bg<6ik1/=;j52c08 6e020n?7)=8d;65b>N6>h1e8<6527c8m41f2900e<9m:188m41d2900e<9j:188k67c2900qo7j4;391?6=8r.8jo4>ac9'53b=:k80(>m8:8f7?!50l3>=h6F>6`9m04>=:?h0e<9n:188m41e2900e<9l:188m41b2900c>?k:188yg?b=3;197>50z&0bg<6ik1/=;j52c08 6e020n?7)=8d;65g>N6>h1e8<6527a8m41f2900e<9m:188m41d2900e<9j:188k67c2900qo7k7;390?6=8r.8jo4>ac9'53b=:?30(>m8:8f7?!50l3>8=6F>6`9m04>=:?n0e<9n:188m41e2900e<9l:188k67c2900qo7i8;391?6=8r.8jo4>ac9'53b=:m=0(>m8:8f7?!50l3>=>6F>6`9m04>=:?o0e<9n:188m41e2900e<9l:188m41b2900c>?k:188yg?a>3;1:7>50z&0bg<6ik1/=;j52e08 6e020n?7)=8d;656>N6>h1e8<6527d8m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg?a93;1?7>50z&0bg<6ik1/=;j52dg8 6e020n?7)=8d;60`>N6>h1e8<652628m41f2900e<9m:188k67c2900qo7ic;397?6=8r.8jo4>ac9'53b=:l80(>m8:8f7?M71i2d?=54=709j52g=831b=:l50;9l74b=831vn4j9:080>5<7s-9mn7?nb:&22a<5mo1/?n959e68 61c2=9:7E?9a:l75=<5?;1b=:o50;9j52d=831d?0(>9k:56e?M71i2d?=54=729j52g=831b=:l50;9l74b=831vnll?:085>5<7s-9mn7?nb:&22a<5j11/?n95a`:8L40f3g>:47<84:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::aeg7=93<1<7>t$2da>4ge3-;=h77123`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3thjn?4>:783>5}#;oh1=ll4$04g>7d?3-9h;7on8:J22d=i<821>:84i05b>5<5<5<3:1o64$2a4>dg?3A;=m6`;198132=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjhhn6<49:183!5aj3;jn6*>6e81f0=#;j=1ml64H04b?k26038<46g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66smacd95?0=83:p(>hm:0ca?!71l38i96*d;29?xdfk90:6;4?:1y'7cd=9hh0(<8k:3`6?!5d?3kj46F>6`9m04>=:>k0e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qool1;392?6=8r.8jo4>ac9'53b=:k?0(>m8:`c;?M71i2d?=54=7c9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?5;h34g?6=3`;5;h34b?6=3f9:h7>5;|`bfd<62?0;6=u+3g`95dd<,85<5<5<h39109;k5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb`a1>4<1290;w)=ib;3bf>"6>m09n85+3b59ed><@88;0;4>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{eij91=7850;2x 6`e28ki7)?9d;0a1>"4k>0jm55G17c8j17?2;2:7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17plnab82>3<729q/?kl51``8 40c2;h>7)=l7;cb<>N6>h1e8<652908m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yggfm3;1:7>50z&0bg<6ik1/=;j52c78 6e02hk37E?9a:l75=<50:1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vnlok:086>5<7s-9mn7?nb:&22a<51>1/?n95a`:8 61c2=6=4?{%1ef?7fj2.::i4=969'7f1=ih20(>9k:54b?M71i2d?=54=849j52g=831b=:l50;9j52e=831b=:k50;9l74b=831vnll;:086>5<7s-9mn7?nb:&22a<51>1/?n95a`:8 61c2=<27E?9a:l75=<50?1b=:o50;9j52d=831b=:m50;9j52c=831d?6=4?{%1ef?7fj2.::i4=969'7f1=ih20(>9k:54;?M71i2d?=54=869j52g=831b=:l50;9j52e=831b=:k50;9l74b=831vnll9:086>5<7s-9mn7?nb:&22a<5j;1/?n95a`:8 61c2=6=4?{%1ef?7fj2.::i4=b39'7f1=ih20(>9k:54b?M71i2d?=54=889j52g=831b=:l50;9j52e=831b=:k50;9l74b=831vnll7:086>5<7s-9mn7?nb:&22a<5j;1/?n95a`:8 61c2=<27E?9a:l75=<50h1b=:o50;9j52d=831b=:m50;9j52c=831d?280>6=4?{%1ef?7fj2.::i4=b39'7f1=ih20(>9k:54;?M71i2d?=54=8c9j52g=831b=:l50;9j52e=831b=:k50;9l74b=831vnlom:087>5<7s-9mn7?nb:&22a<5>01/?n95a`:8 61c2=9;7E?9a:l75=<50j1b=:o50;9j52d=831b=:m50;9l74b=831vnlml:086>5<7s-9mn7?nb:&22a<5l>1/?n95a`:8 61c2=<:7E?9a:l75=<50m1b=:o50;9j52d=831b=:m50;9j52c=831d?9k:542?M71i2d?=54=8d9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?9k:51f?M71i2d?=54=8g9j52g=831b=:l50;9l74b=831vnlj?:080>5<7s-9mn7?nb:&22a<5m;1/?n95a`:8 61c2=?:7E?9a:l75=<5191b=:o50;9j52d=831d?9k:513?M71i2d?=54=909j52g=831b=:l50;9l74b=831vnlmj:080>5<7s-9mn7?nb:&22a<48h1/?n95a`:8 61c2=?;7E?9a:l75=<51;1b=:o50;9j52d=831d?;2c:;l4?::k23g<722c:;n4?::k23`<722c:;k4?::k2<5<722e8=i4?::afc0=93<1<7>t$2da>4ge3-;=h77?33`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3thij:4>:783>5}#;oh1=ll4$04g>7`03-9h;7l71:J22d=i<821>4;4i05b>5<5<5<3:1k94$2a4>g>63A;=m6`;1981=3=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjkkm6<49:183!5aj3;jn6*>6e81`4=#;j=1n5?4$25g>14b3A;=m6`;1981=2=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjkko6<49:183!5aj3;jn6*>6e81`4=#;j=1n5?4$25g>14c3A;=m6`;1981===n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjkki6<49:183!5aj3;jn6*>6e81`4=#;j=1n5?4$25g>14d3A;=m6`;1981=<=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjkk26<49:183!5aj3;jn6*>6e81`4=#;j=1n5?4$25g>14f3A;=m6`;1981=d=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjkk<6<49:183!5aj3;jn6*>6e81`4=#;j=1n5?4$25g>14>3A;=m6`;1981=g=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjjih6<48:183!5aj3;jn6*>6e81e2=#;j=1n5?4H04b?k260382o6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{ekj<1=7950;2x 6`e28ki7)?9d;0:<>"4k>0i4<5G17c8j17?2;3o7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdc<:0:6:4?:1y'7cd=9hh0(<8k:3ce?!5d?3h3=6F>6`9m04>=:0o0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygb3j3;1;7>50z&0bg<6ik1/=;j52c48 6e02k2:7E?9a:l75=<51o1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?t$2da>4ge3-;=h7<6c:&0g27g63`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|``05<62>0;6=u+3g`95dd<,85<5<5<kk4$2a4>g>63A;=m6`;1981e6=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rbcge>4<1290;w)=ib;3bf>"6>m09j55+3b59f=7<@88;0b0>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{ejo;1=7850;2x 6`e28ki7)?9d;0e2>"4k>0i4<5G17c8j17?2;k>7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17plk6282>2<729q/?kl51``8 40c2;h<7)=l7;`;5>N6>h1e8<652`48m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qoj9a;393?6=8r.8jo4>ac9'53b=:k=0(>m8:c:2?M71i2d?=54=a69j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnnhn:084>5<7s-9mn7?nb:&22a<5j>1/?n95b938L40f3g>:475;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3tho<;4>:683>5}#;oh1=ll4$04g>7d03-9h;7l71:J22d=i<821>lo4i05b>5<5<5<5<;I35e>h39109mo5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjm;36<48:183!5aj3;jn6*>6e81f2=#;j=1n5?4H04b?k26038jo6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{el8l1=7950;2x 6`e28ki7)?9d;0a3>"4k>0i4<5G17c8j17?2;ko7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdc:?0:6:4?:1y'7cd=9hh0(<8k:3`4?!5d?3h3=6F>6`9m04>=:ho0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygb4;3;1;7>50z&0bg<6ik1/=;j52c58 6e02k2:7E?9a:l75=<5io1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?t$2da>4ge3-;=h77d63`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3thoih4>:583>5}#;oh1=ll4$04g>6753-9h;7l71:J22d=i<821>o<4i05b>5<5<g>63A;=m6`;1981f6=n9>k1<75f16`94?=n9>i1<75`30f94?=zjmn36<4;:183!5aj3;jn6*>6e8057=#;j=1n5?4H04b?k26038i86g>7`83>>o6?k0;66g>7b83>>i49m0;66smdbf95?2=83:p(>hm:0ca?!71l39:>6*d;29?xdck;0:694?:1y'7cd=9hh0(<8k:231?!5d?3h3=6F>6`9m04>=:k<0e<9n:188m41e2900e<9l:188k67c2900qoli5;392?6=8r.8jo4>ac9'53b=;980(>m8:c:2?M71i2d?=54=b69j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?t$2da>4ge3-;=h7<91:&0g27d>3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`aa=<62>0;6=u+3g`95dd<,8;%1`3?d?92B::l5a40:96gg5<5<5<;?4$2a4>g>63A;=m6`;1981fg=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rbcg5>4<0290;w)=ib;3bf>"6>m09:<5+3b59f=7<@88;0ag>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smbd795?1=83:p(>hm:0ca?!71l38==6*2<729q/?kl51``8 40c2;<:7)=l7;`;5>N6>h1e8<652cg8m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qolj3;393?6=8r.8jo4>ac9'53b=:?;0(>m8:c:2?M71i2d?=54=bg9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnok=:084>5<7s-9mn7?nb:&22a<5>81/?n95b938L40f3g>:47;h34e?6=3`;5;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thiin4>:683>5}#;oh1=ll4$04g>7063-9h;7l71:J22d=i<821>n<4i05b>5<5<5<5<;I35e>h39109o>5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjm=n6<4<:183!5aj3;jn6*>6e81a`=#;j=1n5?4H04b?k26038h86g>7`83>>o6?k0;66a<1e83>>{el1<1=7=50;2x 6`e28ki7)?9d;0fa>"4k>0i4<5G17c8j17?2;i>7d?8a;29?l70j3:17b=>d;29?xdc0l0:6>4?:1y'7cd=9hh0(<8k:3gf?!5d?3h3=6F>6`9m04>=:j<0e<9n:188m41e2900c>?k:188ygb>>3;1?7>50z&0bg<6ik1/=;j52dg8 6e02k2:7E?9a:l75=<5k>1b=:o50;9j52d=831d?1<7>t$2da>4ge3-;=h7:47t$2da>4ge3-;=h7=?8:&0g2:475;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thomo4>:683>5}#;oh1=ll4$04g>7c?3-9h;7l71:J22d=i<821>nm4i05b>5<5<5<5<<,:i<6o6>;I35e>h39109oi5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjmk26<48:183!5aj3;jn6*>6e81a==#;j=1n5?4H04b?k26038hi6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{elh21=7950;2x 6`e28ki7)?9d;0f<>"4k>0i4<5G17c8j17?2;im7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdci<0:6;4?:1y'7cd=9hh0(<8k:3gb?!5d?3h3=6*<7e8713=O9?k0b9?7:3f3?l70i3:17d?8b;29?l70k3:17d?8e;29?l70n3:17b=>d;29?xdc<>0:6:4?:1y'7cd=9hh0(<8k:3`2?!5d?3h3=6F>6`9m04>=:m;0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygb7i3;187>50z&0bg<6ik1/=;j52d38 6e02k2:7E?9a:l75=<5l;1b=:o50;9j52d=831b=:m50;9l74b=831vnill:087>5<7s-9mn7?nb:&22a<5mm1/?n95b938 61c2=?:7E?9a:l75=<5l:1b=:o50;9j52d=831b=:m50;9l74b=831vnilk:085>5<7s-9mn7?nb:&22a<5mh1/?n95b938 61c2=8i7E?9a:l75=<5l=1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vnn:m:086>5<7s-9mn7?nb:&22a<5m91/?n95b938L40f3g>:472c:;l4?::k23g<722c:;n4?::m05a<722wi;8<51;694?6|,:li6t$2da>4ge3-;=h7=?b:&0g2<2=o1/?:j543d8L40f3g>:47o0D<8n;o625;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3th>o=4>:483>5}#;oh1=ll4$04g>7?c3-9h;7;:f:&03a<3;<1C=;o4n53;>7bb3`;5;h34f?6=3`;5;h34a?6=3f9:h7>5;|`6g7<62:0;6=u+3g`95dd<,8>n;%1`3?32n2.8;i4;349K53g5;n12`?6=3th>454>:283>5}#;oh1=ll4$04g>7cb3-9h;7;:f:&03a<3;>1C=;o4n53;>7c73`;5;h34f?6=3f9:h7>5;|`6>n;%1`3?32n2.8;i4;369K53g;h34e?6=3`;5;n12`?6=3th>:94>:583>5}#;oh1=ll4$04g>66>3-9h;7;:f:&03a<3?l1C=;o4n53;>7c53`;5;h34f?6=3`;5;n12`?6=3th=8k4>:483>5}#;oh1=ll4$04g>7c73-9h;7;l4:&03a<3i<1C=;o4n53;>7c43`;5;h34f?6=3`;5;h34a?6=3f9:h7>5;|`514<62?0;6=u+3g`95dd<,8>8;%1`3?3d<2.8;i4;219K53g5;h34g?6=3`;5;h34b?6=3f9:h7>5;|`6gg<62?0;6=u+3g`95dd<,85;h34g?6=3`;5;h34b?6=3f9:h7>5;|`515<62<0;6=u+3g`95dd<,8;%1`3?3d<2B::l5a40:96`05<5<5<4$2a4>0e33A;=m6`;1981a2=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rb771>4<1290;w)=ib;3bf>"6>m09i85+3b591f2<,:=o69h39109i55f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb4a:>4<2290;w)=ib;3bf>"6>m09m>5+3b591f2<,:=o69;7;I35e>h39109i45f16c94?=n9>h1<75f16a94?=n9>o1<75`30f94?=zj?9m6<48:183!5aj3;jn6*>6e81ag=#;j=19n:4H04b?k26038nm6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{e=j<1=7;50;2x 6`e28ki7)?9d;131>"4k>0>o95+36f90d3<@88;0ff>o6?h0;66g>7c83>>o6?j0;66g>7d83>>i49m0;66sm61`95?3=83:p(>hm:0ca?!71l38i56*n184o4H04b?k26038no6g>7`83>>o6?k0;66g>7b83>>o6?l0;66a<1e83>>{e>931=7;50;2x 6`e28ki7)?9d;0;7>"4k>0>o95+36f908;0f`>o6?h0;66g>7c83>>o6?j0;66g>7d83>>i49m0;66sm5d395?0=83:p(>hm:0ca?!71l38j46*d;29?xd2lo0:6;4?:1y'7cd=9hh0(<8k:3c;?!5d?3?h86F>6`9m04>=:ll0e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qo;kd;392?6=8r.8jo4>ac9'53b=:h20(>m8:4a7?M71i2d?=54=f19j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?0D<8n;o625;h34g?6=3`;5;h34b?6=3f9:h7>5;|`6`2<62?0;6=u+3g`95dd<,85<5<5<<,:i<68m;;I35e>h39109j95f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb4f0>4<1290;w)=ib;3bf>"6>m09m55+3b591f2<@88;0e1>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{e=m;1=7850;2x 6`e28ki7)?9d;0b<>"4k>0>o95G17c8j17?2;l=7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17pl:cg82>3<729q/?kl51``8 40c2;k37)=l7;7`0>N6>h1e8<652g58m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg3dl3;1:7>50z&0bg<6ik1/=;j52`:8 6e025<7s-9mn7?nb:&22a<5i11/?n955b68L40f3g>:47t$2da>4ge3-;=h7=>0:&0g2<3l01C=;o4n53;>7`f3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`0<2<62>0;6=u+3g`95dd<,8??;%1`3?2c12B::l5a40:96cd5<5<5<1b>3A;=m6`;1981bf=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rbecf>4<1290;w)=ib;3bf>"6>m09il5+3b59f=7<@88;0e`>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{elhl1=7950;2x 6`e28ki7)?9d;0f<>"4k>0i4<5G17c8j17?2;ln7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdcj90:6:4?:1y'7cd=9hh0(<8k:3g;?!5d?3h3=6F>6`9m04>=:ol0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygb1m3;1;7>50z&0bg<6ik1/=;j52d:8 6e02k2:7E?9a:l75=<4891b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?t$2da>4ge3-;=h76653`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3tho=94>:283>5}#;oh1=ll4$04g>7c53-9h;7l71:&03a<3i;1C=;o4n53;>6643`;5;h34f?6=3f9:h7>5;|`g56<62>0;6=u+3g`95dd<,85<5<5<h<4$2a4>g>63-95<5<;I35e>h39108<;5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjmo26<4<:183!5aj3;jn6*>6e81a7=#;j=1n5?4$25g>14c3A;=m6`;198042=n9>k1<75f16`94?=h;8n1<75rbeg;>4<0290;w)=ib;3bf>"6>m095>5+3b59f=7<@88;13<>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smdeg95?5=83:p(>hm:0ca?!71l38n>6*n18?m4H04b?k26039;56g>7`83>>o6?k0;66a<1e83>>{elmn1=7950;2x 6`e28ki7)?9d;0:7>"4k>0i4<5G17c8j17?2::j7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdcl:0:6>4?:1y'7cd=9hh0(<8k:3g1?!5d?3h3=6*<7e876d=O9?k0b9?7:22a?l70i3:17d?8b;29?j56l3:17plkd382>2<729q/?kl51``8 40c2;387)=l7;`;5>N6>h1e8<6531a8m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qojl8;397?6=8r.8jo4>ac9'53b=:l80(>m8:c:2?!50l3>956F>6`9m04>=;9n0e<9n:188m41e2900c>?k:188ygbd?3;1;7>50z&0bg<6ik1/=;j52818 6e02k2:7E?9a:l75=<48l1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?6=4?{%1ef?7fj2.::i4=e79'7f1=j1;0D<8n;o62t$2da>4ge3-;=h7=?d:&0g26773`;5;h34f?6=3`;5;h34a?6=3f9:h7>5;|``ac<62>0;6=u+3g`95dd<,85<5<5<g>63A;=m6`;198057=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rbbga>4<0290;w)=ib;3bf>"6>m09h=5+3b59f=7<@88;127>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smcd:95?3=83:p(>hm:0ca?!71l39;h6*2<729q/?kl51``8 40c2;n;7)=l7;`;5>N6>h1e8<653078m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qomj4;391?6=8r.8jo4>ac9'53b=;9n0(>m8:c:2?M71i2d?=54<179j52g=831b=:l50;9j52e=831b=:k50;9l74b=831vnnk<:084>5<7s-9mn7?nb:&22a<5l91/?n95b938L40f3g>:47=>7:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wioh>51;794?6|,:li6?7;h34e?6=3`;5;h34g?6=3`;5;n12`?6=3thhhk4>:683>5}#;oh1=ll4$04g>7b73-9h;7l71:J22d=i<821?<74i05b>5<5<5<5<;I35e>h39108=l5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjjn;6<49:183!5aj3;jn6*>6e813g=#;j=1n5?4H04b?k26039:n6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66smc0195?2=83:p(>hm:0ca?!71l38h?6*n18964H04b?k26039:o6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc0695?2=83:p(>hm:0ca?!71l38h?6*n18964H04b?k26039:h6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc0295?2=83:p(>hm:0ca?!71l38h?6*n18994H04b?k26039:i6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc0395?2=83:p(>hm:0ca?!71l38h?6*n18994H04b?k26039:j6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1f95?2=83:p(>hm:0ca?!71l38h?6*n18984H04b?k260399<6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1g95?2=83:p(>hm:0ca?!71l38h?6*n18984H04b?k260399=6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1c95?2=83:p(>hm:0ca?!71l38h?6*n189;4H04b?k260399>6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1`95?2=83:p(>hm:0ca?!71l38h?6*n189;4H04b?k260399?6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1595?2=83:p(>hm:0ca?!71l38h?6*n189o4H04b?k26039986g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1:95?2=83:p(>hm:0ca?!71l38h?6*n189o4H04b?k26039996g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1695?2=83:p(>hm:0ca?!71l38h?6*n18974H04b?k260399:6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1795?2=83:p(>hm:0ca?!71l38h?6*n18974H04b?k260399;6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1395?2=83:p(>hm:0ca?!71l38h?6*n189:4H04b?k26039946g>7`83>>o6?k0;66g>7b83>>i49m0;66smc1095?2=83:p(>hm:0ca?!71l38h?6*n189:4H04b?k26039956g>7`83>>o6?k0;66g>7b83>>i49m0;66smbgf95?2=83:p(>hm:0ca?!71l38h?6*n189=4H04b?k260399m6g>7`83>>o6?k0;66g>7b83>>i49m0;66smbgg95?2=83:p(>hm:0ca?!71l38h?6*n189=4H04b?k260399n6g>7`83>>o6?k0;66g>7b83>>i49m0;66smbgd95?0=83:p(>hm:0ca?!71l38m86*d;29?xden00:694?:1y'7cd=9hh0(<8k:3a0?!5d?3h3=6*<7e8707=O9?k0b9?7:20g?l70i3:17d?8b;29?l70k3:17b=>d;29?xdenh0:694?:1y'7cd=9hh0(<8k:3a0?!5d?3h3=6*<7e8707=O9?k0b9?7:20f?l70i3:17d?8b;29?l70k3:17b=>d;29?xdenk0:6;4?:1y'7cd=9hh0(<8k:3d7?!5d?3h3=6*<7e8712=O9?k0b9?7:20e?l70i3:17d?8b;29?l70k3:17d?8e;29?l70n3:17b=>d;29?xdci>0:684?:1y'7cd=9hh0(<8k:3g`?!5d?3h3=6*<7e8713=O9?k0b9?7:213?l70i3:17d?8b;29?l70k3:17d?8e;29?j56l3:17plka782>2<729q/?kl51``8 40c2;o37)=l7;`;5>N6>h1e8<653238m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qojm2;393?6=8r.8jo4>ac9'53b=:l20(>m8:c:2?M71i2d?=54<339j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnil>:084>5<7s-9mn7?nb:&22a<5m11/?n95b938L40f3g>:47=<3:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wiho751;594?6|,:li6=;;h34e?6=3`;5;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thonl4>:683>5}#;oh1=ll4$04g>7c?3-9h;7l71:J22d=i<821?>;4i05b>5<5<5<5<;I35e>h39108?;5f16c94?=n9>h1<75`30f94?=zjj>>6<48:183!5aj3;jn6*>6e81gc=#;j=1n5?4H04b?k260398;6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{ek=<1=7950;2x 6`e28ki7)?9d;132>"4k>0i4<5G17c8j17?2:937d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdd<10:6:4?:1y'7cd=9hh0(<8k:3ae?!5d?3h3=6F>6`9m04>=;:30e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188yge313;1;7>50z&0bg<6ik1/=;j53148 6e02k2:7E?9a:l75=<4;h1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?j=0D<8n;o62t$2da>4ge3-;=h71C=;o4n53;>65d3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`457<62>0;6=u+3g`95dd<,85<5<5<l?4$2a4>3e03A;=m6`;19807`=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rb624>4<0290;w)=ib;3bf>"6>m09j=5+3b592f1<@88;10b>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66sm71c95?1=83:p(>hm:0ca?!71l38j=6*2<729q/?kl51``8 40c2;l;7)=l7;4`3>N6>h1e8<653538m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qo9=9;393?6=8r.8jo4>ac9'53b=:h;0(>m8:7a4?M71i2d?=54<439j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vn;hk:084>5<7s-9mn7?nb:&22a<5n91/?n956b58L40f3g>:47=;3:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wi;=>51;594?6|,:li6:;;h34e?6=3`;5;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3th=j94>:683>5}#;oh1=ll4$04g>7`73-9h;78l7:J22d=i<821?9;4i05b>5<5<5<5<h391088;5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zj?oi6<48:183!5aj3;jn6*>6e81b5=#;j=1:n94H04b?k26039?;6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{e>lo1=7950;2x 6`e28ki7)?9d;0b5>"4k>0=o:5G17c8j17?2:>37d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xd1m;0:6:4?:1y'7cd=9hh0(<8k:3d3?!5d?36`9m04>=;=30e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188yg0b=3;1;7>50z&0bg<6ik1/=;j52`38 6e02?i<7E?9a:l75=<4j=0D<8n;o62t$2da>4ge3-;=h7<66:&0g2<1k>1C=;o4n53;>62d3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`5`7<62>0;6=u+3g`95dd<,85<5<5<l<4$2a4>3e03A;=m6`;19800`=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rb7aa>4<0290;w)=ib;3bf>"6>m095;5+3b592f1<@88;17b>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66sm6bf95?1=83:p(>hm:0ca?!71l38m<6*2<729q/?kl51``8 40c2;k97)=l7;4`3>N6>h1e8<653438m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qo9;4;393?6=8r.8jo4>ac9'53b=:jk0(>m8:7a4?M71i2d?=54<539j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vn::8:084>5<7s-9mn7?nb:&22a<5kh1/?n956b58L40f3g>:47=:3:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wi;9651;594?6|,:li6;;;h34e?6=3`;5;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3th<844>:783>5}#;oh1=ll4$04g>7`>3-9h;78l7:J22d=i<821?8;4i05b>5<5<5<no4$2a4>3e03A;=m6`;198013=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rb61e>4<0290;w)=ib;3bf>"6>m09ol5+3b592f1<@88;163>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66sm75295?1=83:p(>hm:0ca?!71l38hi6*3<729q/?kl51``8 40c2;l27)=l7;4`3>N6>h1e8<6534;8m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg0c?3;1;7>50z&0bg<6ik1/=;j52bc8 6e02?i<7E?9a:l75=<4=h1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?j=0D<8n;o62t$2da>4ge3-;=h71C=;o4n53;>63d3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`5`f<62?0;6=u+3g`95dd<,85<5<5<h391089h5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zj>8>6<48:183!5aj3;jn6*>6e812==#;j=1:n94H04b?k26039>j6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{e=?o1=7950;2x 6`e28ki7)?9d;0`1>"4k>0>9k5G17c8j17?2:<;7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xd2?90:6:4?:1y'7cd=9hh0(<8k:3a6?!5d?3?>j6F>6`9m04>=;?;0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188yg30:3;1;7>50z&0bg<6ik1/=;j52b78 6e02;1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?t$2da>4ge3-;=h76033`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`63=<62>0;6=u+3g`95dd<,85<5<5<n;4$2a4>03a3A;=m6`;198023=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rb763>4<0290;w)=ib;3bf>"6>m09jn5+3b591f2<@88;153>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66sm65395?1=83:p(>hm:0ca?!71l39;:6*2<729q/?kl51``8 40c2;?n7)=l7;7`0>N6>h1e8<6537;8m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qo8;3;393?6=8r.8jo4>ac9'53b=;9o0(>m8:4a7?M71i2d?=54<6`9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vn;:;:087>5<7s-9mn7?nb:&22a<5lk1/?n955b68L40f3g>:47=9b:k23d<722c:;o4?::k23f<722e8=i4?::a213=93=1<7>t$2da>4ge3-;=h7<6f:&0g2<2k=1C=;o4n53;>60d3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`502<62>0;6=u+3g`95dd<,85<5<5<h74$2a4>0e33A;=m6`;19802`=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rb76a>4<0290;w)=ib;3bf>"6>m09ni5+3b591f2<@88;15b>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66sm65a95?1=83:p(>hm:0ca?!71l38<;6*1<729q/?kl51``8 40c2;n37)=l7;7`0>"4?m0?945G17c8j17?2:=:7d?8a;29?l70j3:17d?8c;29?j56l3:17pl94d82>2<729q/?kl51``8 40c2;=j7)=l7;7`0>N6>h1e8<653608m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qo8?7;391?6=8r.8jo4>ac9'53b=;9n0(>m8:4a7?M71i2d?=54<729j52g=831b=:l50;9j52e=831b=:k50;9l74b=831vn;>9:084>5<7s-9mn7?nb:&22a<51k1/?n955b68L40f3g>:47=84:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wi9?651;094?6|,:li6l=4$2a4>1b>3S9m;7?t5359yM71i2d?=54<749j52?=831d>8j50;9~f07c28096=4?{%1ef?g43-9h;7;>4:X0b2<6s<8?6pF>6`9m04>=;><0e<96:188k73c2900qo:j3;396?6=8r.8jo4>809'7f1=:0:5?M71i2d?=54<769j52?=831d>8j50;9~f1c528096=4?{%1ef?7?92.8o:4;d89'5d7=91<0D<8n;o62;%1`3?2c12.:m<4>879K53g96;h34=?6=3f8>h7>5;|`7a5<62;0;6=u+3g`95=7<,:i<69j6;%3b5?7?>2B::l5a40:972g5<no7?52;294~"4nk0:4<5+3b590a?<,8k:6<69;I35e>h39108;o5f16;94?=h:4<5290;w)=ib;3;5>"4k>0?h45+1`395=0<@88;14g>o6?00;66a=5e83>>{e"6i80:4;5G17c8j17?2:=o7d?89;29?j42l3:17pl;e882>7<729q/?kl51938 6e02=n27)?n1;3;2>N6>h1e8<6536g8m41>2900c?;k:188yg2a=3;1>7>50z&0bg<6081/?n954e;8 4g6282=7E?9a:l75=<4?o1b=:750;9l60b=831vn9h;:081>5<7s-9mn7?71:&0g2<3l01/=l?51948L40f3g>:47=70:k23<<722e99i4?::a0c5=9381<7>t$2da>4>63-9h;7:k9:&2e4<60?1C=;o4n53;>6>63`;<57>5;n06`?6=3th?j?4>:383>5}#;oh1=5?4$2a4>1b>3-;j=7?76:J22d=i<821?5<4i05:>5<4>13A;=m6`;1980<6=n9>31<75`24f94?=zj=lo6<4=:183!5aj3;3=6*7883>>i5=m0;66sm4ga95?4=83:p(>hm:0:2?!5d?3>o56*>a082<3=O9?k0b9?7:2:6?l7013:17b<:d;29?xd3nk0:6?4?:1y'7cd=91;0(>m8:5f:?!7f93;3:6F>6`9m04>=;1<0e<96:188k73c2900qo;?7;396?6=8r.8jo4>809'7f1=:0:5?M71i2d?=54<869j52?=831d>8j50;9~f06128096=4?{%1ef?7?92.8o:4;d89'5d7=91<0D<8n;o62;%1`3?2c12.:m<4>879K53g66;h34=?6=3f8>h7>5;|`641<62;0;6=u+3g`95=7<,:i<69j6;%3b5?7?>2B::l5a40:97=g5<h391084o5f16;94?=h:9998ygcei3;1>7>50z&0bg<6081/?n954e;8 4g6282=7E?9a:l75=<40j1b=:750;9l60b=831vn8>6:081>5<7s-9mn7?71:&0g2<3l01/=l?51948L40f3g>:47=7d:k23<<722e99i4?::a15d=9381<7>t$2da>4>63-9h;7:k9:&2e4<60?1C=;o4n53;>6>b3`;<57>5;n06`?6=3th>:383>5}#;oh1=5?4$2a4>1b>3-;j=7?76:J22d=i<821?5h4i05:>5<4>13A;=m6`;1980=5=n9>31<75`24f94?=zj<;:6<4=:183!5aj3;3=6*7883>>i5=m0;66sm1ca95?4=83:p(>hm:0:2?!5d?3>o56*>a082<3=O9?k0b9?7:2;1?l7013:17b<:d;29?xd4ij0:6?4?:1y'7cd=91;0(>m8:5f:?!7f93;3:6F>6`9m04>=;090e<96:188k73c2900qoj8:081>5<7s-9mn7?71:&0g2<3l01/=l?51948L40f3g>:47=64:k23<<722e99i4?::a55>=9381<7>t$2da>4>63-9h;7:k9:&2e4<60?1C=;o4n53;>6?23`;<57>5;n06`?6=3th:<44>:283>5}#;oh1?ho4$2a4>1b>3-;j=7=>d:J22d=i<821?484i05:>5<0482>7<729q/?kl51938 6e02=n27)?n1;3;2>N6>h1e8<653858m41>2900c?;k:188yg77>3;1?7>50z&0bg<4mh1/?n954e;8 4g62:;o7E?9a:l75=<4111b=:750;9j560=83B:5554o37g>5<7?52;294~"4nk0:4<5+3b590a?<,8k:6<69;I35e>h39108545f16;94?=h:4<4290;w)=ib;1fe>"4k>0?h45+1`3974b<@88;1:e>o6?00;66g>3783>M7>021d>8j50;9~fc`=9381<7>t$2da>4>63-9h;7:k9:&2e4<60?1C=;o4n53;>6?e3`;<57>5;n06`?6=3th:<=4>:283>5}#;oh1?ho4$2a4>1b>3-;j=7=>d:J22d=i<821?4m4i05:>5<809'7f1=:0:5?M71i2d?=54<9e9j52?=831d>8j50;9~fcb=9391<7>t$2da>6cf3-9h;7:k9:&2e4<49m1C=;o4n53;>6?b3`;<57>5;h302?6=@83376a=5e83>>{en00:6?4?:1y'7cd=91;0(>m8:5f:?!7f93;3:6F>6`9m04>=;0l0e<96:188k73c2900qohn:080>5<7s-9mn7=ja:&0g2<3l01/=l?530f8L40f3g>:47=n0:k23<<722c:?;4?:I3:<>=h:4<5290;w)=ib;3;5>"4k>0?h45+1`395=0<@88;1b5>o6?00;66a=5e83>>{e9;>1=7=50;2x 6`e2:oj7)=l7;6g=>"6i808=i5G17c8j17?2:k97d?89;29?l74>3:1D<77;:m11a<722wi=?>51;094?6|,:li6<6>;%1`3?2c12.:m<4>879K53go<;h34=?6=3f8>h7>5;|`264<62:0;6=u+3g`97`g<,:i<69j6;%3b5?56l2B::l5a40:97d25m8:5f:?!7f93;3:6F>6`9m04>=;h?0e<96:188k73c2900qo?>e;397?6=8r.8jo4:23g?M71i2d?=54850;J2===4>13A;=m6`;1980e2=n9>31<75`24f94?=zj8;i6<4<:183!5aj39nm6*7883>>o6;?0;6E?68:9l60b=831vn5<7s-9mn7?71:&0g2<3l01/=l?51948L40f3g>:47=n9:k23<<722e99i4?::a54>=9391<7>t$2da>6cf3-9h;7:k9:&2e4<49m1C=;o4n53;>6gf3`;<57>5;h302?6=@83376a=5e83>>{e98>1=7<50;2x 6`e282:7)=l7;6g=>"6i80:4;5G17c8j17?2:ki7d?89;29?j42l3:17pl>1482>6<729q/?kl53dc8 6e02=n27)?n1;12`>N6>h1e8<653`a8m41>2900e<=9:18K5<><3f8>h7>5;|`254<62;0;6=u+3g`95=7<,:i<69j6;%3b5?7?>2B::l5a40:97db5<7?53;294~"4nk08il5+3b590a?<,8k:6>?k;I35e>h39108mh5f16;94?=n9:<1<7F>9998k73c2900qo??e;396?6=8r.8jo4>809'7f1=:0:5?M71i2d?=548j50;9~f46a28086=4?{%1ef?5bi2.8o:4;d89'5d7=;8n0D<8n;o627883>>i5=m0;66sm11a95?5=83:p(>hm:2gb?!5d?3>o56*>a0805a=O9?k0b9?7:2`1?l7013:17d?<6;29L4??32e99i4?::ab3<62;0;6=u+3g`95=7<,:i<69j6;%3b5?7?>2B::l5a40:97g55<7883>>o6;?0;6E?68:9l60b=831vnhk;:081>5<7s-9mn7?71:&0g2<3l01/=l?51948L40f3g>:47=m5:k23<<722e99i4?::aa`3=9391<7>t$2da>6cf3-9h;7:k9:&2e4<49m1C=;o4n53;>6d13`;<57>5;h302?6=@83376a=5e83>>{eml21=7<50;2x 6`e282:7)=l7;6g=>"6i80:4;5G17c8j17?2:h<7d?89;29?j42l3:17plje882>6<729q/?kl53dc8 6e02=n27)?n1;12`>N6>h1e8<653c:8m41>2900e<=9:18K5<><3f8>h7>5;|`7g`<62;0;6=u+3g`9740<,:i<69j6;%3b5?56l2B::l5a40:97g?5<hh7?52;294~"4nk08=;5+3b590a?<,8k:6>?k;I35e>h39108nl5f16;94?=h:4<5290;w)=ib;122>"4k>0?h45+1`3974b<@88;1af>o6?00;66a=5e83>>{e"6i808=i5G17c8j17?2:hh7d?89;29?j42l3:17pl;c`82>7<729q/?kl53048 6e02=n27)?n1;12`>N6>h1e8<653cf8m41>2900c?;k:188yg2d13;1>7>50z&0bg<49?1/?n954e;8 4g62:;o7E?9a:l75=<4jl1b=:750;9l60b=831vn9m7:081>5<7s-9mn7=>6:&0g2<3l01/=l?530f8L40f3g>:47=mf:k23<<722e99i4?::a0f1=9381<7>t$2da>6713-9h;7:k9:&2e4<49m1C=;o4n53;>6e73`;<57>5;n06`?6=3th?o;4>:383>5}#;oh1?<84$2a4>1b>3-;j=7=>d:J22d=i<821?n?4i05:>5<67c3A;=m6`;1980g7=n9>31<75`24f94?=zj=n=6<4=:183!5aj39::6*7883>>i5=m0;66sm4e795?4=83:p(>hm:235?!5d?3>o56*>a0805a=O9?k0b9?7:2a7?l7013:17b<:d;29?xd3l=0:6?4?:1y'7cd=;8<0(>m8:5f:?!7f939:h6F>6`9m04>=;j?0e<96:188k73c2900qo:k3;396?6=8r.8jo4<179'7f1=:23g?M71i2d?=548j50;9~f1b528096=4?{%1ef?56>2.8o:4;d89'5d7=;8n0D<8n;o62?9;%1`3?2c12.:m<4<1e9K53gm7;h34=?6=3f8>h7>5;|`7`5<62;0;6=u+3g`9740<,:i<69j6;%3b5?56l2B::l5a40:97f?5<hj7?52;294~"4nk08=;5+3b590a?<,8k:6>?k;I35e>h39108ol5f16;94?=h:4<5290;w)=ib;122>"4k>0?h45+1`3974b<@88;1`f>o6?00;66a=5e83>>{emo81=7<50;2x 6`e2:;=7)=l7;6g=>"6i808=i5G17c8j17?2:ih7d?89;29?j42l3:17pljf182>7<729q/?kl53048 6e02=n27)?n1;12`>N6>h1e8<653bf8m41>2900c?;k:188yg0f13;1>7>50z&0bg<49?1/?n954e;8 4g62:;o7E?9a:l75=<4kl1b=:750;9l60b=831vnhl<:081>5<7s-9mn7=>6:&0g2<3l01/=l?530f8L40f3g>:47=lf:k23<<722e99i4?::a5g?=9381<7>t$2da>6713-9h;7:k9:&2e4<49m1C=;o4n53;>6b73`;<57>5;n06`?6=3th>>;4>:383>5}#;oh1?<84$2a4>1b>3-;j=7=>d:J22d=i<821?i?4i05:>5<3:16e820g=#;j=19?h4$2da>6?63-9n:77m;%3:7?5:47=k2:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm52;95?0=83:p(>hm:0ca?!71l38i?6*d;29?xd2k80:6;4?:1y'53b=9=h0(>m8:47e?!5aj392=6*"61:08=6T>oc83:17d=8f;29?ld72900c>6n:188yg3?=3;1:7>50z&22a<6;390V>h8:0y6628;1g1>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a1=5=93<1<7>t$04g>42e3-9h;7;:f:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<653e48m`6=831bn;4?::kg4?6=3`95;h`3>5<h?4$2a4>g>63A;=m6`;1980`2=n9>k1<75f16`94?=n9>i1<75`30f94?=zj?kn6<49:183!71l3;?n6*5U3g595~35?3wC=;o4n53;>6b?3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd2=?0:6;4?:1y'53b=9=h0(>m8:46f?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1980`<=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f033280=6=4?{%35`?73j2.8o:4:4d9'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:2fb?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`67d<62?0;6=u+17f951d<,:i<6892.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=545;hf3>5<4<1290;w)?9d;37f>"4k>0<9;5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gjl;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl8c782>3<729q/=;j515`8 6e02>?=7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h39108hi5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn:78:085>5<7s-;=h7?;b:&0g2<0=?1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2:nn7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th:783>5}#9?n1=9l4$2a4>2313-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<4lo1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>6c73`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd?1j0:6;4?:1y'53b=9=h0(>m8:90`?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1980a4=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f=0c280=6=4?{%35`?73j2.8o:472b9'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:2g1?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`;3=<62?0;6=u+17f951d<,:i<6592.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=545;hf3>5<4<1290;w)?9d;37f>"4k>02<=5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gk;;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl67182>3<729q/=;j515`8 6e020:;7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h39108i85fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn4:>:085>5<7s-;=h7?;b:&0g2<>891/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2:o=7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th28n4>:783>5}#9?n1=9l4$2a4><673-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<4m>1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>6c?3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdf<=0:6;4?:1y'53b=9=h0(>m8:8f7?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1980a<=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fd72280=6=4?{%35`?73j2.8o:46d59'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:2gb?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`b65<62?0;6=u+17f951d<,:i<64j;;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=545;hf3>5<4<1290;w)?9d;37f>"4k>0jm55+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gkl;hg3>5<>o4?o0;66gm0;29?j5?i3:17plm1982>3<729q/=;j515`8 6e02hk37)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h39108ii5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnlk6:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thjj94>:783>5}#9?n1=9l4$2a4>dg?3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<4mo1bi=4?::ka2?6=3`n;6=44i25e>5<6<49:183!71l3;?n6*5U3g595~35?3wC=;o4n53;>6`73`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd2?m0:6;4?:1y'53b=9=h0(>m8:47e?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1980b4=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f01a280=6=4?{%35`?73j2.8o:4:5g9'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:2d1?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`606<62?0;6=u+17f951d<,:i<6892.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=545;hf3>5<4<1290;w)?9d;37f>"4k>0<9;5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53gh;;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl7fd82>3<729q/=;j515`8 6e0218h7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h39108j85fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn4j=:085>5<7s-;=h7?;b:&0g2<>891/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2:l=7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thjm;4>:783>5}#9?n1=9l4$2a4>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<4n>1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>6`?3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd1;m0:6;4?:1y'7cd=9hh0(<8k:3:`?!5d?3?h86F>6`9m04>=;o30e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qo;:5;391?6=8r.8jo4>ac9'53b=:on0(>m8:46f?!50l3>>j6F>6`9m04>=;ok0e<9n:188m41e2900e<9l:188m41b2900c>?k:188yg32?3;197>50z&0bg<6ik1/=;j52g08 6e02<>n7)=8d;66b>N6>h1e8<653g`8m41f2900e<9m:188m41d2900e<9j:188k67c2900qom:b;391?6=8r.8jo4>ac9'53b=:j80(>m8:c:2?M71i2d?=545<7s-9mn7?nb:&22a<50k1/?n95b938L40f3g>:47=id:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wih>o51;594?6|,:li6hj;h34e?6=3`;5;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3tho8k4>:683>5}#;oh1=ll4$04g>7003-9h;7l71:J22d=i<821?kh4i05b>5<5<5<5<<,:i<68;i;I35e>h3910?<=5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb452>4<1290;w)=ib;3bf>"6>m09455+3b5910`<@88;635>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{e=>91=7850;2x 6`e28ki7)?9d;0;<>"4k>0>9k5G17c8j17?2=:97d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17pl:7482>3<729q/?kl51``8 40c2;237)=l7;76b>N6>h1e8<654118m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg30?3;1:7>50z&0bg<6ik1/=;j529:8 6e025<7s-9mn7?nb:&22a<5011/?n9554d8L40f3g>:47:?5:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a12d=93<1<7>t$2da>4ge3-;=h7<78:&0g2<2=o1/?:j544;8L40f3g>:47:?6:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a210=93<1<7>t$2da>4ge3-;=h7<88:&0g2<2k=1C=;o4n53;>1603`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3thio:4>:283>5}#;oh1=ll4$04g>7c53-9h;7l71:J22d=i<8218=64i05b>5<5<<,:i<6o6>;I35e>h3910?<45f16c94?=n9>h1<75f16a94?=h;8n1<75rbe5e>4<0290;w)=ib;3bf>"6>m09:;5+3b59f=7<@88;63e>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smcd795?2=83:p(>hm:0ca?!71l38o46*d;29?xdc0>0:6:4?:1y'7cd=9hh0(<8k:345?!5d?3h3=6F>6`9m04>=<9i0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygeb13;187>50z&0bg<6ik1/=;j52e:8 6e02k2:7E?9a:l75=<38m1b=:o50;9j52d=831b=:m50;9l74b=831vni6i:084>5<7s-9mn7?nb:&22a<5>?1/?n95b938L40f3g>:47:?e:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wiohj51;694?6|,:li6i;h34e?6=3`;5;h34g?6=3f9:h7>5;|`g=2<62>0;6=u+3g`95dd<,85<5<5<i64$2a4>g>63A;=m6`;198754=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm3m6<48:183!5aj3;jn6*>6e8123=#;j=1n5?4H04b?k2603>:>6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{ek=91=7950;2x 6`e28ki7)?9d;056>"4k>0i4<5G17c8j17?2=;87d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdck00:6:4?:1y'7cd=9hh0(<8k:3:f?!5d?3h3=6F>6`9m04>=<8>0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygbc<3;1;7>50z&0bg<6ik1/=;j529g8 6e02k2:7E?9a:l75=<39<1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?2c:;l4?::k23g<722c:;n4?::k23`<722c:;k4?::k2<5<722e8=i4?::a``g=93=1<7>t$2da>4ge3-;=h7<7e:&0g21703`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`gb0<62>0;6=u+3g`95dd<,85<5<5<nl4$2a4>g>63A;=m6`;19875<=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rbe52>4<2290;w)=ib;3bf>"6>m09oo5+3b59f=7<@88;62e>o6?h0;66g>7c83>>o6?j0;66g>7d83>>i49m0;66smd6095?3=83:p(>hm:0ca?!71l38hn6*0<729q/?kl51``8 40c2;ii7)=l7;`;5>N6>h1e8<6540a8m41f2900e<9m:188m41d2900e<9j:188k67c2900qoj85;391?6=8r.8jo4>ac9'53b=:jh0(>m8:c:2?M71i2d?=54;1e9j52g=831b=:l50;9j52e=831b=:k50;9l74b=831vni99:086>5<7s-9mn7?nb:&22a<5kk1/?n95b938L40f3g>:47:>e:k23d<722c:;o4?::k23f<722c:;h4?::m05a<722wih:951;794?6|,:li65;h34g?6=3`;5;n12`?6=3tho;54>:483>5}#;oh1=ll4$04g>7ee3-9h;7l71:J22d=i<8218?>4i05b>5<5<5<;I35e>h3910?><5f16c94?=n9>h1<75f16a94?=n9>o1<75`30f94?=zjm=j6<4::183!5aj3;jn6*>6e81gg=#;j=1n5?4H04b?k2603>9>6g>7`83>>o6?k0;66g>7b83>>o6?l0;66a<1e83>>{el>h1=7;50;2x 6`e28ki7)?9d;0`f>"4k>0i4<5G17c8j17?2=887d?8a;29?l70j3:17d?8c;29?l70m3:17b=>d;29?xdc?j0:684?:1y'7cd=9hh0(<8k:3aa?!5d?3h3=6F>6`9m04>=<;>0e<9n:188m41e2900e<9l:188m41b2900c>?k:188ygb293;1;7>50z&0bg<6ik1/=;j52d68 6e02k2:7E?9a:l75=<3:<1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?2c:;l4?::k23g<722c:;n4?::k23`<722c:;k4?::k2<5<722e8=i4?::aad4=93=1<7>t$2da>4ge3-;=h7<71:&0g21403`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`f<3<62>0;6=u+3g`95dd<,85<5<5<5?4$2a4>g>63A;=m6`;19876<=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rbd42>4<0290;w)=ib;3bf>"6>m094=5+3b59f=7<@88;61e>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66sme4:95?1=83:p(>hm:0ca?!71l383=6*2<729q/?kl51``8 40c2;2;7)=l7;`;5>N6>h1e8<6543a8m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qok<3;393?6=8r.8jo4>ac9'53b=:1;0(>m8:c:2?M71i2d?=54;2e9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnh?8:084>5<7s-9mn7?nb:&22a<5091/?n95b938L40f3g>:47:=e:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wii=k51;594?6|,:li65;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thiol4>:283>5}#;oh1=ll4$04g>7c53-9h;7l71:J22d=i<8218>>4i05b>5<5<;I35e>h3910??<5f16c94?=n9>h1<75`30f94?=zjkih6<4<:183!5aj3;jn6*>6e81a7=#;j=1n5?4H04b?k2603>8>6g>7`83>>o6?k0;66a<1e83>>{ejjn1=7=50;2x 6`e28ki7)?9d;0f6>"4k>0i4<5G17c8j17?2=987d?8a;29?l70j3:17b=>d;29?xdekl0:6>4?:1y'7cd=9hh0(<8k:3g1?!5d?3h3=6F>6`9m04>=<:>0e<9n:188m41e2900c>?k:188ygddn3;1?7>50z&0bg<6ik1/=;j52d08 6e02k2:7E?9a:l75=<3;<1b=:o50;9j52d=831d?2c:;l4?::k23g<722e8=i4?::afa7=9391<7>t$2da>4ge3-;=h71503`;5;h34f?6=3f9:h7>5;|`a`7<62:0;6=u+3g`95dd<,85<h<4$2a4>g>63A;=m6`;19877<=n9>k1<75f16`94?=h;8n1<75rb2::>4<4290;w)=ib;3bf>"6>m09i>5+3b590a?<@88;60e>o6?h0;66g>7c83>>i49m0;66sm6c295?1=83:p(>hm:0ca?!71l39;86*1<729q/?kl51``8 40c2;hm7)=l7;`;5>"4?m0?m?5G17c8j17?2=9h7d?8a;29?l70j3:17d?8c;29?j56l3:17pll4082>2<729q/?kl51``8 40c2;==7)=l7;`;5>N6>h1e8<6542f8m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qojm7;392?6=8r.8jo4>ac9'53b=:lk0(>m8:c:2?M71i2d?=54;3d9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?t$2da>4ge3-;=h7:47:;0:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a`d7=93?1<7>t$2da>4ge3-;=h7<9c:&0g2:47:;1:k23d<722c:;o4?::k23f<722c:;h4?::m05a<722wii4j51;594?6|,:li65;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3tho554>:783>5}#;oh1=ll4$04g>7dd3-9h;7l71:&03a<30=1C=;o4n53;>1243`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3tho544>:483>5}#;oh1=ll4$04g>70d3-9h;7l71:&03a<30=1C=;o4n53;>1233`;5;h34f?6=3`;5;h34a?6=3f9:h7>5;|`f3=<62>0;6=u+3g`95dd<,85<5<5<3:1om4$2a4>g>63-95<5<5<;m4$2a4>g>63-95<5<5<?7?57;294~"4nk0:mo5+17f962e<,:i<6o6>;I35e>h3910?855f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjm236<49:183!5aj3;jn6*>6e81ff=#;j=1n5?4$25g>10b3A;=m6`;19870<=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjm226<4::183!5aj3;jn6*>6e812f=#;j=1n5?4$25g>10b3A;=m6`;19870d=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rbd0f>4<0290;w)=ib;3bf>"6>m09;n5+3b59f=7<@88;67f>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smd9295?0=83:p(>hm:0ca?!71l38io6*n18;=4H04b?k2603>?o6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66smd9395?3=83:p(>hm:0ca?!71l38=o6*n18;=4H04b?k2603>?h6g>7`83>>o6?k0;66g>7b83>>o6?l0;66a<1e83>>{em931=7950;2x 6`e28ki7)?9d;04g>"4k>0i4<5G17c8j17?2=>n7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdek00:6>4?:1y'7cd=9hh0(<8k:3g1?!5d?3h3=6F>6`9m04>=<=l0e<9n:188m41e2900c>?k:188yg>513;1?7>50z&0bg<6ik1/=;j53068 6e02>?=7E?9a:l75=<3=91b=:o50;9j52d=831d?t$2da>4ge3-;=h7=?0:&0g2<2=o1/?:j54728L40f3g>:47::2:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a`g5=93>1<7>t$2da>4da3-9h;7l71:J22d=i<82188=4i05b>5<5<ol4$2a4>g>63A;=m6`;198711=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rbe`6>4<3290;w)=ib;3bf>"6>m09nk5+3b59f=7<@88;661>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{elh81=7:50;2x 6`e28hm7)=l7;`;5>N6>h1e8<654448m41f2900e<9m:188m6g?2900c?;k:188ygbf;3;1;7>50z&0bg<6ik1/=;j52718 6e02k2:7E?9a:l75=<3=>1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?1<7>t$2da>4da3-9h;7l71:J22d=i<8218874i05b>5<5<;=4$2a4>g>63A;=m6`;19871d=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rbe;`>4<0290;w)=ib;3bf>"6>m09m95+3b59f=7<@88;66f>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smd8095?2=83:p(>hm:0`e?!5d?3h3=6F>6`9m04>=<o7:188k73c2900qoj63;393?6=8r.8jo4>ac9'53b=:?90(>m8:c:2?M71i2d?=54;5e9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vni7;:084>5<7s-9mn7?nb:&22a<5i=1/?n95b938L40f3g>:47::e:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wih5o51;694?6|,:li65<5<;I35e>h3910?:=5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjm2h6<48:183!5aj3;jn6*>6e81e1=#;j=1n5?4H04b?k2603>==6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{el181=7:50;2x 6`e28hm7)=l7;`;5>N6>h1e8<654708m41f2900e<9m:188m6g?2900c?;k:188ygb?;3;1;7>50z&0bg<6ik1/=;j52b38 6e02k2:7E?9a:l75=<3>:1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?3280<6=4?{%1ef?7fj2.::i4=a89'7f1=j1;0D<8n;o62t$2da>4ge3-;=h71023`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`;bc<62>0;6=u+3g`95dd<,85k2B::l5a40:90305<5<5<oo4$2a4><673A;=m6`;198722=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rb`c4>4<0290;w)=ib;3bf>"6>m09nl5+3b59=a2<@88;65<>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smb6`95?1=83:p(>hm:0ca?!71l38im6*1<729q/?kl51``8 40c2;n27)=l7;76b>"4?m0?::5G17c8j17?2=1<729q/?kl51``8 40c2;n27)=l7;76b>"4?m0?::5G17c8j17?2=0<729q/?kl51``8 40c2:;:7)=l7;`;5>"4?m0?9l5G17c8j17?2=d;29?xdb100:6:4?:1y'7cd=9hh0(<8k:3c6?!5d?3h3=6F>6`9m04>=72900c>?k:188ygc0>3;197>50z&0bg<6ik1/=;j53038 6e02k2:7)=8d;66f>N6>h1e8<6547g8m41f2900e<9m:188m41d2900e<9j:188k67c2900qok84;393?6=8r.8jo4>ac9'53b=:h?0(>m8:c:2?M71i2d?=54;6g9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnh;>:086>5<7s-9mn7?nb:&22a<4981/?n95b938 61c2=?h7E?9a:l75=<3?91b=:o50;9j52d=831b=:m50;9j52c=831d?t$2da>4ge3-;=h7=>1:&0g2:47:82:k23d<722c:;o4?::k23f<722c:;h4?::m05a<722wii?o51;594?6|,:li65;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thn<:4>:483>5}#;oh1=ll4$04g>6763-9h;7l71:&03a<3=l1C=;o4n53;>1133`;5;h34f?6=3`;5;h34a?6=3f9:h7>5;|`f40<62>0;6=u+3g`95dd<,85<5<5<3:1i<4$2a4>g>63A;=m6`;198733=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zj<9i6<4;:183!5aj3;jn6*>6e81g1=#;j=19?h4H04b?k2603><;6g>7`83>>o6?k0;66g>7b83>>i49m0;66smc2695?1=83:p(>hm:0ca?!71l38jh6*2<729q/?kl51``8 40c2;ko7)=l7;`;5>N6>h1e8<6546;8m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qom<2;393?6=8r.8jo4>ac9'53b=:hn0(>m8:c:2?M71i2d?=54;7`9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnn=>:084>5<7s-9mn7?nb:&22a<5im1/?n95b938L40f3g>:47:8b:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wio>>51;594?6|,:li65;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thh>k4>:683>5}#;oh1=ll4$04g>7gc3-9h;7l71:J22d=i<8218:j4i05b>5<5<5<5<;I35e>h3910?;h5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zj>8<6<49:183!5aj3;jn6*>6e8120=#;j=1:n94H04b?k2603>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm73f95?1=83:p(>hm:0ca?!71l39;?6*2<729q/?kl51``8 40c2;k=7)=l7;4`3>N6>h1e8<654938m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qoji2;393?6=8r.8jo4>ac9'53b=:>?0(>m8:c:2?M71i2d?=54;839j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnih>:084>5<7s-9mn7?nb:&22a<50o1/?n95b938L40f3g>:47:73:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wihh951;594?6|,:li65;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thoi;4>:683>5}#;oh1=ll4$04g>7>a3-9h;7l71:J22d=i<82185;4i05b>5<5<5<5<;I35e>h3910?4;5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjmni6<48:183!5aj3;jn6*>6e813;6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{elm;1=7950;2x 6`e28ki7)?9d;041>"4k>0i4<5G17c8j17?2=237d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdcl90:6:4?:1y'7cd=9hh0(<8k:3:e?!5d?3h3=6F>6`9m04>=<130e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygbd>3;1;7>50z&0bg<6ik1/=;j52678 6e02k2:7E?9a:l75=<30h1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?t$2da>4ge3-;=h71>d3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`gac<62>0;6=u+3g`95dd<,85<5<5<n>4$2a4>g>63A;=m6`;1987<`=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rbeg7>4<0290;w)=ib;3bf>"6>m094k5+3b59f=7<@88;6;b>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smdec95?1=83:p(>hm:0ca?!71l38h<6*2<729q/?kl51``8 40c2;2m7)=l7;`;5>N6>h1e8<654838m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qojlf;393?6=8r.8jo4>ac9'53b=:j:0(>m8:c:2?M71i2d?=54;939j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnimj:084>5<7s-9mn7?nb:&22a<50o1/?n95b938L40f3g>:47:63:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wihn:51;594?6|,:li65;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thoo>4>:683>5}#;oh1=ll4$04g>7>a3-9h;7l71:J22d=i<82184;4i05b>5<5<5<5<;%14`?22m2B::l5a40:90<05<5<:k4$2a4>g>63A;=m6`;1987=2=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rbeaa>4<2290;w)=ib;3bf>"6>m09h>5+3b59f=7<,:=o69;k;I35e>h3910?555f16c94?=n9>h1<75f16a94?=n9>o1<75`30f94?=zjmij6<48:183!5aj3;jn6*>6e813`=#;j=1n5?4H04b?k2603>256g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{elm<1=7;50;2x 6`e28ki7)?9d;0g7>"4k>0i4<5+36f900e<@88;6:e>o6?h0;66g>7c83>>o6?j0;66g>7d83>>i49m0;66smde795?1=83:p(>hm:0ca?!71l380<729q/?kl51``8 40c2;n87)=l7;`;5>"4?m0?9o5G17c8j17?2=3h7d?8a;29?l70j3:17d?8c;29?l70m3:17b=>d;29?xdcm90:6:4?:1y'7cd=9hh0(<8k:35f?!5d?3h3=6F>6`9m04>=<0n0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygbbk3;197>50z&0bg<6ik1/=;j52e18 6e02k2:7)=8d;66e>N6>h1e8<6548g8m41f2900e<9m:188m41d2900e<9j:188k67c2900qojjb;393?6=8r.8jo4>ac9'53b=:>o0(>m8:c:2?M71i2d?=54;9g9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vn:o::085>5<7s-9mn7?nb:&22a<5l;1/?n957448L40f3g>:47:n0:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a<2d=93<1<7>t$2da>4ge3-;=h71g63`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3th28k4>:783>5}#;oh1=ll4$04g>7b53-9h;77?0:J22d=i<8218l<4i05b>5<5<5<3:1i<4$2a4>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjhl<6<49:183!5aj3;jn6*>6e81`7=#;j=1ml64H04b?k2603>j86g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm68a95?1=83:p(>hm:0ca?!71l38h56*2<729q/?kl51``8 40c2;2>7)=l7;4:<>N6>h1e8<654`48m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qo8n3;393?6=8r.8jo4>ac9'53b=:j30(>m8:7;;?M71i2d?=54;a69j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vn;=n:084>5<7s-9mn7?nb:&22a<5?01/?n955b68L40f3g>:47:n8:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wi:>:51;594?6|,:li65;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3th>4;4>:283>5}#;oh1=ll4$04g>6743-9h;7;:f:&03a<31gf3`;5;h34f?6=3f9:h7>5;|`6<1<62:0;6=u+3g`95dd<,8?<;%1`3?32n2.8;i4;4c9K53g5;n12`?6=3th:683>5}#;oh1=ll4$04g>7b73-9h;79:6:J22d=i<8218lm4i05b>5<5<5<5<5<5<ij4$2a4>2313-95<5<5<h3910?mk5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zj16e81`a=#;j=14?m4$25g>1353A;=m6`;1987f5=n9>k1<75f16`94?=n9>i1<75f16g94?=h;8n1<75rb95:>4<2290;w)=ib;3bf>"6>m09hi5+3b59<7e<,:=o69;=;I35e>h3910?n<5f16c94?=n9>h1<75f16a94?=n9>o1<75`30f94?=zj0=:6<48:183!5aj3;jn6*>6e81`5=#;j=15=>4H04b?k2603>i>6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{e1=81=7;50;2x 6`e28ki7)?9d;0g`>"4k>02<=5+36f901e<@88;6a7>o6?h0;66g>7c83>>o6?j0;66g>7d83>>i49m0;66sm95f95?3=83:p(>hm:0ca?!71l38oh6*n189m4H04b?k2603>i86g>7`83>>o6?k0;66g>7b83>>o6?l0;66a<1e83>>{ei=?1=7950;2x 6`e28ki7)?9d;0g4>"4k>02h95G17c8j17?2=h>7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdf9?0:684?:1y'7cd=9hh0(<8k:3fg?!5d?33o86*<7e8704=O9?k0b9?7:5`5?l70i3:17d?8b;29?l70k3:17d?8e;29?j56l3:17pln2082>0<729q/?kl51``8 40c2;no7)=l7;;g0>"4?m0?8<5G17c8j17?2=h<7d?8a;29?l70j3:17d?8c;29?l70m3:17b=>d;29?xde900:6:4?:1y'7cd=9hh0(<8k:3f3?!5d?3kj46F>6`9m04>=72900c>?k:188yggbi3;197>50z&0bg<6ik1/=;j52ef8 6e02hk37)=8d;602>N6>h1e8<654c;8m41f2900e<9m:188m41d2900e<9j:188k67c2900qooi5;391?6=8r.8jo4>ac9'53b=:mn0(>m8:`c;?!50l3>8:6F>6`9m04>=?k:188yge5l3;1;7>50z&0bg<6ik1/=;j52b58 6e02k2:7E?9a:l75=<3jk1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?t$2da>4ge3-;=h71dc3`;5;h34f?6=3`;5;h34a?6=3f9:h7>5;|`aa5<62>0;6=u+3g`95dd<,8>9;%1`3?d?92B::l5a40:90gc5<5<5<3:1i84$2a4>g>63A;=m6`;1987fc=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjj;>6<49:183!5aj3;jn6*>6e81`3=#;j=1n5?4H04b?k2603>h<6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm75d95?0=83:p(>hm:0ca?!71l38oj6*n188:4H04b?k2603>h=6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm75f95?0=83:p(>hm:0ca?!71l38oj6*d;29?xd0=<0:6;4?:1y'7cd=9hh0(<8k:3fe?!5d?36`9m04>=ac9'53b=:ml0(>m8:7a4?!50l3>>86F>6`9m04>=0e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qo9>9;393?6=8r.8jo4>ac9'53b=:0;0(>m8:7a4?M71i2d?=54;c49j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vn:?n:085>5<7s-9mn7?nb:&22a<5>=1/?n956b58L40f3g>:47:l6:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a34d=93<1<7>t$2da>4ge3-;=h7<9b:&0g2<1k>1/?:j54478L40f3g>:47:l7:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a34e=93<1<7>t$2da>4ge3-;=h7<94:&0g2<1k>1C=;o4n53;>1e?3`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3th<=h4>:683>5}#;oh1=ll4$04g>7?63-9h;78l7:J22d=i<8218n74i05b>5<5<5<5<h3910?ol5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb62f>4<0290;w)=ib;3bf>"6>m095<5+3b592f1<@88;6`f>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66sm71d95?0=83:p(>hm:0ca?!71l38=86*d;29?xd0980:6;4?:1y'7cd=9hh0(<8k:347?!5d?36`9m04>=3;393?6=8r.8jo4>ac9'53b=:0;0(>m8:7a4?M71i2d?=54;cd9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vn:?;:085>5<7s-9mn7?nb:&22a<5>=1/?n956b58L40f3g>:47:lf:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a355=93=1<7>t$2da>4ge3-;=h7<61:&0g2<1k>1C=;o4n53;>1b73`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`441<62?0;6=u+3g`95dd<,85<5<5<h3910?h?5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rb62;>4<0290;w)=ib;3bf>"6>m095<5+3b592f1<@88;6g7>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66sm71;95?0=83:p(>hm:0ca?!71l38=86*d;29?xd08k0:6:4?:1y'7cd=9hh0(<8k:3;2?!5d?36`9m04>=72900c>?k:188yg17k3;1:7>50z&0bg<6ik1/=;j52768 6e02?i<7E?9a:l75=<3l?1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vn:?9:085>5<7s-9mn7?nb:&22a<5>=1/?n956b58L40f3g>:47:k7:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a34>=93=1<7>t$2da>4ge3-;=h7<61:&0g2<1k>1C=;o4n53;>1b?3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|`464<62?0;6=u+3g`95dd<,85<5<5<h3910?hl5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zj?lj6<49:183!5aj3;jn6*>6e8121=#;j=1:n94H04b?k2603>on6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm6ga95?0=83:p(>hm:0ca?!71l38=86*d;29?xd1nl0:6:4?:1y'7cd=9hh0(<8k:3;2?!5d?36`9m04>=72900c>?k:188yg0an3;1:7>50z&0bg<6ik1/=;j52768 6e02?i<7E?9a:l75=<3ll1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vn;h?:084>5<7s-9mn7?nb:&22a<5181/?n956b58L40f3g>:47:kf:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wi:k?51;494?6|,:li65;h34g?6=3`;5;h34b?6=3f9:h7>5;|`5b6<62?0;6=u+3g`95dd<,85<5<5<h3910?i?5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zj?l=6<49:183!5aj3;jn6*>6e8121=#;j=1:n94H04b?k2603>n?6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm6d595?1=83:p(>hm:0ca?!71l382=6*3<729q/?kl51``8 40c2;N6>h1e8<654d78m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188yg0bi3;1:7>50z&0bg<6ik1/=;j52768 6e02?i<7E?9a:l75=<3m?1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vn;kl:084>5<7s-9mn7?nb:&22a<5181/?n956b58L40f3g>:47:j7:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wi:hj51;494?6|,:li65;h34g?6=3`;5;h34b?6=3f9:h7>5;|`5``<62>0;6=u+3g`95dd<,8;%1`3?0d?2B::l5a40:90`?5<5<5<3:1;:4$2a4>3e03A;=m6`;1987ad=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zj?o:6<49:183!5aj3;jn6*>6e8121=#;j=1:n94$25g>15b3A;=m6`;1987ag=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zj?o86<48:183!5aj3;jn6*>6e81=4=#;j=1:n94H04b?k2603>no6g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{e>l>1=7850;2x 6`e28ki7)?9d;050>"4k>0=o:5+36f906b<@88;6f`>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{e>m;1=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f906><@88;6fa>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e>ji1=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f906><@88;6fb>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e?=91=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f906d<@88;6e4>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e?=?1=7850;2x 6`e28ki7)?9d;05f>"4k>0=o:5+36f90d5<@88;6e5>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{e?=<1=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f901`<@88;6e6>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e?:h1=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f906g<@88;6e7>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e?:o1=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f906?<@88;6e0>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e>m<1=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f906g<@88;6e1>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e>m31=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f906?<@88;6e2>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e?;91=7:50;2x 6`e28ki7)?9d;0gf>"4k>0=o:5+36f901c<@88;6e3>o6?h0;66g>7c83>>o6?j0;66a<1e83>>{e?;<1=7;50;2x 6`e28ki7)?9d;0g0>"4k>0=o:5+36f902`<@88;6e<>o6?h0;66g>7c83>>o6?j0;66g>7d83>>i49m0;66sm72095?0=83:p(>hm:0ca?!71l38o96*n18>m4H04b?k2603>m56g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sm73`95?1=83:p(>hm:0ca?!71l38jn6*3<729q/?kl51``8 40c2;=87)=l7;71b>"4?m0?n=5G17c8j17?2=li7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17pl82982>2<729q/?kl51``8 40c2;kh7)=l7;4`3>N6>h1e8<654ga8m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qo9=e;391?6=8r.8jo4>ac9'53b=:ko0(>m8:7a4?!50l3>6`9m04>=?k:188yg1483;1;7>50z&0bg<6ik1/=;j52gc8 6e02?i<7E?9a:l75=<3nl1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?4280=6=4?{%35`?73j2.8o:48579'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:5de?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`4<4<62?0;6=u+17f951d<,:i<6:;9;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:019ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0<9;5+3g`97<7<,:o=64l4$0;0>67:47;?1:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm78g95?0=83:p(<8k:06a?!5d?3=>:6*=;hg3>5<>o4?o0;66gm0;29?j5?i3:17pl89b82>3<729q/=;j515`8 6e02>?=7)=ib;1:5>"4m?02n6*>92805>\4n>0:w8<8:|J22d=i<8219==4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qo97f;392?6=8r.::i4>4c9'7f1=?<<0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603?;86gj0;29?ld12900ei>50;9j72`=831bn=4?::m02.8jo4<909'7`0=1k1/=4=53:X0b2<6s<8<6pF>6`9m04>==9?0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59300<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o622cn<7>5;h`5>5<>{e?1=1=7850;2x 40c28>i7)=l7;562>"4nk085<5+3d49=g=#9091?6T>oc83:17d=8f;29?ld72900c>6n:188yg1>=3;1:7>50z&22a<6;390V>h8:0y6628;73<>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a3<5=93<1<7>t$04g>42e3-9h;79:6:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<6551;8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=14?m4$2da>6?63-9n:77m;%3:7?5:47;?a:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm84595?0=83:p(<8k:06a?!5d?329o6*\4n>0:w8<8:|J22d=i<8219=l4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qo686;392?6=8r.::i4>4c9'7f1=0;i0(>hm:2;2?!5b>33i7)?63;12?_5a?3;p9?95}I35e>h3910>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn59;:085>5<7s-;=h7?;b:&0g2>:4rH04b?k2603?;h6gj0;29?ld12900ei>50;9j72`=831bn=4?::m05k2.8jo4<909'7`0=1k1/=4=5309Y7c1=9r?9;7sG17c8j17?2<:n7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th3:84>:783>5}#9?n1=9l4$2a4>=4d3-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<28o1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>0773`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd?=o0:6;4?:1y'53b=9=h0(>m8:90`?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198654=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f=3c280=6=4?{%35`?73j2.8o:472b9'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:431?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`;2g<62?0;6=u+17f951d<,:i<6592.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:129ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>03>n5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17pl62e82>3<729q/=;j515`8 6e020:;7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>=85fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vn45<7s-;=h7?;b:&0g2<>891/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2<;=7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3th28l4>:783>5}#9?n1=9l4$2a4><673-9mn7=61:&0a3<>j2.:5>4<1:X0b2<6s<8<6pF>6`9m04>==8=0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59=56<,:li6>7>;%1f2??e3-;2?7=>;[1e3?7|=;=1qE?9a:l75=<2911bi=4?::ka2?6=3`n;6=44i25e>5<=6<49:183!71l3;?n6*?4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{e1:31=7850;2x 40c28>i7)=l7;;34>"4nk085<5+3d49=g=#9091?6T>oc83:17d=8f;29?ld72900c>6n:188yg?4?3;1:7>50z&22a<6;390V>h8:0y6628;72f>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a=65=93<1<7>t$04g>42e3-9h;77?0:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<6550a8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=15=>4$2da>6?63-9n:77m;%3:7?5:47;>d:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sm92d95?0=83:p(<8k:06a?!5d?33;<6*\4n>0:w8<8:|J22d=i<8219f2900qo74c9'7f1=19:0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603?:j6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>==;:0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59=a2<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{ei8o1=7850;2x 40c28>i7)=l7;;g0>"4nk085<5+3d49=g=#9091?<5U3g595~35?3wC=;o4n53;>0453`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdf9j0:6;4?:1y'53b=9=h0(>m8:8f7?!5aj392=6*"61:08=6T>oc83:17d=8f;29?ld72900c>6n:188ygg6i3;1:7>50z&22a<6;39:7W=i7;3x171=uA;=m6`;198661=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fd6c280=6=4?{%35`?73j2.8o:46d59'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:406?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`b4g<62?0;6=u+17f951d<,:i<64j;;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:279ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>02h95+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17pln0482>3<729q/=;j515`8 6e020n?7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>>55fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnl?<:085>5<7s-;=h7?;b:&0g2<>l=1/?kl53838 6c120h0(<7<:29Y7c1=9r?9;7sG17c8j17?2<827dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thj=<4>:783>5}#9?n1=9l4$2a4>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2:h1bi=4?::ka2?6=3`n;6=44i25e>5<6<49:183!71l3;?n6*5U3g595~35?3wC=;o4n53;>04e3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdfl:0:6;4?:1y'53b=9=h0(>m8:`c;?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19866f=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fd`5280=6=4?{%35`?73j2.8o:4na99'7cd=;0;0(>k9:8`8 4?42:;0V>h8:0y6628;71`>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::aec6=93<1<7>t$04g>42e3-9h;7on8:&0bg<4181/?h859c9'5<5=;81Q?k951z713?{O9?k0b9?7:40f?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`ba`<62?0;6=u+17f951d<,:i<6lo7;%1ef?5>92.8i;46b:&2=6<492P8j:4>{404>xN6>h1e8<6553d8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=1ml64$2da>6?63-9n:77m;%3:7?5:47;<0:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66smaed95?0=83:p(<8k:06a?!5d?3kj46*\4n>0:w8<8:|J22d=i<8219>?4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qookb;392?6=8r.::i4>4c9'7f1=ih20(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603?8>6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>==:90eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59ed><,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{eil?1=7850;2x 40c28>i7)=l7;cb<>"4nk085<5+3d49=g=#9091?6T>oc83:17d=8f;29?ld72900c>6n:188ygb593;1:7>50z&22a<6;390V>h8:0y6628;702>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::a`7g=93<1<7>t$04g>42e3-9h;7l71:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<655258m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=1n5?4$2da>6?63-9n:77m;%3:7?563S9m;7?t5359yM71i2d?=54:399ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plk6082>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>?l5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vni87:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thhj54>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2;j1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>05c3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdc8=0:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19867`=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fa6c280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:41e?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`g53<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:419ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g;hg3>5<>o4?o0;66gm0;29?j5?i3:17plk2582>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>8?5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vni=>:085>5<7s-;=h7?;b:&0g287dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3tho9;4>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2<=1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>0223`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd0i?0:6;4?:1y'53b=9=h0(>m8:675?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198603=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f=1d280=6=4?{%35`?73j2.8o:472b9'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:464?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`:15<62?0;6=u+17f951d<,:i<64>?;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:499ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>02h95+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plnf982>3<729q/=;j515`8 6e02hk37)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>8l5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnnmn:085>5<7s-;=h7?;b:&0g2i7dk?:188mg0=831bh=4?::k03c<722ci<7>5;n1;e?6=3thho94>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<25<5U3g595~35?3wC=;o4n53;>02c3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdb?:0:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19860`=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f`2b280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:46e?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`f6<<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:519ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g;hg3>5<>o4?o0;66gm0;29?j5?i3:17plld982>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>9?5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnnh9:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thhhl4>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2==1bi=4?::ka2?6=3`n;6=44i25e>5<6<49:183!71l3;?n6*5U3g595~35?3wC=;o4n53;>0323`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdc9;0:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198613=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fa3f280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:474?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`g5d<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:599ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17pllfb82>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>9l5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vni>7:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thn5n4>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2=j1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>03c3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdb=;0:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19861`=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f`4c280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:47e?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`f4=<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:619ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g;hg3>5<>o4?o0;66gm0;29?j5?i3:17plk4482>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>:?5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vni:k:085>5<7s-;=h7?;b:&0g25;n1;e?6=3tho?i4>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2>=1bi=4?::ka2?6=3`n;6=44i25e>5<26<49:183!71l3;?n6*5U3g595~35?3wC=;o4n53;>0023`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdc;>0:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198623=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~fa26280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:444?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|``g`<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:699ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plla582>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>:l5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnnl8:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thhn84>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2>j1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>00c3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xddj80:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19862`=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~ffga280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:44e?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|``ea<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:719ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g;hg3>5<>o4?o0;66gm0;29?j5?i3:17plla882>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>;?5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnno<:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thhm<4>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2?=1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>0123`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdb080:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;198633=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f`1a280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:454?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`f3d<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:799ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plj3482>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>;l5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnh=?:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thn=?4>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<2?j1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>01c3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdb8k0:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;19863`=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~ffbd280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:45e?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|```3<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:819ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g;hg3>5<>o4?o0;66gm0;29?j5?i3:17plja582>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>4?5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnh7i:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thn9n4>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<20=1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>0>23`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdb=<0:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1986<3=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f`gc280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:4:4?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`fe=<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:899ja5<722ci:7>5;hf3>5<4<1290;w)?9d;37f>"4k>0i4<5+3g`97<7<,:o=64l4$0;0>6=];o=1=v;=7;K53g5<>o4?o0;66gm0;29?j5?i3:17plj8282>3<729q/=;j515`8 6e02k2:7)=ib;1:5>"4m?02n6*>9280?_5a?3;p9?95}I35e>h3910>4l5fe183>>oe>3:17dj?:188m61a2900eo>50;9l7=g=831vnh8<:085>5<7s-;=h7?;b:&0g25;n1;e?6=3thn9h4>:783>5}#9?n1=9l4$2a4>g>63-9mn7=61:&0a3<>j2.:5>4<;[1e3?7|=;=1qE?9a:l75=<20j1bi=4?::ka2?6=3`n;6=44i25e>5<5U3g595~35?3wC=;o4n53;>0>c3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xdb;00:6;4?:1y'53b=9=h0(>m8:c:2?!5aj392=6*"61:087W=i7;3x171=uA;=m6`;1986<`=nm90;66gm6;29?lb72900e>9i:188mg6=831d?5o50;9~f`7>280=6=4?{%35`?73j2.8o:4m809'7cd=;0;0(>k9:8`8 4?42:1Q?k951z713?{O9?k0b9?7:4:e?lc72900eo850;9j`5<722c8;k4?::ka4?6=3f93m7>5;|`f51<62?0;6=u+17f951d<,:i<6o6>;%1ef?5>92.8i;46b:&2=6<43S9m;7?t5359yM71i2d?=54:919ja5<722ci:7>5;hf3>5<4<0290;w)=ib;3bf>"6>m099k5+3b59f=7<@88;7:5>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smd3`95?1=83:p(>hm:0ca?!71l38>j6*2<729q/?kl51``8 40c2;2?7)=l7;562>N6>h1e8<655818m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qo68d;393?6=8r.8jo4>ac9'53b=:1<0(>m8:90`?M71i2d?=54:959j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vn4;>:084>5<7s-9mn7?nb:&22a<50=1/?n959128L40f3g>:47;65:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wim?;51;594?6|,:li62.8o:46d59K53g5;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3thjj44>:683>5}#;oh1=ll4$04g>7>13-9h;7on8:J22d=i<8219494i05b>5<5<5<5<;I35e>h3910>555f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=n91:1<75`30f94?=zjm826<48:183!5aj3;jn6*>6e81f5=#;j=1n5?4H04b?k2603?256g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{el9h1=7950;2x 6`e28ki7)?9d;0:1>"4k>0i4<5G17c8j17?2<3j7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?l7?83:17b=>d;29?xdc980:6:4?:1y'7cd=9hh0(<8k:3;6?!5d?3h3=6F>6`9m04>==0h0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188ygb2n3;1;7>50z&0bg<6ik1/=;j52828 6e02k2:7E?9a:l75=<21j1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?l2c:;l4?::k23g<722c:;n4?::k23`<722c:;k4?::k2<5<722e8=i4?::a`30=93=1<7>t$2da>4ge3-;=h7<65:&0g20?b3`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|```4<62>0;6=u+3g`95dd<,85<5<5<i64$2a4>2313-94i05b>5<5<i64$2a4>2313-95<5<i64$2a4>2313-95<5<i64$2a4>2313-95<5<i64$2a4>2313-95<5<i64$2a4>2313-95<5<i64$2a4>2313-95<5<i64$2a4>2313-95<5<i64$2a4>=4d3-95<5<i64$2a4>=4d3-95<5<i64$2a4>=4d3-95<5<i64$2a4>=4d3-95<5<i64$2a4>=4d3-95<5<i64$2a4>=4d3-95<5<i64$2a4>=4d3-95<5<i64$2a4>=4d3-95<5<i64$2a4><673-94i05b>5<5<i64$2a4><673-95<5<i64$2a4><673-95<5<i64$2a4><673-95<5<i64$2a4><673-95<5<i64$2a4><673-95<5<i64$2a4><673-95<5<i64$2a4><673-95<5<i64$2a4>5<5<i64$2a4>5<5<i64$2a4>5<5<i64$2a4>5<5<i64$2a4>5<5<i64$2a4>5<5<i64$2a4>5<5<i64$2a4>5<5<i64$2a4>dg?3-94i05b>5<5<i64$2a4>dg?3-95<5<i64$2a4>dg?3-95<5<i64$2a4>dg?3-95<5<i64$2a4>dg?3-95<5<i64$2a4>dg?3-95<5<i64$2a4>dg?3-95<5<i64$2a4>dg?3-95<5<2313A;=m6`;1986g==n9>k1<75f16`94?=n9>i1<75`30f94?=zj>3m6<4;:183!5aj3;jn6*>6e804f=#;j=1;884$25g>1433A;=m6`;1986g<=n9>k1<75f16`94?=n9>i1<75`30f94?=zj>3o6<4;:183!5aj3;jn6*>6e804f=#;j=1;884$25g>1433A;=m6`;1986gd=n9>k1<75f16`94?=n9>i1<75`30f94?=zj1=<6<4;:183!5aj3;jn6*>6e804f=#;j=14?m4$25g>14?3A;=m6`;1986gg=n9>k1<75f16`94?=n9>i1<75`30f94?=zj1=>6<4;:183!5aj3;jn6*>6e804f=#;j=14?m4$25g>1443A;=m6`;1986gf=n9>k1<75f16`94?=n9>i1<75`30f94?=zj1=86<4;:183!5aj3;jn6*>6e804f=#;j=14?m4$25g>1443A;=m6`;1986ga=n9>k1<75f16`94?=n9>i1<75`30f94?=zj0>i6<4;:183!5aj3;jn6*>6e804f=#;j=15=>4$25g>14?3A;=m6`;1986g`=n9>k1<75f16`94?=n9>i1<75`30f94?=zj0>26<4;:183!5aj3;jn6*>6e804f=#;j=15=>4$25g>1453A;=m6`;1986gc=n9>k1<75f16`94?=n9>i1<75`30f94?=zj0><6<4;:183!5aj3;jn6*>6e804f=#;j=15=>4$25g>1453A;=m6`;1986`5=n9>k1<75f16`94?=n9>i1<75`30f94?=zjh;m6<4;:183!5aj3;jn6*>6e804f=#;j=15i:4$25g>1403A;=m6`;1986`4=n9>k1<75f16`94?=n9>i1<75`30f94?=zjh;o6<4;:183!5aj3;jn6*>6e804f=#;j=15i:4$25g>1463A;=m6`;1986`7=n9>k1<75f16`94?=n9>i1<75`30f94?=zjh;i6<4;:183!5aj3;jn6*>6e804f=#;j=15i:4$25g>1463A;=m6`;1986`6=n9>k1<75f16`94?=n9>i1<75`30f94?=zjhl86<4;:183!5aj3;jn6*>6e804f=#;j=1ml64$25g>1403A;=m6`;1986`1=n9>k1<75f16`94?=n9>i1<75`30f94?=zjhl:6<4;:183!5aj3;jn6*>6e804f=#;j=1ml64$25g>1ga3A;=m6`;1986`0=n9>k1<75f16`94?=n9>i1<75`30f94?=zjhom6<4;:183!5aj3;jn6*>6e804f=#;j=1ml64$25g>1ga3A;=m6`;1986`3=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm??6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>13A;=m6`;1986`2=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm6e81`==#;j=1n5?4$25g>1>f3A;=m6`;1986`==n9>k1<75f16`94?=n9>i1<75`30f94?=zjm6e81`==#;j=1n5?4$25g>1>f3A;=m6`;1986`<=n9>k1<75f16`94?=n9>i1<75`30f94?=zjjli6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>>3A;=m6`;1986`d=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm:96<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>>3A;=m6`;1986`g=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm:<6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>?3A;=m6`;1986`f=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm;;6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>?3A;=m6`;1986`a=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm;26<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>03A;=m6`;1986``=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm8;6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>03A;=m6`;1986`c=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm8<6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>23A;=m6`;1986a5=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm9?6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>13A;=m6`;1986a4=n9>k1<75f16`94?=n9>i1<75`30f94?=zjm?26<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>43A;=m6`;1986a7=n9>k1<75f16`94?=n9>i1<75`30f94?=zjjio6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>e3A;=m6`;1986a6=n9>k1<75f16`94?=n9>i1<75`30f94?=zjji<6<4;:183!5aj3;jn6*>6e81`==#;j=1n5?4$25g>1>e3A;=m6`;1986a1=n9>k1<75f16`94?=n9>i1<75`30f94?=zjjk<6<49:183!5aj3;jn6*>6e81=1=#;j=1n5?4H04b?k2603?n96g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66smc`795?0=83:p(>hm:0ca?!71l38286*d;29?xddj10:6;4?:1y'7cd=9hh0(<8k:3;7?!5d?3h3=6F>6`9m04>==l=0e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qomm6;392?6=8r.8jo4>ac9'53b=:0>0(>m8:c:2?M71i2d?=54:e99j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?<2.8o:4m809K53g5;h34g?6=3`;5;h34b?6=3f9:h7>5;|``f5<62?0;6=u+3g`95dd<,85<5<5<;I35e>h3910>in5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rbbc`>4<1290;w)=ib;3bf>"6>m09595+3b59f=7<@88;7f`>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{ekhk1=7850;2x 6`e28ki7)?9d;0:0>"4k>0i4<5G17c8j17?23<729q/?kl51``8 40c2;3?7)=l7;`;5>N6>h1e8<655dd8m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188ygef:3;1:7>50z&0bg<6ik1/=;j52868 6e02k2:7E?9a:l75=<2n91b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vnh7n:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=kn7E?9a:l75=<2n81b=:o50;9j52d=831b=:m50;9l74b=831vnh9::087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=kh7E?9a:l75=<2n;1b=:o50;9j52d=831b=:m50;9l74b=831vnh;?:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=kj7E?9a:l75=<2n:1b=:o50;9j52d=831b=:m50;9l74b=831vnh5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=k37E?9a:l75=<2n=1b=:o50;9j52d=831b=:m50;9l74b=831vnh>9:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=k=7E?9a:l75=<2n<1b=:o50;9j52d=831b=:m50;9l74b=831vnnj6:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=8=7E?9a:l75=<2n?1b=:o50;9j52d=831b=:m50;9l74b=831vnnjm:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=8>7E?9a:l75=<2n>1b=:o50;9j52d=831b=:m50;9l74b=831vni5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=2>7E?9a:l75=<2n11b=:o50;9j52d=831b=:m50;9l74b=831vni;k:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=287E?9a:l75=<2n01b=:o50;9j52d=831b=:m50;9l74b=831vnh7j:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=ko7E?9a:l75=<2nh1b=:o50;9j52d=831b=:m50;9l74b=831vnh96:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=ki7E?9a:l75=<2nk1b=:o50;9j52d=831b=:m50;9l74b=831vnh;;:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=k27E?9a:l75=<2nj1b=:o50;9j52d=831b=:m50;9l74b=831vnh5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=k<7E?9a:l75=<2nm1b=:o50;9j52d=831b=:m50;9l74b=831vnh>n:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=k?7E?9a:l75=<2nl1b=:o50;9j52d=831b=:m50;9l74b=831vni:7:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=297E?9a:l75=<2no1b=:o50;9j52d=831b=:m50;9l74b=831vni;=:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=297E?9a:l75=<1891b=:o50;9j52d=831b=:m50;9l74b=831vni:?:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=2:7E?9a:l75=<1881b=:o50;9j52d=831b=:m50;9l74b=831vni:l:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=2:7E?9a:l75=<18;1b=:o50;9j52d=831b=:m50;9l74b=831vni=l:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=2;7E?9a:l75=<18:1b=:o50;9j52d=831b=:m50;9l74b=831vni:;:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=2;7E?9a:l75=<18=1b=:o50;9j52d=831b=:m50;9l74b=831vnnjk:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=8=7E?9a:l75=<18<1b=:o50;9j52d=831b=:m50;9l74b=831vnnj8:087>5<7s-9mn7?nb:&22a<5l11/?n95b938 61c2=8>7E?9a:l75=<18?1b=:o50;9j52d=831b=:m50;9l74b=831vnnm6:085>5<7s-9mn7?nb:&22a<5kj1/?n95b938L40f3g>:478?7:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::aadg=93<1<7>t$04g>42e3-9h;7l71:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<6561:8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=1n5?4$2da>6?63-9n:77m;%3:7?5:478?9:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66sme9795?0=83:p(<8k:06a?!5d?3h3=6*\4n>0:w8<8:|J22d=i<821:=o4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qok8c;392?6=8r.::i4>4c9'7f1=j1;0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603<;n6gj0;29?ld12900ei>50;9j72`=831bn=4?::m051;494?6|,86`9m04>=>9i0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<;7?56;294~"6>m0:8o5+3b59f=7<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{em:h1=7850;2x 40c28>i7)=l7;`;5>"4nk085<5+3d49=g=#9091?6T>oc83:17d=8f;29?ld72900c>6n:188ygc4:3;1:7>50z&22a<6;390V>h8:0y6628;43b>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::aa40=93<1<7>t$04g>42e3-9h;7l71:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<656028m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=1n5?4$2da>6?63-9n:77m;%3:7?5:478>1:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66smc9095?0=83:p(<8k:06a?!5d?3h3=6*\4n>0:w8<8:|J22d=i<821:<<4id294?=nj?0;66gk0;29?l50n3:17dl?:188k6>f2900qom70;392?6=8r.::i4>4c9'7f1=j1;0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603<:?6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>=>8>0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59f=7<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{ek1l1=7850;2x 40c28>i7)=l7;`;5>"4nk085<5+3d49=g=#9091?6T>oc83:17d=8f;29?ld72900c>6n:188yge?l3;1:7>50z&22a<6;390V>h8:0y6628;423>ob83:17dl9:188ma6=831b?:h50;9jf5<722e84l4?::ag=d=93<1<7>t$04g>42e3-9h;7l71:&0bg<4181/?h859c9'5<5=;2P8j:4>{404>xN6>h1e8<6560:8m`6=831bn;4?::kg4?6=3`95;h`3>5<3:16e820g=#;j=1n5?4$2da>6?63-9n:77m;%3:7?5:478>9:kf4?6=3`h=6=44ie294?=n;>l1<75fb183>>i40h0;66smc9595?0=83:p(<8k:06a?!5d?3h3=6*\4n>0:w8<8:|J22d=i<821:f2900qom75;392?6=8r.::i4>4c9'7f1=j1;0(>hm:2;2?!5b>33i7)?63;18^6`028q>>:4rH04b?k2603<:n6gj0;29?ld12900ei>50;9j72`=831bn=4?::m06`9m04>=>8i0eh>50;9jf3<722co<7>5;h14b?6=3`h;6=44o2:b>5<m0:8o5+3b59f=7<,:li6>7>;%1f2??e3-;2?7=4Z2d4>4}2:>0vD<8n;o625;h`5>5<>{em181=7850;2x 6`e28ki7)?9d;0``>"4k>0i4<5G17c8j17?2?;n7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17plj3982>3<729q/?kl51``8 40c2;io7)=l7;`;5>N6>h1e8<6560d8m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188ygc6;3;1:7>50z&0bg<6ik1/=;j52bf8 6e02k2:7E?9a:l75=<1:91b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vnho8:085>5<7s-9mn7?nb:&22a<5km1/?n95b938L40f3g>:478=1:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::aa0b=93<1<7>t$2da>4ge3-;=h73453`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3thn4=4>:783>5}#;oh1=ll4$04g>7ec3-9h;7l71:J22d=i<821:?=4i05b>5<5<5<3:1nj4$2a4>g>63A;=m6`;198561=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjl9=6<49:183!5aj3;jn6*>6e81ga=#;j=1n5?4H04b?k2603<996g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sme2395?0=83:p(>hm:0ca?!71l38hh6*d;29?xdb980:6;4?:1y'7cd=9hh0(<8k:3ag?!5d?3h3=6F>6`9m04>=>;=0e<9n:188m41e2900e<9l:188m41b2900e<9i:188k67c2900qok?c;392?6=8r.8jo4>ac9'53b=:jn0(>m8:c:2?M71i2d?=549299j52g=831b=:l50;9j52e=831b=:k50;9j52`=831d?51;494?6|,:li65;h34g?6=3`;5;h34b?6=3f9:h7>5;|`f1g<62?0;6=u+3g`95dd<,85<5<5<:7?56;294~"4nk0:mo5+17f96fb<,:i<6o6>;I35e>h3910=>n5f16c94?=n9>h1<75f16a94?=n9>o1<75f16d94?=h;8n1<75rbdcf>4<1290;w)=ib;3bf>"6>m09oi5+3b59f=7<@88;41`>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66a<1e83>>{emh31=7850;2x 6`e28ki7)?9d;0``>"4k>0i4<5G17c8j17?2?8n7d?8a;29?l70j3:17d?8c;29?l70m3:17d?8f;29?j56l3:17plj8882>3<729q/?kl51``8 40c2;io7)=l7;`;5>N6>h1e8<6563d8m41f2900e<9m:188m41d2900e<9j:188m41a2900c>?k:188ygc?<3;1:7>50z&0bg<6ik1/=;j52bf8 6e02k2:7E?9a:l75=<1;91b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9l74b=831vnh8;:085>5<7s-9mn7?nb:&22a<5km1/?n95b938L40f3g>:478<1:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::aa0`=93<1<7>t$2da>4ge3-;=h73553`;5;h34f?6=3`;5;h34a?6=3`;5;n12`?6=3thn?k4>:783>5}#;oh1=ll4$04g>7ec3-9h;7l71:J22d=i<821:>=4i05b>5<5<5<3:1nj4$2a4>g>63A;=m6`;198571=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75`30f94?=zjl;j6<49:183!5aj3;jn6*>6e81ga=#;j=1n5?4H04b?k2603<896g>7`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>i49m0;66sme0795?0=83:p(>hm:0ca?!71l38hh6*d;29?xdbij0:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87e`=O9?k0b9?7:714?l70i3:17d?8b;29?l70k3:17b=>d;29?xdbi:0:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87ea=O9?k0b9?7:71;?l70i3:17d?8b;29?l70k3:17b=>d;29?xdb0>0:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87ef=O9?k0b9?7:71:?l70i3:17d?8b;29?l70k3:17b=>d;29?xdb?l0:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87eg=O9?k0b9?7:71b?l70i3:17d?8b;29?l70k3:17b=>d;29?xdb>;0:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87ed=O9?k0b9?7:71a?l70i3:17d?8b;29?l70k3:17b=>d;29?xdb=00:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87e<=O9?k0b9?7:71`?l70i3:17d?8b;29?l70k3:17b=>d;29?xdb;m0:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87e==O9?k0b9?7:71g?l70i3:17d?8b;29?l70k3:17b=>d;29?xdb;=0:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87e2=O9?k0b9?7:71f?l70i3:17d?8b;29?l70k3:17b=>d;29?xdb910:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87e3=O9?k0b9?7:71e?l70i3:17d?8b;29?l70k3:17b=>d;29?xdb8o0:694?:1y'7cd=9hh0(<8k:3f;?!5d?3h3=6*<7e87e1=O9?k0b9?7:763?l70i3:17d?8b;29?l70k3:17b=>d;29?xdd0:0:6:4?:1y'7cd=9hh0(<8k:35g?!5d?3h3=6F>6`9m04>=>=;0e<9n:188m41e2900e<9l:188m41b2900e<9i:188m4>72900c>?k:188yge?93;1;7>50z&0bg<6ik1/=;j526f8 6e02k2:7E?9a:l75=<1<;1b=:o50;9j52d=831b=:m50;9j52c=831b=:h50;9j5=6=831d?t$2da>4ge3-;=h7<8d:&0g23233`;5;h34f?6=3`;5;h34a?6=3`;5;h3;4?6=3f9:h7>5;|``=5<62>0;6=u+3g`95dd<,85<5<5<:j4$2a4>g>63A;=m6`;198503=n9>k1<75f16`94?=n9>i1<75f16g94?=n9>l1<75f19294?=h;8n1<75rbb:`>4<0290;w)=ib;3bf>"6>m09;i5+3b59f=7<@88;473>o6?h0;66g>7c83>>o6?j0;66g>7d83>>o6?o0;66g>8183>>i49m0;66smc9c95?1=83:p(>hm:0ca?!71l382<729q/?kl51``8 40c2;=o7)=l7;`;5>N6>h1e8<6565;8m41f2900e<9m:188m41d2900e<9j:188m41a2900e<6?:188k67c2900qom76;393?6=8r.8jo4>ac9'53b=:>n0(>m8:c:2?M71i2d?=5494`9j52g=831b=:l50;9j52e=831b=:k50;9j52`=831b=5>50;9l74b=831vnn6;:084>5<7s-9mn7?nb:&22a<5?m1/?n95b938L40f3g>:478;b:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722c:4=4?::m05a<722wio:k51;594?6|,:li65;h34g?6=3`;5;h34b?6=3`;3<7>5;n12`?6=3th:583>5}#;oh1=oh4$2a4>3e03A;=m6`;19850a=n9>k1<75f16`94?=n;h21<75`24f94?=zj>936<48:183!5aj3;jn6*>6e81e3=#;j=1:n94H04b?k26037`83>>o6?k0;66g>7b83>>o6?l0;66g>7g83>>o6090;66a<1e83>>{e?:31=7:50;2x 6`e28ki7)?9d;0g=>"4k>0=o:5G17c8j17?2?>m7d?8a;29?l70j3:17d?8c;29?j56l3:17pl83582>1<729q/?kl51cd8 6e02?i<7E?9a:l75=<1=91b=:o50;9j52d=831b?l650;9l60b=831vn:=::085>5<7s-9mn7?nb:&22a<5nk1/?n956b58L40f3g>:478:1:k23d<722c:;o4?::k23f<722c:;h4?::k23c<722e8=i4?::a360=93?1<7>t$2da>4ge3-;=h71C=;o4n53;>3353`;5;h34f?6=3`;5;h34a?6=3f9:h7>5;|`2`c<62;0;6=u+3g`95dd<,8?:;%1`3?2c12B:545G17c8j17?2??87d?8a;29?j56l3:17plm9982>7<729q/?kl51``8 40c2:;>7)=l7;`;5>N6101C=;o4n53;>3333`;5;n12`?6=3th>j54>:383>5}#;oh1=ll4$04g>6723-9h;7;l4:J2=<=O9?k0b9?7:776?l70i3:17b=>d;29?xd2989K53g5;|`671<62;0;6=u+3g`95dd<,8?:;%1`3?35n2B:545G17c8j17?2??<7d?8a;29?j56l3:17pl:4782>7<729q/?kl51``8 40c2:;>7)=l7;71b>N6101C=;o4n53;>33?3`;5;n12`?6=3th<9:4>:383>5}#;oh1=ll4$04g>6723-9h;79:6:J2=<=O9?k0b9?7:77:?l70i3:17b=>d;29?xd?:m0:6?4?:1y'7cd=9hh0(<8k:236?!5d?329o6F>989K53g5;|`:44<62;0;6=u+3g`95dd<,8?:;%1`3??782B:545G17c8j17?2??i7d?8a;29?j56l3:17pl6d482>7<729q/?kl51``8 40c2:;>7)=l7;;g0>N6101C=;o4n53;>33d3`;5;n12`?6=3thjm44>:383>5}#;oh1=ll4$04g>6723-9h;7on8:J2=<=O9?k0b9?7:77g?l70i3:17b=>d;29?xd2?j0:644?:1y'7cd=;j20(>m8:47e?!7>;3>0V>h8:0y6628;46a>ob83:17dl9:188mg6=831b4=4?::k;5?6=3`296=44i9194?=h;1k1<75`39`94?=zj<<:6<49:183!71l3;?n6*5U3g595~35?3wC=;o4n53;>33a3`o;6=44ic494?=nl90;66g<7g83>>oe83:17b=7a;29?xd29;0:6<4?:1y'7cd=9h80(a6801`=O9?k0b9?7:743?j5d>3:1V>h;:0y657a182f7=#9h=1?;94H04b?k2603<==6%<7083>_5a=38p=5m53309y>{em00:6<4?:1y'7cd=i81/=l>51c08 4g02:;1 ?:?50;X0b0<5s82h6><=:|9~f`>=93;1<7>t$2da>d7<,8k;67s4}cg4>4<6290;w)=ib;c2?!7f83;i>6*>a6806<=O9?k0b9?7:747?.5093:1V>h::3y2:47895:)034<72S9m97:083>5}#;oh1m<5+1`295g4<,8k<6>:?;I35e>h3910=:;5$36394?\4n<09w<6l:201>x=zjo>1=7?50;2x 6`e2h;0(6`9m04>=>?=0'>9>:18Y7c3=:r;3o7==2;8yg`4280:6=4?{%1ef?g63-;j<7?m2:&2e2<4<>1C=;o4n53;>30?3"9<=7>5Z2d6>7}60j08>?4r;|`e6?7=93:1"6i90:n?5+1`59700<@88;45=>-4?80;6W=i5;0x5=e=;;81q6smf082>4<729q/?kl5a09'5d6=9k80(6452t1vnk>51;394?6|,:li6l?4$0c3>4d53-;j;7=:4:J22d=i<821:;l4+252>5<];o?1>v?7c;116?{:183!5aj3k:7)?n0;3a6>"6i>089>5G17c8j17?2?50z&0bgb39'5d1=;<80D<8n;o626363A;=m6`;19852`=,;>;1<7Td2:896p5rbda95?7=83:p(>hm:`38 4g728h97)?n7;164>N6>h1e8<6567d8/616290Q?k;52z3;g?55:3w0qok;:082>5<7s-9mn7o>;%3b4?7e:2.:m:4<4g9K53g6?u>8b8067:083>5}#;oh1m<5+1`2952b<,8k<6>8n;I35e>h3910=;<5$36394?\4n<09w<6l:201>x=zjlo96<4>:183!5aj3k:7)?n0;34`>"6i>08:o5G17c8j17?2?=97&=81;29^6`22;q:4n4<238~?xd3lo0:6<4?:1y'7cd=9h80(a6806`=O9?k0b9?7:750?j5d>3:1V>h::0y2:083>5}#;oh1=l<4$0c3>4d53-;j=7?76:&2e2<4:m1C=;o4n53;>3133f9h:7>5Z2d6>4}60j0v7pl;db82>4<729q/?kl51`08 4g728h97)?n1;3;2>"6i>08>n5G17c8j17?2?=>7b=l6;29^6`228q:4n4r;|`7`g<6280;6=u+3g`95d4<,8k;62.:m:4<2c9K53g68b8~?xd3m10:6<4?:1y'7cd=9h80(a68071=O9?k0b9?7:754?j5d>3:1V>h::0y2<`:083>5}#;oh1=l<4$0c3>4d53-;j=7?76:&2e2<4;:1C=;o4n53;>31?3f9h:7>5Z2d6>4}60l0v7pl;e482>4<729q/?kl51`08 4g728h97)?n1;3;2>"6i>08?<5G17c8j17?2?=27b=l6;29^6`228q:4h4r;|`7a1<6280;6=u+3g`95d4<,8k;62.:m:4<319K53g68d8~?xd3n80:6<4?:1y'7cd=9h80(a6807<=O9?k0b9?7:75a?j5d>3:1V>h::0y2:083>5}#;oh1=l<4$0c3>4d53-;j=7?76:&2e2<4;11C=;o4n53;>31d3f9h:7>5Z2d6>4}60j0v7pl;ed82>4<729q/?kl51`08 4g728h97)?n1;3;2>"6i>08?:5G17c8j17?2?=o7b=l6;29^6`228q:4n4r;|`7aa<6280;6=u+3g`95d4<,8k;62.:m:4<379K53g68b8~?xd3nh0:6<4?:1y'7cd=9h80(a6807`=O9?k0b9?7:75e?j5d>3:1V>h::0y2:083>5}#;oh1=l<4$0c3>4d53-;j=7?76:&2e2<4;m1C=;o4n53;>3>73f9h:7>5Z2d6>4}60j0v7pl;f682>4<729q/?kl51`08 4g728h97)?n1;3;2>"6i>08?n5G17c8j17?2?2:7b=l6;29^6`228q:4n4r;|`7b3<6280;6=u+3g`95d4<,8k;62.:m:4<3c9K53g68b8~?xd28:0:6<4?:1y'7cd=9h80(a68021=O9?k0b9?7:7:0?j5d>3:1V>h::0y2<<4>:083>5}#;oh1=l<4$0c3>4d53-;j=7?76:&2e2<4>:1C=;o4n53;>3>33f9h:7>5Z2d6>4}60j0v7pl:0182>4<729q/?kl51`08 4g728h97)?n1;3;2>"6i>08:<5G17c8j17?2?2>7b=l6;29^6`228q:4n4r;|`7bc<6280;6=u+3g`95d4<,8k;62.:m:4<619K53g68b8~?xd1ih0:6<4?:1y'7cd=9h80(a6802<=O9?k0b9?7:7:4?j5d>3:1V>h::0y2:083>5}#;oh1=l<4$0c3>4d53-;j=7?76:&2e2<4>?1C=;o4n53;>3>?3f9h:7>5Z2d6>4}60j0v7pl:0982>4<729q/?kl51`08 4g728h97)?n1;3;2>"6i>08>l5G17c8j17?2?227b=l6;29^6`228q:4h4r;|`64d<6280;6=u+3g`95d4<,8k;62.:m:4<2g9K53g68b8~?xd28j0:6<4?:1y'7cd=9h80(a68070=O9?k0b9?7:7:a?j5d>3:1V>h::0y2

:083>5}#;oh1=l<4$0c3>4d53-;j=7?76:&2e2<4;h1C=;o4n53;>3>d3f9h:7>5Z2d6>4}60j0v7pl:1182>4<729q/?kl51`08 4g728h97)?n1;3;2>"6i>089k5G17c8j17?2?2o7b=l6;29^6`228q:4n4r;|`2fg<6280;6=u+3g`95d4<,8k;62.:m:4<719K53g68c8~?xd4ik0:6<4?:1y'7cd=9h80(a6802a=O9?k0b9?7:7:e?j5d>3:1V>h::0y2:23g?!7f?39?46F>6`9m04>=>0;0e>lk:188yg2e13;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;17a>N6>h1e8<656808m6dc2900qo:m8;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?39>46F>6`9m04>=>090e>lk:188yg2e?3;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;16=>N6>h1e8<656868m6dc2900qo:m6;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?39>m6F>6`9m04>=>0?0e>lk:188yg2e=3;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;16f>N6>h1e8<656848m6dc2900qo:m4;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?39>o6F>6`9m04>=>0=0e>lk:188yg2e;3;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;17`>N6>h1e8<6568:8m6dc2900qo:m2;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?39?o6F>6`9m04>=>030e>lk:188yg2d<3;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;17f>N6>h1e8<6568c8m6dc2900qo:l3;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?39?m6F>6`9m04>=>0h0e>lk:188yg2d:3;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;17=>N6>h1e8<6568a8m6dc2900qo:l1;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?399;6F>6`9m04>=>0n0e>lk:188yg2d83;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;171>N6>h1e8<6568g8m6dc2900qo:mf;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?398j6F>6`9m04>=>0l0e>lk:188yg2em3;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;175>N6>h1e8<656`28m6dc2900qo:md;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?39?>6F>6`9m04>=>h;0e>lk:188yg2ek3;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;177>N6>h1e8<656`08m6dc2900qo:m1;395?6=8r.8jo4<1c9'5d6=9k80(:23g?!7f?39?86F>6`9m04>=>h90e>lk:188ygca93;1=7>50z&0bg<49k1/=l>51c08 4g62:;o7)?n7;163>N6>h1e8<656`68m6dc290Q?k;51z116?{?k;%3b3?51:2B::l5a40:92d3:23g?!7f?39=96F>6`9m04>=>h<0e>lk:18Y7c3=9r99>7s4}cga6?7=93:142<,8k:6>?k;%3b3?52l2B::l5a40:92d1ll:068 4g62:;o7)?n7;15b>N6>h1e8<656`:8m6dc290Q?k;51z115?{67c3-;j;7=98:J22d=i<821:l74i2`g>5<];o?1=v==3;8ygce?3;187?54z&0bg<6?11C?k>4Z2ge>4}4i00vb9?7:7cb?l3293;17d;:2;39?l36l3;17b;=6;39?g36j3:147>50z&0bg<4911/?n955068 4?42:1/=?6524`8 6ef2:o>7E?9a:l75=<1ik1bi<4?::kf6?6=3`kj6=4U3g595~35<3w0elm50;J2===];o=1=v;=4;8mg6=831b?5m50;9j7d>=831d?5o50;9~w0362908wS;:1:?65g=o4<8b9~w0352908wS;:2:?65g=o4m0:p14b=839pR8?k;<72f?gf34?:n7ol;|q663<72;qU9?84=43a>6>f3twiio;51;095?4|,:li6<97;I1e4>\4mo0:w>m;:|l75=<1ij1b9??51;9l174=931i9?<50;094?6|,:li6l=4$2a4>0733A;=m6`;1985ea=n9>31<75`24f94?=z{<8:6=4={_715>;2:;0:;45rs401>5<5sW?9>63:23811a=zukoi:7?52;396~"4nk0:;55G3g28^6ca28q8o94rn53;>3gb3`?9<7?5;n717?7=3k?9?7>52;294~"4nk0j?6*7}Y=;9018<<:37g?x{emk>1=7853;:x 6`e28=37E=i0:X0ac<5s:i:6>lj:|l75=<1j91b98<51;9j107=931b9<=51;9j175=931d95<7s-9mn7?=5:&0g2<29=1mo84=;%304?7fi2.:>o4>a`9'gf<6<01/oh4=5c9'gc<382.?<<4>489'7fe=9=30(<=;:37a?!74=39m?6*>2880a2=ikh0?7cm6:g9m721=82.hh7=l;%3:2?5a;2B::l5Ua9822d=ug>:478m1:k0<4<722c8nl4?::k0a6<722c8i?4?::kge?6=3`9n=7>5;hf:>5<>o40=0;66glb;29^6`028q>=?4r;ha5>5<>o6>o0;66g>o4j>0;66gkd;29?l7083:17d=kf;29?l74;3:17blm:188kgg=831do:4?::ma5<6=:188kge=831d=l650;9l7a3=831d?i:50;9l7a5=831d?i<50;9l7a7=831d?i>50;9l7f`=831d?nk50;9~f6da290?6=4?{%1ef?g23g;9i7?4$00e>6`43-;j?7?;9:&0bc<6<01C=;o4n53;>3d53`;<57>5;n31`?6=R:l<6m3:17b=nf;29?xd4k;0;6?4?:1y'7cd=i?1/=?h53g18 4?f28>27E?9a:l75=<1j:1b=:750;9l60b=831v8;=:181[32:27>=84<809~w036290?wS;:1:?650<41:169<;5396890722:287p}:1283>7}Y=8901>li:05:?xu2::0;6?uQ531896e528=27p}:1b83>7}Y=8i018?::b58yv3583:1>vP:219>143=j>1v8?9:181836=3ii70=mf;31`>{t=8=1<7f0<5:i96?;k;|aag>=93<1?76t$2da>41?3A9m<6T"6:k0:ml5+cb820<=#kl099o5+cg874>"3880:845+3ba951?<,89?6?;m;%301?5a;2.:>44"dl39h7)?66;1e7>N6>h1Qm54>{6`9yk2603>o4jh0;66g>o4m;0;66gka;29?l5b93:17dj6:188m6d?2900eik50;9j`g<722c85>4?::k0<1<722chn7>5Z2d4>4}29;0v7dm9:188mae=831b?o850;9j7=5=831bhk4?::k22c<722c8i=4?::k0f2<722coh7>5;h344?6=3`9oj7>5;h307?6=3fhi6=44occ94?=hk>0;66am8;29?jd>2900c>on:188k6d>2900co950;9lg=<722eo>7>5;na7>5<1<75`3e194?=h;m81<75`3e394?=h;m:1<75`3bd94?=h;jo1<75rb2a3>5<3290;w)=ib;c6?k75m3;0(<=?4r;n3:a?6=3f9jj7>5;|`0g6<72;0;6=u+3g`9e3=#9;l1?k=4$0;b>42>3A;=m6`;1985f2=n9>31<75`24f94?=z{;291084<5rs472>5<3sW?>=63:1980=6=:=821?5:4=43;>6>43ty>=>4?:3y]145<5:i;6<96;|q667<72;qU9?<4=2a0>41>3ty>>:4?:3y]171<5<;36n94}r715?6=:rT>><5250:9f2=z{<;26=4={<725<729qC8<>4$2da>g2<@:oi7E<:c:l75=<1j11vni:51;294?6|@=;:7)=ib;f0?M5bj2B99n5a40:92g?o6`;1985fd=z{8==6=4={d:p17>=8388:v3jbg8a2>;bjm0i:63jbd8a2>;bl;0i:63jd28a2>;blh0i:63jdc8a2>;blj0i:63jde8a2>;bll0i:63jdg8a2>;bm90i:63je08a2>;bl=0i:63jd48a2>;bl?0i:63jd68a2>;bl10i:63jd88a2>;2=j0i:63:5e8a2>;2=l0i:63:438a2>;2<80i:63:4`8a2>;2<00i:63:4c8a2>;0k<0i:638c58a2>;0k:0i:637218a2>;?9o0i:6371d8a2>;?9m0i:6371c8a2>;?9h0i:637188a2>;?910i:637168a2>;?9?0i:637148a2>;?9=0i:637128a2>;?9;0i:637268a2>;?:?0i:637248a2>;?:=0i:637228a2>;?:;0i:637208a2>;?9j0i:637108a2>;?990i:638f18a2>;0mo0i:638ed8a2>;0mm0i:638ec8a2>;0mh0i:638e88a2>;0m10i:638e68a2>;0m?0i:638e48a2>;0m=0i:638e28a2>;0m;0i:638f68a2>;0n?0i:638f48a2>;0n=0i:638f28a2>;0n;0i:638f08a2>;0mj0i:638e08a2>;0m90i:6379c8a2>;?1h0i:637988a2>;?n?0i:637f48a2>;?n=0i:637f28a2>;?n80i:637f18a2>;?mo0i:637ed8a2>;?mm0i:637eb8a2>;?mk0i:637e`8a2>;?m00i:637e98a2>;?nm0i:637fb8a2>;?nk0i:637f`8a2>;?n00i:637f98a2>;?n>0i:637f38a2>;?m>0i:637e78a2>;?k?0i:637c48a2>;?k=0i:637c28a2>;?k80i:637c18a2>;?jo0i:637bd8a2>;?jm0i:637bb8a2>;?jk0i:637b`8a2>;?j00i:637b98a2>;?km0i:637cb8a2>;?kk0i:637c`8a2>;?k00i:637c98a2>;?k>0i:637c38a2>;?j>0i:637b78a2>;>>o0i:6366d8a2>;>>m0i:636c`8a2>;>k00i:636c98a2>;>k>0i:636c48a2>;>k=0i:636c28a2>;>k;0i:636c08a2>;>k90i:636bg8a2>;>jl0i:636be8a2>;>jj0i:636d08a2>;>l90i:636cg8a2>;>kl0i:636ce8a2>;>kj0i:636cc8a2>;>k?0i:636bc8a2>;>jh0i:6369`8a2>;>100i:636998a2>;>1>0i:636948a2>;>1=0i:636928a2>;>1;0i:636908a2>;>190i:6368g8a2>;>0l0i:6368e8a2>;>0j0i:636a08a2>;>i90i:6369g8a2>;>1l0i:6369e8a2>;>1j0i:6369c8a2>;>1?0i:6368c8a2>;>0h0i:63n428a2>;f<;0i:63n408a2>;f1l0i:63n9e8a2>;f1j0i:63n9c8a2>;f100i:63n998a2>;f1>0i:63n978a2>;f1<0i:63n958a2>;f1:0i:63n938a2>;f180i:63n918a2>;fi<0i:63na58a2>;fi:0i:63na38a2>;fi80i:63na18a2>;f1o0i:63n9`8a2>;f0o0i:63n8d8a2>;f>l0i:63n6e8a2>;f>j0i:63n6c8a2>;f>00i:63n698a2>;f>>0i:63n678a2>;f><0i:63n658a2>;f>:0i:63n638a2>;f>80i:63n618a2>;f?<0i:63n758a2>;f?:0i:63n738a2>;f?80i:63n718a2>;f>o0i:63n6`8a2>;f=o0i:63n5d8a2>;e9>0i:63m178a2>;e9<0i:63m738a2>;e?80i:63m718a2>;e>o0i:63m6e8a2>;e>j0i:63m6c8a2>;e>h0i:63m688a2>;e>10i:63m668a2>;e>?0i:63m648a2>;e>=0i:63m788a2>;e?10i:63m768a2>;e??0i:63m748a2>;e?=0i:63m728a2>;e>l0i:63m628a2>;e>;0i:63m438a2>;e<80i:63m418a2>;e;o0i:63m3e8a2>;e;j0i:63m3c8a2>;e;h0i:63m388a2>;e;10i:63m368a2>;e;?0i:63m348a2>;e;=0i:63m488a2>;e<10i:63m468a2>;e;e<=0i:63m428a2>;e;l0i:63m328a2>;e;;0i:63k618a2>;c>>0i:63lf68a2>;dnl0i:63k028a2>;c8j0i:63k148a2>;c9j0i:63k228a2>;c;90i:63k528a2>;2jl0i:63:948a2>;21=0i:63:928a2>;2k:0i:63:a48a2>;2i=0i:63:a28a2>;2i;0i:63:a08a2>;2i90i:63:9g8a2>;21l0i:63:be8a2>;2jj0i:63:bc8a2>;2jh0i:63:b88a2>;2j10i:63:b68a2>;2j?0i:63:698a2>;2>>0i:639338a2>;1;<0i:639398a2>;1;k0i:639498a2>;1:00i:639298a2>;1;80i:639318a2>;1:o0i:6392d8a2>;1:m0i:6392b8a2>;1:k0i:6392`8a2>;1:>0i:639278a2>;18j0i:639638a2>;1>80i:639618a2>;1=o0i:6395d8a2>;1=m0i:6395b8a2>;1=k0i:6397`8a2>;1?00i:639798a2>;1?>0i:639778a2>;1?<0i:639838a2>;1080i:639818a2>;1?o0i:6397d8a2>;1?m0i:6397b8a2>;1?k0i:639758a2>;1?:0i:63:29811a=:=:21n;525b39f3=:=1?1n;525919f3=:>ho1n;525449f3=:=<>1n;5252c9f3=:?h>1n;527b49f3=:?0=1n;527`09f3=:0>k1n;5288a9f3=:0?n1n;5286:9f3=:1=o1n;529629f3=:1=;1n;5295a9f3=:i;81n;52a569f3=:i8?1n;52a329f3=:io<1n;52b0:9f3=:il31n;52ag69f3=:l:?1n;5256f9f3=:=>l1n;525519f3=:0;k1n;528gg9f3=:1m81n;52a`49f3=:j>k1n;527919f3=:?1;1n;527`29f3=:?0o1n;5278a9f3=:?1l1n;5279f9f3=:?131n;527959f3=:?0?1n;527819f3=:0<31n;528459f3=:0><1n;528669f3=:0>81n;528779f3=:0?91n;5284d9f3=:01n;52d1f9f3=:l8<1n;52d0f9f3=:l;>1n;52d239f3=:l<<1n;52cb:9f3=:?h<1n;5286a9f3=:1<:1n;52a369f3=:io21n;52cbc9f3=:kj>1n;52e8:9f3=:m>91n;52e5g9f3=:m;31n;52e169f3=:km21n;52cg49f3=:kmk1n;52d479f3=:l881n;52d4c9f3=:l8k1n;52d3:9f3=:koi1n;52d1:9f3=:m0i1n;52e659f3=:m<81n;52e3f9f3=:m921n;52d779f3=:l=?1n;52d5f9f3=:l:n1n;52d5;9f3=:l:=1n;52d539f3=:kjo1n;52c`49f3=:kh>1n;52cc59f3=:kk?1n;52cc19f3=:kk;1n;52c`d9f3=:khn1n;52c``9f3=:kh31n;52c`19f3=:kh;1n;526`d9f3=:m1;1n;52e6d9f3=:m>k1n;52e259f3=:m:?1n;52e229f3=:m881n;52e029f3=:m9h1n;52cea9f3=:km<1n;52e`49f3=:mh>1n;52e8d9f3=:m1n;52e`c9f3=:mh;1n;52e979f3=:m>i1n;52e729f3=:m<=1n;52e2`9f3=:m:81n;52e049f3=:m9n1n;52c909f3=:k1:1n;52c819f3=:k0;1n;52c9d9f3=:k1n1n;52c9`9f3=:k131n;52c959f3=:k1?1n;52c6d9f3=:k>n1n;5256a9f3=:=?;1n;5rs7c`>5<2s4oij7j?;7c9~w`da290jjv3jbg804>734n8:7?8c:?4e0<6?h164:l516c89<2a28=j70o=3;34e>;fn>0:;l527b5952c<5>336<9l;<5b7?70k2735i4>7d9><3c=9>i01596:05`?8?093;41b34k::7?8c:?b64<6?j16n<7516g89dcf28=h70oi5;34g>;00=0:;o52790952d<5>3;6<9m;<5;a?70j27<4l4>7c9>3=>=9>h01:79:05a?81><3;41e342=87?8b:?;25<6?k1648k516`89=0d28=i7069a;34f>;>:l0:;o5293a952d<509j6<9m;<;07c9>=64=9>h014:?:05a?8?4m3;41e34k;o7?8b:?b4=<6?k16m=8516`89d7328=i70o>2;34f>;fl?0:;o52ae6952d<5ho96<9m;7c9>eag=9>h01lk7:05a?8gb>3;41d342<;7?8c:?;30<6?j164:=516a89<2e28=h707;9;34g>;><>0:;n52a0d952e<5h;o6<9l;4>7b9>ec7=9>i01lki:05`?8b2<3;41e34n;>7?8b:?g42<6?k16h<>516`89a7>28=i70j=0;34f>;c:>0:;o52d26952d<5m?26<9m;7c9>gd1=9>i01no::05`?8ee03;1=:m4=b`1>41d34ii<7?8c:?`e`<6?j16olm516a89fgf28=h70mn8;34g>;di;0:;n52e8c952d<5l=>6<9m;o4>7c9>a50=9>h01nj6:05a?8ecj3;41e34o<57?8b:?f11<6?k16i?h516`89`6f28=i70j;8;34f>;c=;0:;o52d52952d<5m>h6<9m;7c9>gab=9>h01nj8:05a?8ed13;41e34oj;7?8b:?f1a<6?k16i5>516`89`1e28=i70k<6;34f>;b;80:;o52e03952d<5l:h6<9m;7c9>a0d=9>h01h;9:05a?8cfm3;41e34o=87?8b:?f1c<6?k16i>h516`89`5f28=i70k>a;34f>;b9<0:;o52e`a952d<5lk86<9m;7c9>a34=9>h01h;6:05a?8c4l3;41e34i3?7?8f:?`<4<6?o16o4:516d89f?528=m70m60;34b>;d0l0:;k52c9a952`<5j2j6<9i;7g9>g=2=9>l01n9j:05e?xubjh0;6?u2ecf9a5=:mkk1>8j4}rgaf?6=;r7nni4<8`9>agc=m916=:9516c8yvcek3:1>v3jbd80=1=:l4}r1:0?6=:r7nh?4j0:?2a5<5=m1v>6::1825~;bl;0i<63jd28a4>;blh0i<63jdc8a4>;blj0i<63jde8a4>;bll0i<63jdg8a4>;bm90i<63je08a4>;bl=0i<63jd48a4>;bl?0i<63jd68a4>;bl10i<63jd88a4>;40108=i5rsda1>5<4s4oo>7=7a:?0<3<6?j16=ih516c8yv5>k3:1>v3jd28f4>;6m1099i5rsda:>5<3s4oo?7=7a:?2gf<4i116=h651`6896>128=n7p}<9d83>7}:mmk1i=521d;960b54z?f`d<40h16=nk53`:894c>28k?70=76;34b>{t;h;1<7`6<58oj6?;k;|qfgg<72=q6iil539c894b72:k370?ja;3b0>;40?0:4=5rs2c1>5<5s4ooo7k?;<3ff?42l2wxinm50;6x9`bd2:2j70?k2;1b<>;6mk0:m952395952d52z?f`a;6l=08m5521da95d2<5:2<6<9n;|q0e1<72;q6iik5e19>5`b=:<58oo6o7;<3fa?7f<2784:4>7d9~w6g12909w0kj0;g3?87bn38>h6s|ee294?2|5lo;6>6n;<3ge?5f027:ik4>a59>7=1=9>l0q~=n7;296~;bm80n<63>f1811a=z{ln:6=4;{5c6=9h>01>68:0:3?xu41?0;6?u2ee69a5=:9l;1>8j4}rg`7?6==r7nh94<8`9>5f4=;h201:0c7?85?03;7<:d:paf2=83?p1hj::2:b?87d<39j463>e382e1=:;121=:k4=7`3>41a3ty8554?:3y>aa0=m916=h=524f8yvcd=3:19v3jd7804g3349347?8b:?5f5<6?l1v>76:1818cc?3o;70?j4;06`>{tmj<1<7;t=df4>6>f34;h47=n8:?2a1<6i=16?56516c893d728=h7p}<9`83>7}:mm21i=521d7960b54z?f`=<40h16=no53`:894c228k?70=76;34f>{t;0h1<7`6<58o=6?;k;|qfg=<72:q6ii7539c894c128k?70=76;34e>{t;0?1<7`6<5<>m6>?k;|q5e0<72?q698m5d19>10b=l91698k5d19>207=;8n018;::05b?832?3;4=47g>g6<54=47;>67c3ty>944?:02x903d2:2j70;:0;34f>;11h0:;h5268d952c<5?3n6<9j;<4b4?70k27=m?4>7d9>2i01;o>:05f?80>83;081=:k4=7:`>41d34<3i7?8e:?0e5<6?h1699h516c8yv5>l3:1>v3:5e8f4>;2=908=i5rs47b>5<68r7>9i4<8`9>2h018;?:05b?80>i3;0o1=:m4=7c3>41b347?8c:?5=a<6?l16:l?516a893?728=h70876;34g>;11;0:;n5269a952c<5?2n6<9l;<1b4?70j2wx?4h50;0x903b2l:01>o?:23g?xu2=k0;6hu254g97=g<5?3j6<9m;<4:b?70j27=5h4>7c9>2d6=9>h01;o=:05a?80>l3;0:1=:l4=7:5>41e34<2>7?8b:?53}:9j;1=?m4=c:1>44d34?n>7?=c:?ff2<2=;16io:554089`d?211|58i:6o<4=0g3>g4<58ih6<2b9>5a4=9;i013;9o63>d9826f=:9mk1=?m4=0f`>44d34;h>7?=c:?2g1<6:j16=n8513a894e?288h70?la;31g>;d:;0:>n52c3`957e<5j9>6o<4=b02>g4<5k286<4>2b9>f<7=9;i01o6i:00`?8d?l3;9o63m8c826f=:j131=?m4=c:4>44d34h397?=c:?a=<;ek:0:>n52bb0957e<5ki:6<2b9>fgc=9;i01oll:00`?83b;3;9o63:f4826f=:=o91=?m4=4d2>44d34?nj7?=c:?6aa<6:j169hl513a890c>288h70;j7;31g>;2m<0:>n525g;9f7=:=l81n?52ed7952?<5lo26<96;<6`a?70127?oi4>789>0fe=9>3019mm:05:?82di3;<563;c8823<=:=6<5<=h65?4=45`>=4<5<=h65=4=d`4>03634oi87;:1:?ff=<2=81ve182e1=:9ml1?5fe=j;16=h65b39~w4ed2908w0?lc;06`>;6kl0i>63>e88a6>{t9jo1<7=t=0af>73c34;o<7l=;<3fe?d53ty:h=4?:2y>5a6=:5<4s4;o87<:d:?2`3u21e:960b<58nj6o<4=0ge>g453z?2`d<5=m16=im5b39>5c6=j;1vh63>c38a6>;6m80i>6s|1b094?5|58i96?;k;<3`0?d534;n>7l=;|q2g1<72:q6=n:524f894e12k8013:1?v3>c7811a=:9j21n?521d69f7=z{8i36=4<{<3`u2191952?<5<;96>m9;_726>{t=891<7=t=0:0>73c34oi87;>3:?ff=<29:1v8=8:181833:3o;70;<5;12`>{t=:81<7=t=461>a6<5<>:6i>4=410>67c3ty>8o4?:6y>114=j91699?5b19>11d=;1k018=k:05e?834;3;1=:k4}r70b?6=>r7>8?4<8`9>163=9>k018=k:05`?834;3;1=:l4}r702?6=:r7>8<4j0:?671<49m1v8=j:1848339393m63:34823g=:=:n1=:l4=410>41e34?857?8a:?601<6?j169>:516c8yv33?3:1?v3:4`8f4>;2<0084l52523952d539y>11g=l9169975d19>1gc=l91694;5d19>1<2=l91694=5d19>1f5=l9169l;5d19>1d2=l9169l=5d19>1d4=l9169l?5d19>1d6=l91694h5d19>11ge=l9169ol5d19>1gg=l9169o75d19>1g>=l9169o95d19>1g0=l9169;65d19>131=l916:><5d19>263=l916:>65d19>26d=l916:965d19>25e=l916:;<5d19>237=l916:;>5d19>20`=l916:8k5d19>20b=l916:8m5d19>20d=l916::o5d19>22?=l916::65d19>221=l916::85d19>223=l916:5<5d19>2=7=l916:5>5d19>22`=l916::k5d19>22b=l916::m5d19>22d=l916:::5d19>225=l916998530f8yv3303:1>v3:4`80844j0:?fa1<5=m1v8=?:181833j3o;70;<1;12`>{t>ho1<7<;{<77f?d734?8h7?8a:?676<6?o169>65b19>1f7=m916:lk539c89f2c28=m70;8e;34f>;2090:;o52d24952d<5>k>6<9l;<:4f?70k2728k4>7b9>e75=9>i01lh8:05`?83?>3;41f34=j?7?8a:?;=a<6?j164;k516c89=1>28=j70781;34g>;><;0:;l5295f952g<5h>>6<9l;<4>7`9>f4?=9>i01lkn:05b?8ga=3;g6<5<<:6o>4}r542?6=:r7{t?>n1<7:t=6a6>g6<5>i?6o>4=6a0>g6<5>=n6>?k;|q4ed<728op1:m::2:b?812m3;41b34==o7?8b:?42a<6?k16;;k516`8920a28=i7099a;34f>;0>k0:;o5277:952d<5><26<9m;<544?70j27<;<4>7c9>30g=9>h01:;l:05a?812j3;41d34==87?8c:?420<6?j16;;8516a8920028=h709:9;34g>;0?h0:;h5276:952d<518i6<9j;<5`3?70j2wx;:;50;0x92e32l:01:;7:23g?xu0i00;6<9t=6a7>6>f34=>i7?8c:?41c<6?j16;;>516a8920628=h7099c;34a>;0>m0:;h5277g952c<5>7b9>33>=9>i01:86:05`?81083;41d34=>57?8b:?43d<6?j16;:6516d8923?28=j706=b;34b>;0k>0:4=5rs657>5<5s4=h?7k?;<563?56l2wx;l650;3e81d;393m6385d823g=:?41e34===7?8b:?42f<6?j16;;j516a8920b28=h7099f;34g>;0>h0:;h5277`952c<5><36<9j;<55=?70m27<;=4>7d9>327=9>o01:;n:05f?812k3;41e34==?7?8b:?421<6?k16;;;516`8920128=i70997;34f>;0=00:;l5276:952c<5>?36<9m;<:1f?70j277g9>301=9>k0q~9k7;297~;?:90n<638f1805b19><4`=j9164<4b=j9164<4g=j9164<75b19><4>=j9164<95b19><40=j9164<;5b19><42=j9164<=5b19><44=j9164?95b19><70=j9164?;5b19><72=j9164?=5b19><74=j9164??5b19><4e=j9164<46=j916;:m530f8yv>7?3:1>v3721804}r5g2?6=;r73=k4j0:?4ac<40h16;;>516c8yv>7>3:1>v371g804}r5g1?6=;r73=h4j0:?4a`<40h16;8h516c8yv>7=3:1>v371d804}r5g0?6=;r73=i4j0:?4aa<40h16;8k516c8yv>7<3:1>v371e80o31=5>4}r5g6?6=;r73=o4j0:?4ag<40h16;8m516c8yv>7:3:1>v371c80o:1=5>4}r5g5?6=;r73=l4j0:?4ad<40h16;8o516c8yv>793:1>v371`80l=1=5>4}r5g4?6=;r73=44j0:?4a<<40h16;:?516c8yv>783:1>v371880mo1=5>4}r5`b?6=;r73=54j0:?4a=<40h16;:>516c8yv1an3:1>v3719804}r5`a?6=;r73=:4j0:?4a2<40h16;;h516c8yv1am3:1>v371680m=1=5>4}r5``?6=;r73=;4j0:?4a3<40h16;;k516c8yv1al3:1>v371780m91=5>4}r5`g?6=;r73=84j0:?4a0<40h16;;j516c8yv1ak3:1>v371480jo1=5>4}r5`f?6=;r73=94j0:?4a1<40h16;;m516c8yv1aj3:1>v3715801=5>4}r5`e?6=;r73=>4j0:?4a6<40h16;;l516c8yv1ai3:1>v3712804}r5`=?6=;r73=?4j0:?4a7<40h16;;o516c8yv1a13:1>v371380:4j0:?4b2<40h16;;7516c8yv>7n3:1>v372680;4j0:?4b3<40h16;;6516c8yv>7m3:1>v37278084j0:?4b0<40h16;;9516c8yv>7l3:1>v37248094j0:?4b1<40h16;;8516c8yv>7k3:1>v372580>4j0:?4b6<40h16;;;516c8yv>7j3:1>v372280?4j0:?4b7<40h16;;:516c8yv>7i3:1>v372380o31=:h4}r5g=?6=;r73><4j0:?4b4<40h16;;=516c8yv>713:1>v372080o:1=:h4}r5g703:1>v371b80l=1=:h4}r5g7?6=;r73=<4j0:?4a4<40h16;8j516c8yv>7;3:1>v371080mo1=:h4}r5`v371180;0ml0i<638ee8a4>;0mk0i<638e`8a4>;0m00i<638e98a4>;0m>0i<638e78a4>;0m<0i<638e58a4>;0m:0i<638e38a4>;0n>0i<638f78a4>;0n<0i<638f58a4>;0n:0i<638f38a4>;0n80i<638eb8a4>;0m80i<638e18a4>;0?h08=i5rs6`:>5<5s4=nj7k?;<554?56l2wx;o650;0x92cb2l:01:;i:23g?xu0j>0;6?u27df9a5=:?{t?k91<7`6<5>=:6>?k;|q4f7<72;q6;h65e19>326=;8n0q~9m1;296~;0m>0n<6386g805a=z{>h;6=4={<5f2?c734==i7=>d:p3d`=838p1:k::d28920c2:;o7p}8ad83>7}:?l>1i=5277a974b52z?4a6l<6h>4=64:>67c3ty3c0=m916;;6530f8yv1d83:1>v38f48f4>;0>>08=i5rs6`e>5<5s4=m87k?;<552?56l2wx;ok50;0x92`42l:01:8::23g?xu0jm0;6?u27g09a5=:??>1?{t?k<1<7`6<5>?o6>?k;|q4eg<72;q6;h>5e19>30d=;8n0q~6;c;296~;?1k0n<6372g805a=z{1>o6=4;{<::f?b73422m7j?;<::=?b7342?i7=>d:p<05=83>p157m:c289=?f2k:01576:c289=332:;o7p}78183>4c|513i6>6n;<:00?70m273?84>7d9><60=9>o015=8:05f?8>3:3;1=:l4=966>41e342?<7?8b:?;04<6?k164>k516`89=5a28=i706;6;34f>;?<>0:;o52822952d<51996<9m;<:05?70k273?>4>7b9><6>=9>i015=6:05`?8>4i3;41d3429j7?8c:?;15<6?l1649k516`89=`a28=n7066d;34f>{t0=h1<7`6<518n6>?k;|q;3c<728=p157n:2:b?8>4<3;41d342?>7?8e:?;06<6?l1649:516g89=2228=n706;0;34g>;?<80:;n5282g952e<519m6<9l;<:72?70k2738:4>7b9><66=9>i015==:05`?8>5n3;41f342mj7?8f:?;=a<6091v5:n:1818>>13o;706=d;12`>{t0>o1<7?i{<::=?5?i273?94>7c9><63=9>h015=9:05a?8>4?3;41d342?97?8c:?;05<6?l1649?516g89=5b28=n706;?7c9><65=9>h015=7:05a?8>413;41e3428h7?8b:?;6c<6?h1649k516g89=4b28=i706if;34f>;?1m0:;k5283f952g53z?;b3<61=9>k0q~6:1;295<}:0o<1n=528g79f5=:0o>1n=528g19f5=:0o;1n=528g29f5=:0ll1n=528dg9f5=:0ln1n=528da9f5=:0lh1n=528dc9f5=:0l31n=528d:9f5=:0on1n=528ga9f5=:0oh1n=528gc9f5=:0o31n=528g:9f5=:0o=1n=528g09f5=:0l=1n=528d49f5=:0<81?34c=91:0q~6nc;297~;?n<0n<637c480345=91:0q~6nb;297~;?n=0n<637c58035>=91:0q~6na;297~;?n:0n<637c2801=:o4}r:ge?6=:r73j>4<8`9>2cc=91:0q~6n8;297~;?n80n<637c0802c3=91:0q~6n7;297~;?n90n<637c1802`e=91:0q~6n6;297~;?mo0n<637bg802`5=91:0q~6n5;297~;?ml0n<637bd8034>=91:0q~6n4;297~;?mm0n<637be802a0=9>k0q~6n3;297~;?mj0n<637bb801=:o4}r:g7?6=:r73in4<8`9>2a6=9>l0q~6n2;297~;?mk0n<637bc802fd=9>l0q~6n1;297~;?mh0n<637b`80315=9>k0q~6n0;297~;?m00n<637b88036d=9>k0q~66f;297~;?m10n<637b98036>=9>l0q~6m5;297~;?nm0n<637ce8037d=9>h0q~6m4;297~;?nj0n<637cb80373=91:0q~6m3;297~;?nk0n<637cc8034c=9>l0q~6m2;297~;?nh0n<637c`80345=9>l0q~6m1;297~;?n00n<637c88035>=9>l0q~6m0;297~;?n10n<637c9802cc=9>l0q~6nf;297~;?n>0n<637c6802c3=9>l0q~6ne;297~;?n;0n<637c3802`e=9>l0q~6n9;297~;?m>0n<637b6802`5=9>l0q~66e;297~;?m?0n<637b78034>=9>l0q~660;296~;?k?0n<63736805a=z{1>m6=4>9z?;g34m0:?;g4d=3o;706<6;12`>{t01o1<7`6<519>6>?k;|q;<62=;8n0q~67b;296~;?k80n<63733805a=z{12j6=4={<:`4?c73428<7=>d:p<=?=838p15li:d289=202:;o7p}78983>7}:0ko1i=52854974b52z?;fa12909w06mc;g3?8>3<39:h6s|89794?4|51hi6h>4=960>67c3ty3494?:3y>?;3:1>v37b88f4>;?<808=i5rs9:1>5<5s42i47k?;<:74?56l2wx44650;0x9=ec2l:015=i:23g?xu?1>0;6?u28ba9a5=:0:o1?di3o;706{t00>1<7`6<519i6>?k;|q;=6<72;q64n65e19><6g=;8n0q~662;296~;?k>0n<63738805a=z{13:6=4={<:`6?c7342847=>d:p<=e=838p15l8:d289=542:;o7p}78083>7}:0k<1i=52823974b52z?:2c4<1e9~w<46290?w079f;f3?8?1m3n;7079d;f3?8?5:39:h6s|93594?2|504=84f>g6<504=80;>67c3ty2994?:0gx9<0a2:2j707?8;34a>;>800:;h5291c952c<50:i6<9j;<;22?70j272=:4>7c9>=4>=9>h014?6:05a?8?6<3;41e343:m7?8b:?:5g<6?k165=:516`89<6128=i707?5;34g>;>8>0:;n5291a952e<50:o6<9l;<;3a?70k2727b9>=46=9>i014?>:05`?8?7;3;41b343<=7?8b:p=4`=838p148j:d289<652:;o7p}65283>41|506n;<;37b9>=5g=9>i014>m:05`?8?6>3;41b343:87?8c:?:50<6?j165<<516a89<7428=h707>a;34g>;>9k0:;n52916952e<50:=6<9l;<;37?70j272>94>7b9>=74=9>l014>=:05b?8?c;3;d:p=04=83;mw079d;1;e>;>810:;o5291;952d<50:j6<9m;<;3f?70j272=;4>7b9>=41=9>i014?7:05`?8?613;41b343:?7?8e:?:5d<6?l165;>8<0:;o52915952d<50:h6<9m;<;3`?70j272

7c9>=5`=9>h014??:05a?8?693;41e343o?7?8b:?:34<6?o165=?516c8yv??93:1?v36c`8f4>;>1h084l5291`952g518y>=fg=j9165n75b19>=f>=j9165n95b19>=f3=j9165n:5b19>=f5=j9165n<5b19>=f7=j9165n>5b19>=g`=j9165ok5b19>=gb=j9165om5b19>=a7=j9165i>5b19>=f`=j9165nk5b19>=fb=j9165nm5b19>=fd=j9165n85b19>=gd=j9165oo5b19>=70=;8n0q~7m1;296~;>kh084l5270c952`53z?:g<=5g=9>k0q~7m0;296~;>k0084l5271d952`53z?:g==5?=9>k0q~7nf;296~;>k1084l52716952`53z?:g2=5>=9>k0q~7ne;296~;>k>084l526gc952`53z?:g0=50=9>k0q~7nc;296~;>k<084l526g3952`53z?:g1=52=9>k0q~7nb;296~;>k=084l526d:952`53z?:g64<8`9>=4d=9>k0q~7na;296~;>k:084l526ed952`53z?:g7=4g=9>k0q~7n9;296~;>k;084l5271a952`53z?:g4=4?=9>k0q~7n8;296~;>k8084l526ec95=653z?:g5=4>=9>k0q~7n7;296~;>k9084l526e2952c53z?:fc=41=9>k0q~7n6;296~;>jo084l526b`952c53z?:f`=40=9>k0q~7n5;296~;>jl084l5275595=653z?:fa=43=9>k0q~7n4;296~;>jm084l5272d95=653z?:ff=42=9>k0q~7n3;296~;>jj084l52720952d53z?:`4=45=9>k0q~7m9;296~;>l8084l52722952e53z?:`5=44=9>k0q~7m8;296~;>l9084l52731952g53z?:gc=47=9>k0q~7m7;296~;>ko084l5270c952c53z?:g`=46=9>k0q~7m6;296~;>kl084l5271d952c53z?:ga=5`=9>k0q~7m5;296~;>km084l52716952c53z?:gf=5c=9>k0q~7m4;296~;>kj084l526gc952c53z?:gg=5b=9>k0q~7m3;296~;>kk084l526g3952c7>53z?:g3=5e=9>k0q~7m2;296~;>k?084l526d:952c53z?:fg=51=9>k0q~7nd;296~;>jk084l526ed952c7>53z?:fd=53=9>k0q~7n2;296~;>jh084l5271a952c52z?:=d;>100i<636998a4>;>1>0i<636948a4>;>1=0i<636928a4>;>1;0i<636908a4>;>190i<6368g8a4>;>0l0i<6368e8a4>;>0j0i<636a08a4>;>i90i<6369g8a4>;>1l0i<6369e8a4>;>1j0i<6369c8a4>;>1?0i<6368c8a4>;>0h0i<63625805a=z{0<86=4={<;:=?c7343;m7=>d:p=34=838p1477:d289<6>2:;o7p}66083>7}:10=1i=5291:974bj7>52z?:=04=83a>67c3ty29n4?:3y>=<4=m9165v36908f4>;>9008=i5rs87b>5<5s432<7k?;<;2a2l:014?8:23g?xu>=10;6?u299g9a5=:18<1?4;12`>{t1?i1<7`6<50;86>?k;|q:2g<72;q65l>5e19>=44=;8n0q~79a;296~;>1o0n<63610805a=z{0<26=4={<;:a?c7343:<7=>d:p=3>=838p147k:d289<6a2:;o7p}66683>7}:10i1i=5291g974b52z?:=g4=824>67c3ty2984?:3y>==g=m9165=;530f8yv?a<3:1>v3n428f4>;>l>08=i5rs8d6>5<3s4k??7j?;6>f343oo7?8e:?:`a<6?l165ik516g89;>mk0:;o529da952d<50oo6<9m;<;f7c9>=`0=9>h014k8:05a?8?bm3;41e343o57?8c:?:`g<6?j165h>516a89;>m:0:;n529d6952e<50o>6<9l;<;g3?70k272j54>7d9>=c0=9>h01lo8:05f?8g3=3;96h>4=8f5>67c3tyj>:4?:05x9d252:2j707kc;34g>;>lm0:;n529eg952e<50nm6<9l;<;fe?70m272io4>7d9>=`e=9>o014kk:05f?8?b03;41d343ni7?8c:?:ac<6?j165i6516a89;>n10:;n529g4952`<50n=6<9n;819~w<`52909w0o;1;g3?8?c=39:h6s|a3494?7as4k?=7=7a:?:`f<6?k165ij516`89;>mh0:;n529d`952e<50oh6<9l;<;f`?70k272i54>7d9>=`?=9>o014k9:05f?8?b?3;41b343om7?8e:?:`<<6?k165il516`89;>m;0:;o529d1952d<50o?6<9m;<;f1?70j272h:4>7`9>=c0=9>o014j9:05a?8gf?3;1n=52a819f5=:i081n=52a839f5=:i0:1n=52a`79f5=:ih>1n=52a`19f5=:ih81n=52a`39f5=:ih:1n=52a8d9f5=:i0k1n=52a9d9f5=:i1o1n=529gc974b52z?b=`<40h16;;f>m084l529eg952g52z?b=a<40h16;<:516d8yvg2;3:1?v3n9b8f4>;f>j084l529ef952g52z?b=f<40h16;=7516d8yvg2:3:1?v3n9c8f4>;f>k084l529ea952g7>52z?b=g<40h16:kh516d8yvg283:1?v3n988f4>;f>0084l529ec952g52z?b=<<40h16:k8516d8yvg3n3:1?v3n998f4>;f>1084l529e:952g52z?b==<40h16:hj516d8yvg3m3:1?v3n968f4>;f>>084l529dd952g52z?b=2<40h16:h:516d8yvg3l3:1?v3n978f4>;f>?084l529dg952g52z?b=3<40h16;??516d8yvg3k3:1?v3n948f4>;f><084l529df952g52z?b=0<40h16:i7516c8yvg3j3:1?v3n958f4>;f>=084l529da952g52z?b=1<40h16:i<516a8yvg3i3:1?v3n928f4>;f>:084l529d`952g52z?b=6<40h16:nj516a8yvg313:1?v3n938f4>;f>;084l529dc952g52z?b=7<40h16;98516c8yvg303:1?v3n908f4>;f>8084l529d;952g52z?b=4<40h16;>k516c8yvg3?3:1?v3n918f4>;f>9084l529d:952g52z?b=5<40h16;>8516`8yvg2l3:1?v3na48f4>;f?<084l529d5952g52z?be0<40h16;?j516d8yvg2k3:1?v3na58f4>;f?=084l529d4952g52z?be1<40h16;?;516a8yvg2j3:1?v3na28f4>;f?:084l529d7952g52z?be6<40h16;;f?;084l529d6952g52z?be7<40h16;<:516g8yvg213:1?v3na08f4>;f?8084l529d1952g52z?be4<40h16;=7516g8yvg203:1?v3na18f4>;f?9084l529d0952g52z?be5<40h16:kh516g8yvg2?3:1?v3n9g8f4>;f>o084l529d3952g52z?b=c<40h16:k8516g8yvg2>3:1?v3n9`8f4>;f>h084l529d2952g52z?b=d<40h16:hj516g8yvg293:1?v3n8g8f4>;f=o084l529e`952g52z?b3:1?v3n8d8f4>;f=l084l529e;952g52z?b<`<40h16;??516g8yvg403:1>v3n6d8f4>;>lo08=i5rs8d4>5<61r7j:h4m0:?b2a4m0:?b274m0:?b374=8fg>67c3tyj?84?:3y>e3d=m9165im530f8yvg4;3:1>v3n688f4>;>lh08=i5rs`11>5<5s4k=47k?;<;g?50;0x9d002l:014ki:23g?xuf;90;6?u2a749a5=:1lo1?{ti;n1<7`6<50oi6>?k;|qb6f<72;q6m;<5e19>=`g=;8n0q~o=b;296~;f>80n<636e8805a=z{h8j6=4={d:pe16=838p1l9::d2897}:i>>1i=529d4974b52z?b364=8g0>67c3tyj?o4?:3y>e26=m9165h<530f8yvg4i3:1>v3n6g8f4>;>m808=i5rs`1:>5<5s4k=m7k?;<;f4?56l2wxm>:50;0x9d3a2l:014jm:23g?xuf:00;6?u2a4g9a5=:1m31?6;f3?8d6=3n;70ola;12`>{tijl1<7:t=c34>g6<5k;=6o>4=c36>g6<5hn;6>?k;|qbbf<728op1o?8:2:b?8ge83;41b34kii7?8b:?bfc<6?k16mn>516`89de628=i70omc;34f>;fjm0:;o52acc952d<5hhi6<9m;4>7c9>ede=9>h01loj:05a?8gfl3;1=:m4=``6>41d34ki:7?8c:?bf2<6?j16mo6516a89dd>28=h70onb;34g>;fkj0:;h52abc952d<5k=i6<9j;<`2=?70j2wxmn950;0x9g712l:01lon:23g?xufnk0;6<9t=c35>6>f34ki<7?8c:?bf4<6?j16mo<516a89dd428=h70ome;34a>;fjo0:;h52ab2952c<5hi:6<9j;7b9>egg=9>i01llm:05`?8gd:3;41d34kjn7?8b:?bgf<6?j16mno516d89dgf28=j70l8b;34b>;e900:4=5rs`a5>5<5s4h:97k?;41e34ki?7?8b:?bf`<6?j16moh516a89de728=h70ol1;34g>;fjj0:;h52acf952c<5hhj6<9j;7d9>ef5=9>o01lol:05f?8gfm3;41e34ki97?8b:?bf3<6?k16mo9516`89dd?28=i70om9;34f>;fik0:;l52abc952c<5hkj6<9m;<`4f?70j27i=44>7g9>ed?=9>k0q~l=9;297~;e?;0n<63m4380f27=j916n:>5b19>f3`=j916n;j5b19>f3e=j916n;l5b19>f3g=j916n;75b19>f3>=j916n;95b19>f30=j916n;;5b19>f32=j916n:75b19>f2>=j916n:95b19>f20=j916n:;5b19>f22=j916n:=5b19>f3c=j916n;=5b19>f34=j916mnk530f8yvd213:1>v3m7380v3m7080v3m7180516c8yvd2>3:1>v3m6g80oi1=:h4}r`10?6=;r7i:i4j0:?a7a<40h16mlk516c8yvd2<3:1>v3m6e80o91=:h4}r`17?6=;r7i:n4j0:?a7f<40h16mlm516c8yvd2;3:1>v3m6b80lk1=:h4}r`16?6=;r7i:o4j0:?a7g<40h16mn=516c8yvd2:3:1>v3m6c80l;1=:h4}r`15?6=;r7i:l4j0:?a7d<40h16mn<516c8yvd293:1>v3m6`80v3m6880mh1=:m4}r`2b?6=;r7i:54j0:?a7=<40h16mn>516c8yvd3n3:1>v3m6980m;1=:o4}r`2a?6=;r7i::4j0:?a72<40h16moh516c8yvd3m3:1>v3m6680ji1=:o4}r`2`?6=;r7i:;4j0:?a73<40h16mok516c8yvd3l3:1>v3m6780v3m6480v3m6580v3m7880v3m7980v3m7680v3m7780v3m7480v3m7580oi1=:k4}r`1f?6=;r7i;>4j0:?a06<40h16mo;516c8yvd2j3:1>v3m7280o91=:k4}r`1e?6=;r7i:h4j0:?a7`<40h16mo:516c8yvd2i3:1>v3m6d80lk1=:k4}r`11?6=;r7i:>4j0:?a76<40h16mlh516c8yvd2=3:1>v3m6280l;1=:k4}r`2e?6=;r7i:?4j0:?a77<40h16mlj516c8yvd3i3:1>v3m6380;e<90i<63m3g8a4>;e;m0i<63m3b8a4>;e;k0i<63m3`8a4>;e;00i<63m398a4>;e;>0i<63m378a4>;e;<0i<63m358a4>;e<00i<63m498a4>;e<>0i<63m478a4>;e<<0i<63m458a4>;e<:0i<63m3d8a4>;e;:0i<63m338a4>;fkj08=i5rsc2a>5<5s4h?=7k?;:23g?xue800;6?u2b2d9a5=:ik:1?9:1818d4k3o;70onc;12`>{tj9?1<7`6<5hi86>?k;|qa41<72;q6n>o5e19>ef4=;8n0q~l?3;296~;e;00n<63nc0805a=z{k:96=4={<`0d:pf57=838p1o=8:d289dda2:;o7p}m0183>7}:j:<1i=52acg974b52z?a7026h>4=``a>67c3tyi=>4?:3y>f1>=m916moo530f8yvd6:3:1>v3m468f4>;fj008=i5rsc32>5<5s4h?:7k?;50;0x9g222l:01ll8:23g?xue8o0;6?u2b569a5=:ik<1?4j0:?bf0<49m1vo>k:1818d4m3o;70om4;12`>{tj921<7`6<5hkm6>?k;|qbba<72;q6n><5e19>edb=;8n0q~li3;297~;d;?0:m952c3097d><5kl?6>?k;|q`6g<72:q6o>85b39>g74=j;16o?l524f8yveei3:1>v3l37811a=:jli1=5>4}ra16?6=:r7h>?4=5e9>f`d=91:0q~m>e;297~;d;o0:m952c3`97d><5kon6>?k;|q`6d<72:q6o>h5b39>g7d=j;16o?o524f8yved;3:1>v3l3g811a=:jl;1=5>4}ra00?6=;r7h?h4>a59>g7g=;h201n=;:23g?xud:00;6>u2c2g9f7=:k;k1n?52c3;960b7>52z?`7`<5=m16nh<51928yve6l3:1?v3l2`826f=:jln1?41f3tyh?>4?:2y>g6b=9h>01n<6:2c;?8e4;39:h6s|c3:94?5|5j9o6o<4=b0:>g4<5j836?;k;|q`g4<72;q6o>j524f89gc4282;7p}l1b83>6}:k;31=?m4=cd4>67c34i8?7?8a:pg64=839p1n=l:0c7?8e5039j463l33805a=z{j8<6=4<{50;0x9f5d2;?o70lj4;3;4>{tk8h1<7=t=b0;>44d34hm:7=>d:?`77<6?h1vn=>:1808e4j3;j863l2680e==:k:;1?;4=5e9~wfda2909w0m;em<0:4=5rsb3b>5<4s4i9;7?=c:?ab0<49m16o>?516c8yve483:1?v3l3`82e1=:k;<1?l64=b13>67c3tyh>84?:2y>g6g=j;16o?85b39>g73=:53z?`63<6:j16nk?530f89f5728=j7p}l2g83>6}:k:31=l:4=b06>6g?34i9j7=>d:pg72=839p1n=6:c089f422k801n<;:37g?xudjm0;6?u2c2;960b<5ko<6<6?;|q`5=<72:q6o?;513a89g`72:;o70m=f;34e>{tk;o1<7=t=b1;>4g334i987=n8:?`6`<49m1vn<<:1808e403h970m=4;`1?8e5;38>h6s|cca94?4|5j936?;k;<`f;d:l0:;l5rsb0g>5<4s4i8;7?n4:?`66<4i116o?j530f8yve593:1?v3l368a6>;d::0i>63l20811a=z{jhi6=4={819~wf712909w0m=3;31g>;d9?08=i5rsb0`>5<4s4i897?n4:?`64<4i116o?m530f8yvee13:1>v3l34811a=:jlk1=5>4}ra21?6=:r7h><4>2b9>g43=;8n0q~m97;291~;e1k0:m952bd`952c<5j>>6<9i;4>7`9~wg>42909w0l6b;`1?8d?;38>h6s|c6194?4|5k3i6?;k;;emj0:;h52c5495=6<5j2;6>6n;7}:j0k1>8j4=e56>41f3tyh;=4?:7y>fd3=9h>01o7::2c;?8db93;41f3tyi5>4?:2y>fd3=j;16n4;5b39>f<5=:56z?ae1<6i=16n4=53`:89gc528=n70m;5;3;4>;d18084l52c80952g53z?ae14m2:?a=4<5=m1vn9m:1818df<38>h63k76823d=z{jf`5=9>o01n:::05`?8e?n393m63l91823d=z{k2m6=4<{<`b7?d534h2=7l=;<`;b?42l2wxo:o50;0x9gg42;?o70j88;34e>{tk?n1<78t=cc1>4g334h3j7=n8:?aa1<6?l16o9;516g89f>c2:2j70m7e;34e>{tj1n1<7=t=cc1>g4<5k2m6o<4=c:g>73c3tyh;44?:3y>fd4=:j0;6;u2b`395d2<5k2o6>o7;<`f1?70m27h8;4>7d9>g=d=;1k01n6l:05b?xue0k0;6>u2b`39f7=:j1n1n?52b9`960b52z?ae4<5=m16h:o516c8yve1j3:1:v3ma182e1=:j1h1?l64=cg5>41b34i?:7?8c:?`<<<40h16o5o516c8yvd?13:1?v3ma18a6>;e0k0i>63m88811a=z{j=<6=4={<`b4?42l27o;o4>7`9~wf0f290=w0l6f;3b0>;e0008m552bd5952c<5j>=6<9n;7`9~wg>02908w0l6f;`1?8d?13h970l77;06`>{tk><1<773c34n6>f34i3:7?8a:pf=3=839p1o7j:c089g>02k801o6::37g?xud?<0;6?u2b8g960b<5m=:6<9n;|q`2=<72?q6n4j51`689g>22:k370lj9;34a>;d<<0:;l52c6d97=g<5j2?6<9n;|qa<7<72:q6n4j5b39>f=3=j;16n5<524f8yve0<3:1>v3m9e811a=:l>81=:o4}r`:3?6=;r7i544>a59>f=4=;h201o77:23g?xud?80;6?u2b8;960b<5m=86<9n;|qg24<725e19>`35=9>k01iol:05f?8bei3;h6=4l{d:p`36=83;9w0j90;1;e>;c1l0:;o52d`a952`<5m7b9>ad4=9>i01io?:05f?8bf93;1=:h4=d;:>41d34nm=7?8c:?gac<6?j16hhl516d89d`028=m70j=2;34a>;fn00:;n5rse4;>5<1s4n=;7k?;7`9>`d`=9>o01iln:05f?8b10393m6s|d7594?76s4n=;7=7a:?g=`<6?h16hlm516`89ad728=i70j9f;34b>;cn<0:;n52e``952d<5lk96<9m;7b9>`d5=9>o01io;:05f?8c>13;41e34n9n7?8e:pgc>=83;c>l0:;n52dcc952e<5jl36>6n;|q`b2<728;p1nh8:2:b?8b>>3;41d34o;b?=0:;n52dd4952e<5mo?6<9l;>4>7d9>`74=9>h01l<::05`?xudno0;6;u2cgg9a5=:l9;1=:o4=eca>41f34ni<7?8f:?gf<<6?j16okh539c8yveam3:1==u2cgg97=g<5m3=6<9n;7`9>``g=9>i01h69:05a?8c0l3;41b34n2o7?8e:?f31<6?k16hh8516g89ac328=n70jj0;34f>;c:k0:;n5rse27>5<2s4n;?7k?;7d9>`g?=9>k01i>;:2:b?xuc8:0;6<6>f34n3i7?8b:?ged<6?o16h;k516d89aba28=n70k91;34g>;b=10:;n52d82952c<5m3:6<9j;7g9>a1`=9>i01ijm:05`?8bc13;41a343>=7?8e:p`5b=83l:d289a6a28=j70jna;34e>;cio0:;o52dc;952`<5m:o6>6n;|qg4f<728;p1i>l:2:b?8b?m3;41f34noj7?8c:?f24<6?k16i86516`89a?728=h70j61;34g>;c1:0:;h52d86952c<5l>m6<9m;7d9>`a3=9>h01i41b34n=i7?8a:?gf<<6?l16h<8539c8yvb6=3:1=7d9>a6e=9>i01h=<:05`?8b?03;41a34o9m7?8c:?g`5<6?j16hnk516a89aef28=m7068b;34a>;c:;0:;l5286f952e56z?g5f7`9>`d?=9>k01ioj:05`?8be13;3<63k1e800z?g5f<40h16h58516c89ag>28=i70jne;34f>;cl=0:;n52e2a952d<5l986<9m;7b9>`=d=9>o01i6l:05f?8c5i3;41e34n9n7?8a:p`72=83?p1i<<:d289a4128=j70jn8;34a>;cj00:;o52d3697=g513y>`75=;1k01i9j:05a?8bf03;41d34o;i7?8c:?g<5<6?l16h5?516g89a>428=m70j74;34b>;b8<0:;n52db7952e<5mi86<9l;7d9>`74=9>i01:o8:05f?xuc;80;6;u2d229a5=:l:91=:o4=ec;>41f34njj7?8a:?gfd<6?k16h>?539c8yvb483:1==u2d2297=g<5m=n6<9n;819>`f?=9>i01h?8:05a?8c7m3;41b34n387?8e:?f40<6?k16hn;516g89ae428=n70jmf;34f>;c:k0:;o5rse75>5<0s4n>?7k?;7`9>`3`=9>h01iln:05e?8db83;2z?g16<40h16hom516a89a0a282;70j:c;34f>;c=80:;o52bd2952c<5j286<9m;7c9>g<4=9>h01n7?:05a?8e?m3;41e34i3:7?8b:?`<1<6?k16o:k516`8yvdd13:1>v3md482e1=:jj31?h63la6823`=z{ki36=4<{<`g0?7f<27ini4ff>=;8n0q~ll6;297~;el=0i>63mbe8a6>;ek?099i5rsc`1>5<5s4ho87<:d:?`e0<6?l1voj=:1808dcm3;j863mc780e==:jm81?;dj10:;h5rscf2>5<4s4hoh7?n4:?ag0<4i116ni?530f8yvdd<3:1?v3mde8a6>;ek<0i>63mc5811a=z{khj6=4={<`g`?42l27hn;4>7d9~wgb72908w0lkc;3b0>;ek=08m552be2974b53z?a`fh63lb5823`=z{kim6=4<{<`gf?7f<27io>4ff`=;8n0q~ll2;297~;elk0i>63mc28a6>;ek;099i5rsc`;>5<5s4hon7<:d:?`f7<6?l1vomj:1808dci3;j863mc380e==:jjo1?;dj90:;h5rscag>5<4s4ho57?n4:?ag4<4i116nnj530f8yvdd83:1?v3md88a6>;ek80i>63mc1811a=z{kh=6=4={<`g=?42l27hmh4>7d9~wged2908w0lk8;3b0>;ek908m552bba974b53z?a`=h63lab823`=z{kii6=4<{<`g3?7f<27ink4ffd=;8n0q~lme;297~;el>0i>63mbg8a6>;ejl099i5rsc`7>5<5s4ho;7<:d:?`ed<6?l1vomn:1808dc>3;j863mbd80e==:jjk1?;di10:;h5rsca4>5<4s4ho?7?n4:?aff<4i116nn9530f8yvea>3:1=;u2be19f7=:jki1n?52dcg952c<5mho6<9m;7`9>ff1=9>k01omn:05b?8ddj3;41f34hhj7?8a:?a`5<6?h16ni?516c89gb528=j70ll8;34e>;ek00:;l526b4952c<5jl=6>6n;7c9~wgd72909w0lk3;06`>;di;0:;h5rs4`e>5<5s4?ii7k?;<7`4?56l2wx9ok50;5x90db2:2j70;l0;34e>;2k;0:;l5262f952d<5?>=6<9m;<40e?70k27=?94>7`9~w0?52909w0;65;g3?831k39:h6s|59594?2|5<3>6o>4=4;7>g6<5<386o>4=4:;>67c3ty>4k4?:4y>1<3=;1k0188l:05a?83113;594j0:?62g<49m1v86j:18583><393m63:6c823f=:=?i1=:k4=44:>41b34?=87?8b:?6;3o;70;9a;12`>{t=1n1<79t=4;0>6>f34?=n7?8b:?62f<6?j169;o516`8900>28=j70;94;34g>;20j0:;k5rs441>5<5s4?h?7k?;<75=?56l2wx9n=50;cx90e42:2j70892;`3?80193h;70890;`3?802n3h;708:e;`3?802l3h;708:c;`3?802j3h;70;l0;34a>{t=0i1<7=t=4c6>`6<56n;<455?c73ty>444?:8y>1d3=j9169l:5b19>1d5=j9169l<5b19>1d7=j9169l>5b19>1<`=j91694k5b19>1=g=;8n0q~;6d;296~;2i<084l526709a5=z{<3i6=4<{<7b0?c734?j?7=7a:?5256}:=h81i=525`397=g<5??n6h>4}r7:m<4j0:?6e5<40h16:8j5e19~w0?02908w0;n0;g3?83>n393m6395b8f4>{t=0<1<7=t=4;e>`6<5<3n6>6n;<46f?c73ty>:;4?:3y>1v3:be8f4>;2?h08=i5rs4:a>5<>s4?ih7l?;<7ag?d734?in7l?;<7ae?d734?i57l?;<7ad:p1db=838p18lk:2:b?87e13;<56s|5c694?4|54=45;>67c3ty>mn4?:3y>1ge=;1k0189n:0:3?xu2j:0;6?u25c`9a5=:=><1?no4<8`9>12>=91:0q~;m2;296~;2jh0n<63:75805a=z{;;4>819~w0d62909w0;m9;g3?830:39:h6s|5`;94?4|56n;<740?7?82wx9o>50;0x90d?2l:0189?:23g?xu2i10;6?u25c:97=g<5<=96<6?;|q6ec<72;q69o95e19>13c=;8n0q~;n7;296~;2j>084l5256295=652z?6f3:i4<1e9~w0g12909w0;m6;1;e>;2>l0:4=5rs446>5<5s4?=47k?;<753?5?i2wx=om50;0x90002l:016n;<7`b?70i27=8?4>7c9>215=91:01;:n:05`?xu2m:0;6?u25g`9f7=:=l91>8j4}r42g?6=:r7>jo4=5e9>1f`=9>i0q~8?f;292~;2nh0:m9525d197d><5?836>6n;<40b?70j27>oi4>7`9>214=9>k0q~;i5;297~;2nh0i>63:e28a6>;2n<099i5rs73a>5<5s4?mm7<:d:?6ga<6?j1v;?6:185807=3;j863:f480e==:>:;1?5o4=71e>41f34?n=7?8a:?505<6?h1v8h<:180807=3h970;i5;`1?83a;38>h6s|63794?4|5?:>6?;k;<7f5?70k2wx:<650;5x936328k?70;i3;1b<>;1;9084l525ed952g<5?>:6<9i;<477?70i27=8l4>7c9~w0`62908w08?4;`1?83a;3h970;i1;06`>{t>;>1<773c34?oj7?8c:p241=83=p1;><:0c7?83a939j46392g804>734h6s|63194?4|5?:86?;k;<7g`?70k2wx:<850;5x936528k?70;jf;1b<>;1:l084l525e`952g<5?>:6<9j;<477?70k27=8l4>7g9~w0cc2908w08?2;`1?83bn3h970;jd;06`>{t>;81<773c34?on7?8c:p243=83>:0c7?83bl39j46392e80:l1=:m4=4f:>41f34>:c0890cc2k8018km:37g?xu1:80;6?u2613960b<551`6890ce2:k3708=c;1;e>;1;o0:4=525e5952g<5?>:6<9n;|q6a<<72:q6:=>5b39>1`d=j;169h7524f8yv0583:1>v3901811a=:=m=1=:m4}r427?6=?r7>jk4>a59>1`?=;h201;=91=:h4=76b>41b3ty>i:4?:2y>1c`=j;169h75b39>1`1=:f;296~;2no099i525e7952e7>57z?6b`<6i=169h953`:8934f2:2j70;k3;34e>;1<90:;h52651952c<5?>j6<6?;|q6a0<72:q69kk5b39>1`1=j;169h;524f8yv06m3:1>v3:fd811a=:=m91=:m4}r425?6=>r7>ji4>a59>1`3=;h201;<8:2:b?804n3;=:1=:l4}r7f6?6=;r7>ji4m2:?6a0i?4=5e9~w37c2909w0;id;06`>;2l80:;n5rs4d4>5<4s4?m57?n4:?6a7<4i1169k6530f8yv06i3:1>v3:f8811a=:=j21=:m4}r407?6=:r7=??4j0:?571<49m1v;==:182`~;1;;084l5257f952d<5??:6<9n;<7`f?70i27=9?4>7`9>1`7=9>h018ji:05a?83cl3;41e34?o97?8b:?6`6<6?k169i?516`890ea28=i70;ld;34f>;2k10:;o5257g952d<5<=;6<9m;<746?70j27>;94>7c9>120=9>h01897:05a?830i3;=i1=:l4=7;`>4>734<2n7?8a:?5e6<6091v;=9:181804=3o;708<7;12`>{t>:?1<7?i{<401?5?i27=8k4>7d9>207=9>h018mm:05a?80283;<81=:h4=4a:>41d34?h:7?8b:?54g<6?l16:=7516a8932528=h708;5;34g>;1<>0:;l5265`952g<5?>h6<9n;<47`?70k27o?l4>7g9>`1`=91:0188i:05a?83093;?1=:l4=454>41e34?<57?8b:?63g<6?k16:4m516`893?e28=i708n3;34f>;1;h0:4=5262695=652z?57==l1=:m4=772>41a34?hn7?8f:?515<6?k16:>9516`8933528=n70;l9;34f>;2k?0:;l5261`952g<5?:26<9n;<471?70j27=8o4>7c9>21b=9>h01;:j:05a?804l3;41f34?<=7?8a:?636<6?h169:;516c8901028=j70;89;34e>;2?k0:;l52654952g<5?3h6<9i;<4:f?70k27=m>4>7g9>26g=9>h01;=;:05e?xu1;j0;6?u262`9a5=:>==1?l539c8932a28=i708:1;34a>;2kk0:;n52642952e<5?9<6<9l;<466?70k27>o44>7`9>1f0=9>o01;>m:05a?80713;==1=:l4=76a>41d34j516g89a5f28=n70j;f;34b>;2>o0:;h52563952c<5<=86<9j;<741?70m27>;:4>7d9>12?=9>o0189m:05f?80>k3;h91=:k4=71b>41f34<887?8b:p21?=838p1;:7:d28932b2:;o7p}94983>4c|5?>36>6n;<47b?70i27=9<4>7b9>1fd=9>o01;;?:05b?804?3;41d34<;n7?8c:?54<<6?l16:9>516d8932228=j708;e;34e>;1;m0:;n52d2c952d<5m>m6<9l;<75b?70k27>;<4>7b9>125=9>i0189::05`?830?3;h1=:m4=765>41b34<2o7?8c:?5=g<6?l16:l=516a8935f28=m708<4;34a>{t=jo1<7`6<5?k;|q6gf<72;q6:?65e19>1fb=;8n0q~;j0;296~;1;80n<63:e0805a=z{d:p1ae=838p1;7}:>;o1i=525e`974b52z?56ah44<1e9~w0b12909w08=c;g3?83c?39:h6s|5e694?4|5?8i6h>4=4f6>67c3ty>h?4?:3y>27g=m9169i=530f8yv3c83:1>v39268f4>;2l808=i5rs4a4>5<5s4<9:7k?;<7`;2k10:;l52652952e<5250=;8n0q~8?c;297~;18j084l5265a952e<5?:=6<9n;|q51d<72;q6:;<539c8936028=h7p}95883>7}:>?;1?5o4=724>41f3ty=954?:3y>236=;1k01;>8:05a?xu1=>0;6?u264d97=g<5?:<6<9j;|q513<72;q6:8k539c8936128=h7p}95483>7}:>41b3ty=994?:3y>20e=;1k01;>9:0:3?xu1=:0;6?u264`97=g<5?:=6<9m;|q52d5=;8n0q~;l5;2954}:>>k1n=5266;9f5=:>>21n=526659f5=:>><1n=526679f5=:>181n=526939f5=:>1:1n=5266d9f5=:>>o1n=5266f9f5=:>>i1n=5266`9f5=:>>>1n=526619f5=:=j<1?12d=9>l0q~879;296~;1?00n<639a3805a=z{?<36=4={<44=?5?i27>;44>7g9~w3>?2909w0888;g3?80f939:h6s|67594?4|5?=36>6n;<743?70n2wx:5950;0x93102l:01;o?:23g?xu1>?0;6?u266597=g<5<=>6<9i;|q5<0<72;q6::85e19>2=0=;8n0q~895;296~;1??084l52561952`52z?530;2?80:;k5rs7;4>5<5s4<3>7k?;<4:a?56l2wx::<50;0x93>52:2j70;9f;34b>{t>0<1<7`6<5?3o6>?k;|q534<72;q6:5?539c8900c28=n7p}99483>7}:>1:1i=5268a974b52z?5<5<40h169:o516g8yv0><3:1>v397g8f4>;11k08=i5rs74e>5<5s4<{t>?o1<76>f34?<:7?8e:p2<7=838p1;9k:d2893?52:;o7p}96e83>7}:>>n1?5o4=457>41b3ty=4k4?:3y>22e=m916:4>530f8yv01k3:1>v397b8081=:k4}r4;`?6=:r7=;o4j0:?5<`<49m1v;8m:181800j393m63:71823`=z{?2i6=4={<440?c734<3o7=>d:p23g=838p1;9;:2:b?831m3;4=7;:>67c3ty=:>4?:3y>225=;1k0188k:0:3?xu2=?0;68u254:952g<5?>>6<9i;<762?5?i27>984>7c9>101=9>h0q~;:4;291~;2=10:;o52657952c<56n;<761?70m27>9:4>7d9~w72c2909w0869;34e>;a>38>h6s|6`494?c|5?326<9l;<4:e?70n27=5k4>7g9>2l01;o?:05e?80f:3;h;1=:h4=7;3>41a34<3:7?8f:?5=7<6?o16:5m516d893>b28=m708:2;12`>{t:=21<741f34;:;7<:d:p615=838p1;7i:05b?8`>2;?o7p}=4583>7}:>0o1=:o4=000>73c3ty98<4?:3y>2d6=9>k01kh524f8yv44n3:1>v39a3823d=:99?1>8j4}r071?6=:r7=5i4>7`9>576=:52z?5=5<6?h16=v3987823d=:nj099i5rs463>5<2s4?8h7?8e:?676<6?l169>7516a890242:2j70;;4;34e>{t=:i1<767c34?8n7?8a:p61?=838p1;7=:05b?876<38>h6s|25a94?4|5?2h6<9n;<33f?42l2wx>9l50;0x93>b28=j70??e;06`>{t?1:1<79t=67f>41a34==o7?8f:?42=<6?o16;:>516d8923e28=n70994;34a>;00:084l5rs6:5>5<0s4=>j7?8f:?42a<6?o16;;7516d8921628=m709:d;34a>;0><0:;h5279;97=g55z?425<6?o16;;k516d8920f28=m709:a;34b>;00o084l5rs6;1>5<0s4===7?8f:?42c<6?o16;;l516d8923d28=m70993;34a>;0>>0:;h5278797=g54z?427<6?l16;;8516g892>c2:2j70960;34g>{t?h81<78t=65b>41f34=<47?8a:?43`<6?k16;l<539c89=4e28=h709l7;34e>{t0;k1<7;t=65b>41e34=<47?8c:?43`<6?h164?o539c89=4e28=j7p}8a583>f}:?>91=:o4=6c7>6>f34=:57?8a:?44`<6?h16;==516c8926e28=j708i9;34e>;1n90:;l526d5952g<5?nn6<9n;<517c9~w2g1290mw0983;34f>;0?j0:;l52cbd952d<5>k>6<9m;<52=?70j27<

7c9>355=9>h01:>m:05a?80a13;l=1=:l4=7ff>41e34=947?8e:?4e3<40h16;l9516a8yv10:3:14v3872805a=:>m91=:h4=7af>41a34=?87?8f:?47f<6?o16:i9516d8924a28=m709<9;34e>{t?j<1<7=t=65`>41e34=h:7=7a:?4e2<6?h1v5;9:1848>4<3;41a3428=7?8e:?;7d<6?l16487539c8yv>2k3:1;v3734823c=:0=91=:h4=91e>41a342?;7?8f:?;76<6?l164>l516g89=3a2:2j7p}76383>0}:0:<1=:h4=967>41a342?<7?8f:?;75<6?o164;;539c8yv>103:1;v3736823c=:0=?1=:h4=962>41a3428>7?8f:?;7<<6?l164>j516g89=0e2:2j7p}76083>1}:0:21=:k4=91`>41b342=?7=7a:?;23<6?j1v597:1858>283;1=:l4=95;>6>f342mj7?8c:?;=a<6?h1v5hj:1868>283;1=:o4=9df>6>f342mj7?8a:p<2g=83lp15:6:05b?8>0i393m6381d823d=:?891=:o4=62;>41f34=:47?8a:?5b`<6?h16:k;516c893cd28=j708j3;34e>;0<:0:;o5272`952d<5?n=6<9m;<51f?70k273;i4>7c9~w=1d290:>v3748823g=:0<81=:o4=bf3>41d3428;34f>;1nl0:;o526g7952d<5?oh6<9m;<4f7?70j27<8>4>7b9>36d=9>i01;j9:05`?815j3;n1=:k4}r:72a6=91:01;mm:0:3?815=3;<2b=9>k0q~7=a;293~;>810:;k52904952`<50;96<9i;<;2e?70n272<84>7d9>=5c=9>o014;90;6:u291;952`<50;<6<9i;<;27?70n272=o4>7g9>=51=9>o014>i:05f?8?4;393m6s|92494?3|50:j6<9i;<;27g9>=52=9>l014=6:2:b?xu>;j0;6:u291`952`<50;26<9i;<;21?70n272<;4>7g9>=5b=9>o014?>:05f?8?4n393m6s|92794?2|50:h6<9j;<;24?70m272?:4<8`9>=6g=9>i0q~7;c;292~;>:=0:;l52930952g<50836<9m;<;7g?5?i272h>4>7b9>=27=9>k0q~7k2;291~;>:=0:;o52930952e<50836<9n;<;g6?5?i272h>4>7`9~w<2b290nw07>d;34e>;>:m6<9n;<530?70i27<7`9>2cg=9>k01;h>:05b?80b03;41a34=8<7?8e:?:14<6?k1v4;?:1825~;>9m0:;o52934952g<5jn;6<9n;<;7b?70j27<=l4>7c9>35`=9>h01:>;:05a?817k3;o;1=:l4=7g;>41e34<516g8925728=m707:0;1;e>;>=80:;n5rs83`>5<1s43:h7=>d:?5`5<6?j16:nl516a8922028=m709;1lh0:;k5rs853>5<4s439:7?8b:?:35<40h1658?516c8yv?am3:1;v36db823c=:1lk1=:h4=8g5>41a343ni7?8f:?:`<<6?l165h<516g89d662:2j7p}n0583>2}:1mn1=:h4=8ga>41a343n;7?8f:?:ac<6?o165il516g89{ti9k1<7;t=8ff>41a343no7?8f:?:a=<6?o165i6516d89d6c2:2j7p}n1183>2}:1ml1=:h4=8gg>41a343n57?8f:?:`d<6?o165h?516g893;1;e>{ti931<7:t=8g3>41b343n87?8e:?b4g<40h16m=k516a8yvg583:1:v36f9823d=:1o<1=:o4=8d`>41e34k9<7=7a:?be2<6?j16m9;516c8yvgf>3:19v36f9823g=:1o<1=:m4=8d`>41f34kj:7=7a:?be2<6?h1vl<=:18f8?a93;41f34=;57?8a:?464<6?h16:kh516c893`128=j708jd;34e>;1m=0:;l52754952d<5>9n6<9m;<4g=?70j27j>84>7c9~wd43290:=v36f0823g=:1ok1=:o4=bf3>41e34k9?7?8b:?45c<6?k16;<:516`8926>28=i709=1;34f>;1no0:;o526g4952d<5?oo6<9m;<4f0?70j27<8;4>7b9>36c=9>i01;j6:05`?8g5<393m63n24823`=z{0l;6=49{<;e5?56l27=h?4>7c9>2fb=9>h01:<::05a?815l3;?6=4<{<;ee?70j27j894<8`9>e73=9>k0q~ok2;293~;fj90:;k52acg952`<5hhj6<9i;7d9>eg0=9>o01lj::2:b?xufl10;6:u2ac3952`<5hhm6<9i;4>7g9>ed`=9>o01ll8:05f?8gcj393m6s|aeg94?3|5hh96<9i;7g9>ede=9>l01lk>:2:b?xufm=0;6:u2ac1952`<5hi:6<9i;7g9>eg3=9>o01ll6:05f?8gb?393m6s|aef94?2|5hh?6<9j;e`4=9>i0q~oi4;292~;fkj0:;l52abc952g<5hn;6<9m;7b9>f4?=9>k0q~l8a;291~;fkj0:;o52abc952e<5hn;6<9n;<`4e?5?i27i;o4>7`9~wd`1290mw0ol5;34e>;fn?084l5270a952g<5>;:6<9n;<532?70i27<=;4>7`9>2ce=9>k01;h<:05b?80bi3;m;1=:l4=7a`>41e34=9:7?8c:?46`<6?k16mk7516`8yvga03:1=9u2ab7952d<5hin6<9n;7b9>ec1=9>h01:?l:05a?81693;41e34;0:?0:;h5273g952g<5hl36>6n;7`9~wde3290>w0ol5;12`>;0<10:;o52752952d<5?ni6<9m;<501?70k2wxn<650;1x9deb28=i70l>8;1;e>;fn00:;l5rse0g>5<>s4n9j7?8a:?gf`<6?k16hoj516g89a0a28=n70j>3;34e>;ci?0:;h52dcc95=6<5?i=6<9m;41e34imm7?8b:?g44<6?k16h=8516`89a6a28=i70j>8;34f>;c9o0:;o52d34952d<5m986<9m;7d9>`42=9>h01n:<:05f?8be>3;4>734ni97?8c:?``3<40h1vnj<:1822~;c:o0:;n52c52952e<5m<86<9l;7b9>`57=9>i01i>9:05`?8b7n3;41d34n8?7?8c:?g1=<6?j16o9l516a89a7328=j70m;3;34g>;cj?0:;o52c50952d<5j>o6<9l;7c9>ga>=;1k0q~mk4;2950}:l;l1=:k4=b63>41e34n=?7?8e:?g2d<6?l16oko516g89a6628=n70j?6;34a>;c8o0:;h52d0:952c<5m;m6<9j;4>7d9>`0>=9>o01n:m:05a?8b6;3;41e34ni87?8e:?gf0<6?h16oio539c8yvec=3:1=?u2d3d952`<5j>;6<9n;7g9>gcg=9>l01i>>:05e?8b7>3;41a34n9:7?8f:?g76<6?o16h86516d89f2e28=j70j>3;34g>;d<:0:;l52c5f952g<5jnh6>6n;|qg70<72>q6h?h519289adb28=j70jmd;34e>;c>o0:;l52d`4952d<5m9>6>6n;<4`2?70i2wxh?k50;0x9a4a2:;o70j:4;34g>{tkjo1<77`9>f`b=9>k01nml:05a?8ed>3;41f34hm<7?8a:?ab0<6?h16nk=516c89a6f28=i70j:0;34e>;dko0:;n52bgd952g<5kli6<9n;<`e0?70k27o?l4>819>g62=9>h01n=<:05a?8e4:3;41e34i9i7?8b:?`6a<6?l16o?m516g89f7128=n70m>5;34a>;dkl084l52ce3952d<5ji26<9j;|q`g=<72;4=ba5>4>734n??7?8c:?g0g<6?j16h>l516a89a5a28=h70lje;34g>;emo0:;n52bg3952d<5kl;6<9i;<`e1?70n27ij>4>7b9>`11=9>i01i;?:05`?8edn3;41d34hm87?8b:?`71<6?l16o>=516g89f5528=n70m<1;34a>;d;90:;h52c3d952c<5j8n6<9j;n4>7b9>g40=9>i01n?::05`?8ed0393m63k1c823g=:l;31=:l4=e2a>41e34ih57?8c:pgf2=8388w0li6;34g>;en>0:;n52bdf952e<5jih6<9n;4>7c9>`1d=9>h01i=m:05a?8b4n3;41d34hm<7?8c:?ab0<6?k16nk=516`89a2028=i70j?a;34g>;c=90:;o52cbd952c<5klm6<9m;<`ef?70j27ij94>7`9>g62=9>i01n=<:05`?8e4:3;41d34i9i7?8c:?`6a<6?o16o?m516d89f7128=m70m>5;34b>;dk=084l52ce3952e52gy>fc0=9>o01oh8:05f?8dbl3;41b34n?n7?8e:?g7g<6?l16h>h516g89gcb28=i70ljf;34f>;en80:;h52bg2952d<5kl>6<9l;7d9>gf`=91:01nj?:05e?8e6;3;41f34i;h7?8a:?`4`<6?h16o=o516c89f6e28=j70m?7;34e>;d810:;l52c16952g<5j:>6<9n;7`9>fcb=9>k01ohj:05b?8da13;41f34i::7?8a:?`50<6?h16ono539c89a7e28=h70j=9;34g>;c8k0:;n52cgf952e<5m<=6<9l;;ck>0:;l52ced952d<5m=m6<9n;7`9>a51=9>h01im9:05f?8bd<3;1?5o4=d36>41b3tyoji4?:4y>fc1=9>l01nk?:05a?8bd13;7g9>g`6=9>k01im6:05a?8c61393m63j1`823`=z{l3;6=4k{<`bb?70i27oj>4>7`9>g``=9>h01n>j:05`?8b>n3;1=:o4=d;a>41b34nm>7?8e:?gb5<6?l16hhm516`89`g?2:2j70kn9;34a>{tm1l1<7kt=cce>41e34nm?7?8b:?`ac<6?j16o=l516a89a?a28=i70jn3;34g>;ci=0:;n52e8`952e<5ml96<9i;7g9>``e=9>i01ho9:2:b?8cf?3;7g9>g5>=9>i01nh>:05b?8c>j3;41f34nno7?8a:?fe1<40h16il;516g8yvc?l3:14v3mag823`=:lo>1=:o4=bd3>41b34i;97?8c:?fe7<6?h16hk<516c89a`728=i70kn1;1;e>{tlln1<7:t=cce>41a34nni7=>d:?gb6<6?o16il<516g8yvdfm3:1?v3mag805a=:lo?1=:h4=dca>41a3tyn:o4?:ey>fdb=9>k01ik7:05b?8ebj3;41f34n2o7?8a:?f33<6?l16hh9516g89ac228=n70jj1;34f>;b0:084l52e96952c5ez?aea<6?k16hh6516`89fce28=h70m?b;34f>;c1>0:;o52d8`952e<5m3h6<9l;7g9>``0=9>k01ik::05e?8bb93;7b9>``?=9>h01nkm:05e?8e703;<1=:l4=eg4>41d34nn97?8c:?ga1<6?h16hh?516c89`1a2:2j70k70;34a>{tm?21<76t=ccg>41b34nn57?8a:?`af<6?l16o=;516`89`1c28=j70jj7;34e>;cm<0:;o52e6a97=g7>54z?aea<6?o16hh=530f89ac?28=m70k8d;34a>{tjhi1<7=t=ccg>67c34nnm7?8f:?f<3<6?o1vh:9:18g8dfj3;41d34n3j7?8a:?g=6<6?h16h4:516c89`3628=n70jkc;34a>;clh0:;h52de4952d<5l?n6>6n;;dm>0:;n52c1c952e<5m2m6<9m;7b9>a07=9>i01ijl:05e?8bcj3;6>f34o>h7?8e:pa12=83ip1oom:05`?8bcm3;41f34o>=7?8b:?g`f<6?j16hio516a89ab>28=j70jk6;34e>;b=h084l52e4`952c58z?aeg<6?l16hik516c89fc?28=n70m?4;34g>;b=10:;l52dea952g<5mnj6<9m;;clm0:;k52e4:952c53z?aeg<49m16hih516d89`0628=m7p}j2083>a}:jh31=:o4=ef1>41f34in?7?8b:?`4a<6?k16h59516c89a>e28=j70j7c;34e>;b:j0:;h52de3952c<5mim6<9j;a6g=9>o0q~k=0;29a~;ei00:;o52de0952d<5jo86<9l;7c9>`=d=9>i01i6l:05`?8c5k3;41a34nhn7?8c:?f72<40h16i>6516g8yvc6n3:1ov3ma8823f=:lm91=:l4=bg0>41a34i;;7?8b:?`a0<6?h16i?m516`89ab628=h70jlf;34g>;ckl0:;l52db`952g<5l9>6>6n;28=n70jk3;34e>;dm=0:;h52c16952d<5l986<9n;7c9>a64=;1k0q~jlc;290~;ei00:;k52dbf974b<5mn96<9i;2:;o70jk4;34b>;b;j0:;k5rseda>5;c0:0:;o52d96952d<5l:<6<9j;7`9>`f2=9>l01im?:05`?8c6:393m63j12823`=z{mlj6=4l{<`b3?70k27ij<4>7g9>`f>=9>h01nji:05e?8eb93;41d34nh?7?8a:?gg5<6?h16i<>539c89`7628=n7p}kf883>=}:jh=1=:k4=cd3>41b34nh47?8a:?`a5<6?l16i=k516c89ae128=j70jl4;34f>;b8m084l5rsea2>5<3s4hj;7?8f:?gg7<49m16hn9516d89`6b28=n7p}ma783>6}:jh=1?41a34o:;7?8f:p`77=83=p1nml:05e?8ed>3;41d34n>=7?8a:?g64<40h1vnmm:1818edk39:h63lce823f=z{ji>6=4={7b9~wa26290=w0j;3;34e>;c8o0:4=52d`c95=6<5mkn6<9i;;c`0e=91:01i?m:05e?8b513;41a3tyo?44?:7y>`15=91:01i:m:0:3?8b4j3;3<63k3g82<5=:l==1=5>4=e1b>67c3tyo8?4?:3y>`15=;8n01i:;:05`?xuc<00;6;u2d5`952g<5m986<6?;7`9>`g4=9>o01i:6:2:b?xuch6<9l;|qg72<721q6h>l516c89a0f282;70jnc;3;4>;cil0:;h52dc3952c<5mh<6<9j;;c;j0:;n5rse1g>5<1s4n8j7?8a:?g44<60916hll519289aga28=h70jm2;34b>;c;m084l5rse1f>5<5s4n8j7=>d:?g05<6?j1vn8>:1808e383;819>`gd=;8n01n:<:0:3?xudn<0;6?u2c52974b<5jno6<9l;|qgb=<721q6nhh516d89ae528=j70mj0;34g>;ck?0:;o52db6952g<5mhm6<9n;7d9~wa02290;cij0:;n52dc3952g<5mh<6<9l;`30=9>k0q~j92;296~;c>:08=i52d76952e52z?g2d<49m16h;l516a8yveak3:1:v3lf`82<5=:lhh1=:m4=e4f>41b34ni>7?8c:?`bf<40h16okj516c8yvea13:1>v3lf`805a=:koh1=:m4}rf34?6=:r7o<<4<1e9>`54=9>i0q~j?8;291~;c8?0:4=52d`c952e<5mh96<9n;7`9~wa622909w0j?6;12`>;c8>0:;n5rse2f>5<5s4n;j7=>d:?g55<6?j1vi?n:18;8b603;3<63ka8823f=:l?o1=:l4=e`2>41e34ni;7?8b:?gf6<4i116h7}:l821?41d3tyo884?:9y>`4`=91:01io6:0:3?8b3?3;41f34ni;7?8a:?g00<40h1vi?j:1818b6n39:h63k21823f=z{m836=48{7b9>`g7=9>i01il8:05e?8be<3;;4<1e9>`71=9>i0q~j<2;296~;c;:08=i52d26952em7>56z?g1=<60916hom516`89ad528=i70j:c;34e>;em90:;n52d4c97=g;7>52z?g1=<49m16h87516a8yvc?k3:14v3ked823d=:ko:1=:m4=b21>41d34nm>7?8b:?gb5<6?h16hhl516c89`?a2:2j70kn0;34a>{tm1h1<7mt=egf>41e34inj7?8e:?ab`<6?j16ok?516`89ag728=j70jn1;34e>;ci;08m552e8`952g<5ml:6<9i;7d9>a7c9>`d7=9>h01io<:05a?8bf<3;41e34nnn7?8c:?f==<40h1vh88:18;8bb;3;41e34nn97?8a:?ga5<6?h16i:o539c89`1e28=n7p}j6783>f}:ll91=:l4=bga>41b34hmi7?8b:?`aa<6?k16h46516c89a?>28=j70j6a;1b<>;b??0:;l52dd4952`<5mo?6<9i;;dmk0:;l52bgc952d<5joo6<9l;7c9>`h01i7l:05a?8c0<3;1=:l4=eg3>41d34o41f34no97?8a:?f10<40h16i88516g8yvc393:1ov3kd9823g=:kl=1=:k4=cdg>41d34in57?8b:?g=5<6?h16h4?516c89a?52:k370k:1;34e>;clk0:;k52de;952`<5mn>6<9j;50;fx9ab?28=h70mj7;34e>;en00:;n52cd;952e<5m3;6<9m;4>7c9>`<2=9>h01h:i:05b?8bcj3;6>f3tyn=i4?:9y>`fb=9>k01nk;:05`?8e793;41f34o8<7=7a:?f74<6?l1vh?l:18`8bdl3;41e34n347?8a:?g<<<6?h16h5o53`:89`4d28=j70jk0;34b>;ckl0:;k52dbc952c<5l8o6>6n;|qf5g<72mq6hnj516a89fc428=j70li9;34f>;dm<0:;n52d9:952d<5m226<9m;7c9>a7g=9>k01ij?:05a?8bdm3;7c9>ga`=9>o01nk>:05a?8b?83;41d34nh97?8f:?gg6<6?o16hoh516g89f4c28=i70m>6;34f>;b81084l5rsed5>57?8c:?``c<6?h16oh?516a89a>728=i70j71;34f>;c0:0:;n52d96952e<5l:>6<9n;4>7c9>`g`=9>i01n7`9>f`>=9>k01ok8:05b?8db>3;1=:o4=cg0>41f34hn>7?8a:?aa4<6?h16nhm516c89gce28=j70jmd;12`>;em90:;l5rsb;6>5<2s4hnm7?8b:?`0=<6?k16nn9516`89fg62:2j70mn2;34e>{tk?91<7jt=cgb>41d34hn57?8c:?aa=<6?j16nh9516a89gc128=h70lj5;34g>;em=0:;n52bd1952e<5ko96<9l;<`f5?70k27iin4>7b9>f`d=9>i01ill:23g?xud><0;68u2bdc952c<5j>>6<9m;7`9>f<>=9>k0q~lkf;29`~;emh0:;k52bd;952`<5ko36<9i;<`f3?70n27ii;4>7g9>f`3=9>l01ok;:05e?8db;3;41a34hnn7?8f:?aa5<49m1v9m::1818dbi39:h63;c4823<=z{j336=4:{<`f=?70j27h854>7`9>ffg=9>h01no<:2:b?8ef03;?k;<6`b?7012wxo4750;7x9gc?28=i70m;9;34f>;ekk0:;o52c`;97=g<5jkj6<9n;|q7`5<72;q6nh6530f891b728=27p}l9`83>0}:jl=1=:l4=b6:>41f34hho7?8b:?`eg<40h16olm516c8yv2c93:1>v3me6805a=:7c9>g1?=9>i01omk:05a?8efl393m63lad823d=z{=n96=4={<`f2?56l27?h?4>789~wf?d290>w0lj5;34f>;d<00:;h52bbg952d<5jkm6>6n;{tk0n1<7;t=cg7>41e34i?47?8e:?agc<6?k16oo?539c89fd528=j7p};d583>7}:jl>1?41>3tyh5h4?:4y>f`5=9>h01n:7:05`?8dc83;1=:o4}r6g1?6=:r7ii>4<1e9>0a3=9>30q~m6f;291~;em;0:;o52c5:95=6<5kn:6<9m;7`9~w1b12909w0lj2;12`>;3l?0:;45rsbc3>5<2s4hn=7?8b:?`0<<6?o16ni<516`89fd02:2j70mm8;34e>{t67c34>o;7?89:pg<0=83?p1okl:05a?8e313;3<63mc9823g=:kh>1?5o4=bc6>41f3ty?o;4?:3y>f`e=;8n019m9:05:?xud1>0;68u2bd`952d<5j>36<9i;<``=?70j27hm;4<8`9>gd1=9>k0q~:l7;296~;emk08=i524b5952?54z?g3`<49m16hn9516g89a1a282;70k?9;34g>{tl1?1<7:t=e:5>67c34no>7?8e:?g<2<60916i?k516a8yvb?l3:18v3k8d805a=:lmn1=:k4=e:e>4>734o>?7?8c:p`<3=83>p1i79:23g?8bb03;21=:m4}rf:`?6=`c5=9>o01i7i:0:3?8c>l3;?k;7d9~wa75290jw0jme;34g>;cjm0:;n5262595=6<5m;86<9m;7g9>210=9>l01;m9:05`?8b6:393m63k10823d=z{lh86=4={4>789~wg>7290jw0jnc;12`>;0>j6<9n;<57b?70j27<8i4>7c9>303=9>h01:;<:05a?816j3;7b9>31g=9>h01::8:05a?81303;41e34;09k0:;o52757952`5fz?ged<49m16;8<516`8922f28=h709;7;34g>;0<10:;h5272d952e<5>>;6<9j;<4ge?70k27=ho4>7d9>31`=9>k01::k:05e?812=3;41b3tyi;i4?:by>`d?=;8n01::l:05a?813i3;41e34=?j7?8c:?40a<6?j16;8;516d8923428=j709>b;34a>;0<<0:;o5rsc5`>5d:?40f<6?j16;9o516d8922328=h709;1l>0:;n5275d952c<5>>o6<9j;<561?70i27<9>4>7g9>34d=9>l01::::05b?xuc:h0;65u2d`7952g<5m:j6<9n;7b9>`1`=9>h01i6=49{7g9>`d1=9>o01i=9:05e?8b2=393m63k5g823d=z{m?n6=4<5z?ge0<6?l16hl9516`89f3e28=i70j:c;34g>;c?o0:;n52d95952e<5m2m6<9l;7b9>`d6=9>l01i77:05e?8b>83;4>734nj87?70:?g=g<60916h4m519289a?4282;70j64;3;4>;c0k0:4=52d9a95=6<5m286<6?;7d9>a22=9>o01h:i:05f?8c5i3;41a343?j7?8f:?b66<6?o16mk9516g89gc7282;70j:e;1;e>;0i>0:;k5286f952`<50?:6<9i;7g9>g=5=9>i01n6>:05`?8e><3;41d34i3o7?8c:?`128=h70m74;34g>;d?l0:;n5rse6g>5<0s4nj97?8f:?ge2<6?h16h>o516c89a2a28=j70j<6;34a>;em90:;k52d5f97=g53z?ge0<49m16ik>516;893g>28=27p}k4783>7}:l==1?41d3tyo<44?:5y>`5g=;8n01i?m:05f?8b513;>i6=48{<57g?56l27<8:4>7`9>31>=91:01:=i:05b?81383;3<639d`823d=:>mh1=5>4}r565?6=312=9>k01:=l:05b?80c?3;d:?45a<6?k16;<<516`8926028=i709>7;34f>;1nm0:;o526g6952d<5?oi6<9m;<4f6?70j27=ok4>7b9>2a4=91:01;mk:0:3?81303;mh1=:o4=607>41e34=9:7?8a:?46`<6?j16;>;516`8yv3183:14v3:6c823d=:=?i1=:o4=44b>41f34?=57?8f:?6<=<6?h1695o516c890>d28=j70;91;1;e>{t=>l1<7;t=44:>41e34?h<7?8c:?6<=<6?k1695o516`8901a2:2j7p}:6283><}:=?n1=:o4=447>67c34?=i7?8a:?635<6?h169:<516c8901328=j70;86;34e>;2?10:;l5256c952g52z?62a<6?j16:=7530f8yv07i3:15v3:6e823c=:>9h1?41d34?<<7?8c:?637<6?j169::516a8901128=h70;88;34g>;2?h0:;n5rs4a2>5<0s4?h<7?8b:?6g7<6?k169n?539c8935c28=j708;6;34g>;1;h0:;h52626952e56z?6g7<49m16:>9516g89322282;708;b;34a>;153z?50c<49m1698;516a8903028=h7p}:c`83>a}:=jh1?41a34?oj7?8f:?6`a<6?o169il516d890b>28=m70;k7;34b>;2l<0:;k525e1952`<5oi4>7g9>1f>=9>l0q~kl1;291~;1=908=i52d0195=6<5m9=6<9n;7g9~w0e?290ow0;l9;12`>;2m80:;h525ed952c<5h44>7d9>1a1=9>o018j::05f?83c;3;41b34?h47?8e:p26c=839p1;=i:23g?803<3;7g9>2g6=9>h0q~=77;297~;40>08=i5239:95=6<5?h;6<9n;|qgea<72;q6hlk530f89ad728=h7p}k6e83>6}:lhl1?4>734n<<7?8e:p2f5=8392w0jm0;12`>;1ko0:;l526e0952g<5?io6<9n;<57=?70i27<8<4>7`9>2ae=9>k01:<;:05b?815?3;4=63:>41b34=:m7?8c:?45f<6?j16;;08o0:;n52703952e<5>;86<9j;<520?70k27<<>4>7d9>352=9>i01:>9:05`?81703;41d34=::7?8c:?45=<6?l16;??516a893`>28=n708ia;34g>;1nj0:;n526gg952c<5?lm6<9l;<4e4?70m27=j<4>7b9>2c5=9>i01;h::05f?80a>3;l21=:m4=7gb>41d34;1m:0:;h526d6952e<5>996<9l;<51f?70n27819>36?=9>i01:=::05e?814>3;?k;{tl?i1<7jt=e53>67c34n<87?8e:?g34<6?l16h:<516g89a1428=n70j85;34a>;c??0:;h52d65952c<5m=36<9j;7d9>`2d=9>o01i9l:05f?xu6n;0;6?u2d06974b<5m;86<9i;|qg56<72;q6h<=530f89a4d28=h7p}=1e83>7}:lo>1?41d3tyhih4?:4y>`c5=91:01nki:23g?8ba=3;3<63jac823`=:mh81=:h4}rg:2?6=:r7oj>4<1e9>ad?=9>l0q~<55z?ga=<60916ohl530f89acf282;70k76;34a>;b?m0:;k5rsd52>5<5s4nn47=>d:?f<1<6?o1v?;?:1818bcm39:h63kde823f=z{jo=6=4:{`a`=91:01h8>:05f?8c203;?k;8<50;0x9ab42:;o70jk2;34g>{tkl81<7;t=ef1>4>734in?7=>d:?g`1<60916i>m516g89`5428=m7p}j2683>7}:lm81?41a3ty:j>4?:3y>`f>=;8n01im8:05`?xudll0;68u2db595=6<5jnm6>?k;7d9>a5c=9>l0q~k?2;296~;ck>08=i52e07952`<7>52z?g15<49m16h8?516d8yvc>:3:19v3lf1823d=:k8>1=:m4=ed6>41e34ojh7=7a:?fe`<6?l1vh7>:1868ea83;41f34ojm7=7a:p5c2=832p1nh?:23g?8ebn3;3<63k9g823`=:m0n1=:o4=d;:>41a34nm>7?70:?gb5<60916hhm516g8yvc1l3:19v3leb823d=:k8>1=:l4=egb>41e34o347=7a:?f<<<6?l1vh8l:1868ebk3;41f34o397=7a:p5c>=832p1nkl:23g?8ebj3;3<63k96823`=:m>21=:o4=d57>41a34nn;7?70:?ga0<60916hh?516g8yvc303:19v3le9823d=:k891=:m4=efe>41e34o=?7=7a:?f21<6?l1vh:8:1868eb03;41f34o=<7=7a:p5cb=832p1nk7:23g?8eb?3;3<63k8g823`=:m<91=:o4=d6e>41a34noo7?70:?g`d<60916hi8516g8yvc5;3:19v3le5823d=:k891=:l4=ef7>41e34o8i7=7a:?f7c<6?l1vh<=:1868eb<3;1=:o4=d1`>41f34o8n7=7a:p65c=832p1nk;:23g?8eb;3;3<63k86823`=:m;o1=:o4=d0b>41a34no=7?70:?ggc<60916hnl516g8yv46m3:14v3le1805a=:kml1=5>4=e5e>41b34o;57?8a:?f40<6?o16hn8519289ae3282;70jl0;34a>{tkjl1<767c34io=7?8f:pga6=838p1nj?:23g?8ec93;?k;{tk9l1<767c34i8?7?70:pg46=838p1n?>:23g?8e4;3;?k;{tk931<767c34i8=7?70:pg5g=838p1n>m:23g?8e493;?k;{tk991<767c34i9j7?70:pg52=838p1n>::23g?8e5n3;?k;{tjoi1<767c34hmj7?8f:pfcb=838p1ohj:23g?8dan3;?k;2:;o70lib;34b>{tjo31<767c34hmn7?8e:pg4`=838p1ohm:23g?8e5k3;3<6s|23;94?4|5mk<6>?k;{t::>1<7:t=e`1>67c34ni=7?70:?`04<6?k16o9<516g8yvbe03:18v3kb8805a=:lkh1=:o4=b62>41d34i?>7?8f:p`g?=83>p1iln:23g?8bej3;4}ra70?6=nr7h884<1e9>`0e=9>o01i9;:05`?8b093;91=:m4=e56>41d34n<:7?8c:?g32<6?j16h:6516a89a1>28=h70j8a;34g>;c?k0:;n52d6a952e<5m?:6<9j;|q`00<72oq6o98530f89a3d28=m70j84;34f>;c?80:;o52d60952d<5m=86<9m;7c9>`21=9>h01i97:05a?8b013;h1=:l4=e5`>41e34n>=7?8c:pg11=83>p1n:7:23g?8e2j3;g0d=9>i01i?>:05`?8b2n3;s4=:h7?8a:?457<6?h16;=9516c8927028=j708id;34e>;1n=0:;l526d`952g<5?o96<9n;<4`b?7?827=h=4>7`9>2fd=9>k01:<::05f?815?3;41d34=;47?8c:?45=<6?j16:kk516a893`228=h708jc;34g>;1m:0:;n5273`952g<5>9;6<9n;<50c;12`>{t>ml1<77t=63g>41b34=:>7?8e:?442<6?l16;<9516g893`c28=n708i4;34a>;1mk0:;h526d0952c<5>;i6>?k;|q40`<7289p1:?k:05e?816:3;41a34;1kk0:;o52736952c<5>8o6<9m;<57b?56l277`9>37d=91:01:=?:05a?814=3;;26=4={<52`?7?827<=l4<1e9~w27d2909w09>d;12`>;0:90:;o5rs677>5<61r7<>=4>7`9>343=9>k01:>n:05b?81513;o=1=:o4=7gf>41f34;0:>0:;o5273d952g<5>?>6>?k;<52=?70k27<

7b9>355=9>i01:>m:05`?80a13;l=1=:m4=7ff>41d34=947?8a:?472<4i11v:?j:18181583;0z?465<6?l16;<;516g8926f28=n709=9;34a>;0890:;h526g5952c<5?on6<9j;<4f1?70m27=ok4>7d9>2a4=9>o01;mk:05f?815<3;67c34=887=n8:p34b=838p1:8;6<6?;<52=?56l2wx:n>50;0x92472:;o70?>e;34=>{t?8:1<741d34=:=7=>d:p35c=838p1:?=:0:3?817n39:h6s|70394?4|5>;96>?k;<521?70j2wx;<=50;0x927228=h709>4;12`>{t?881<741a34=:?7=>d:p35b=838p1:?::0:3?817m39:h6s|6cd94?4|5>;>6>?k;<32f?7012wx;=;50;0x926028=h709?6;12`>{t?991<74>734=;87=>d:p350=838p1:>8:23g?817i3;:j6<9l;<53=?56l2wx;=950;0x926f28=m709?8;12`>{t?981<74>734=;?7=>d:p2gc=838p1:>n:23g?87603;<56s|70494?4|5>;<6<9l;<522?56l2wx;=l50;0x9270282;709?c;12`>{t?8=1<767c34=957?8b:p374=838p1:<6:05`?815939:h6s|73394?4|5>826<9i;<52282;709?b;12`>{t>k91<767c34l<6<96;|q5bg<72;q6:kj516a893`d2:;o7p}9f883>7}:>on1=5>4=7db>67c3ty=jn4?:3y>2cb=;8n01:>?:05a?xu1nl0;6?u2712952e<5?lm6>?k;|q5ba<72;q6;=>516d893`b2:;o7p}9f983>7}:?9:1=5>4=7d:>67c3ty=ni4?:3y>356=;8n01?k;|q5b5<72;q6:k:5192893`62:;o7p}9f283>7}:>o>1?41e3ty=j84?:3y>2c1=9>i01;h9:23g?xu1n=0;6?u26g5952`<5?l>6>?k;|q5ac<72;q6:k95192893`72:;o7p}9bb83>7}:>o=1?41>3ty=i44?:3y>2`d=9>i01;kn:23g?xu1m>0;6?u26d`95=6<5?o36>?k;|q5ad<72;q6:hl530f893cb28=i7p}9eb83>7}:>lo1=:m4=7gg>67c3ty=io4?:3y>2`c=9>l01;kl:23g?xu1m?0;6?u26dg95=6<5?o<6>?k;|q5fg<72;q6:hk530f8946a28=27p}9e183>7}:>l81=:m4=7g2>67c3ty=hh4?:3y>2`4=91:01;ji:23g?xu1m80;6?u26d0974b<5?o>6<9m;|q5a6<72;q6:h;516a893c32:;o7p}9e383>7}:>l?1=:h4=7g0>67c3ty=hi4?:3y>2`3=91:01;jj:23g?xu1jh0;6?u26d7974b<58:h6<96;|q5g=<72:q6:nh530f893b428=n708le;34a>{t>jl1<767c34?k;<4g7?70k2wx:o650;0x93b42:;o70??6;34=>{t>jk1<767c34?k;<4`a?70k2wx:o950;0x93eb2:;o70??3;34=>{t?=81<741b34=??7=>d:p315=838p1::;:23g?81313;><6<9j;<572?56l2wx;9850;0x92202:;o709;9;34a>{t?==1<767c34=?57?8c:p2a1=83>p1::6:05a?81393;556=9>30q~952z?47f<49m16;9?516d8yv14l3:1>v383g823`=:?:o1?317=9>o0q~952z?404<49m16ji4>789~w3b22909w08k7;34a>;1l?08=i5rs7f5>5<5s4d:?5`f<6?o1v;j7:18180ci3;7d9~w3bf2909w08kb;12`>;1lj0:;n5rs7`:>5<5s4d:?24<<6?01v:<<:181815<3;3<63822805a=z{>8?6=4={<510?56l27<>:4>7d9~w2422909w09=5;12`>;0:10:;k5rs316>5<5s4?=i7?8f:?62c<49m1v?=9:18183083;;>4<1e9~w75?2909w0;84;34b>;2?<08=i5rs31:>5<5s4?<:7?8f:?632<49m1v?=n:18183003;;o4<1e9~w3272909w08;0;12`>;1<;0:;k5rs762>5<5s4d:?507<6?l1v;=k:181803:3;3<6393e805a=z{?>96=4={<476?56l27=8:4>819~w3242909w08;3;12`>;1<=0:;o5rs767>5<5s4d:?502<6?o1v;:::181803=39:h63946823`=z{?>=6=4={<473?70k27=8;4<1e9~w32f2909w08;a;12`>;15<5s4d:?50`<6091v;:l:181803k39:h6394d823c=z{?>o6=4={<47`?56l27=8h4>7d9~w75c2909w08?7;12`>;18?0:;k5rs404>5<5s4?947?89:?ff=<2:>1v8?l:181836l3;<563jb5865f=z{<;o6=4={<72`?42l27nn:4:1e9~w1ba2908w0:j3;34=>;3lo08o;5Q4ed8yv2b;3:1>v3;e2811a=:?0>1=:m4}r6g`?6=;r7?i?4>789>0ab=;j<0R9jk;|q7a7<72;q68h<524f892>b28=h7p};db83>6}:6e13W>oo6s|4d394?4|5=o:6?;k;<5;X3lk1v9k?:18182b838>h63883823f=z{=o36=4<{<6fg?70127?i54no7>52z?7af<5=m164;o516a8yv2b>3:1?v3;ec823<=:2m3;2T?i95rs5g:>5<5s4>n57<:d:?;1=<6?j1v9h>:18082a=3;<563;f080g3=Ynj7>53z?7b1<6?0168hh53b48Z1ca3ty?j94?:3y>0c2=:u24g1952?<5=on6>m9;_6fa>{t73c3438>7?8c:p0`b=839p19h=:05:?82bl39h:6P;ee9~w1`52909w0:i2;06`>;>:j0:;n5rs5db>5<4s4>mi7?89:?7bd<4k?1U8ko4}r6ea?6=:r7?jh4=5e9>e44=9>i0q~:i8;297~;3nm0:;4524g:97f07}:8j4=`2`>41d3ty?j:4?:2y>0ce=9>3019h8:2a5?[2a?2wx8km50;0x91`d2;?o70o?6;34g>{t41>34>m:7=l6:\7b3=z{=li6=4={<6ef?42l27j<=4>7b9~w0642908w0;?7;34=>;28:08o;5Q5118yv37?3:1>v3:06811a=:il<1=:m4}r735?6=;r7><;4>789>157=;j<0R8>>;|q643<72;q69=8524f89dc728=h7p}:0183>6}:=9?1=:74=423>6e13W?;<6s|51794?4|5<:>6?;k;X3no1v8>;:181837<38>h63nd5823f=z{?kj6=4<{<4bf?70127=ml453z?ffd<6?016io753b48Z`d>3ty><54?:2y>15?=9>3018>7:2a5?[3702wx9=750;0x906>2;?o7096d;34f>{t=9k1<7=t=42a>41>34?;m7=l6:\64d=z{<:i6=4={<73f?42l273;>4>7c9~w06d2908w0;?d;34=>;28j08o;5Q51a8yv37l3:1>v3:0e811a=:1==1=:l4}r73a?6=;r7>789>15c=;j<0R8>j;|q64c<72;q69=h524f89d7e28=i7p}:1183>6}:=8;1=:74=433>6e13W?:<6s|50394?4|5<;:6?;k;X6jk1v>om:18085fk3;<56341>34n=6>m9;_f5?xuc?3:1>v3k7;06`>;2?j0n<6s|ec83>1}:9921=:74=02:>73c34oi6>9>;_ga?xu5;l0;6?u211:960b<5?k86<9n;|q5g0<728;p1<>6:015?877>3;8:63>028273=:99:1=>84=gf9560<5ok1=>84=007>45134;9=7?<6:?25`<6;?16=5;302>;69;0:?;5211d9560<58:h6<=9;45134d:pa<<72=q6==;516;894612;?o70k6:252?[c>3tyn47>54z?247<6?016===524f89`>=;>;0Rh64}rg4>5<3s4lm6<96;<334?42l27n;7=81:\f3>{tm?0;69u2fb823<=:nm099i52e78034=Ym?1vh;50;6x9c?=9>301ko524f89`3=;>;0Rh;4}r4a0?6=:r7mm7?89:?472<5=m1vk:50;6x944428=270?=4;06`>;a<39<=6Pi4:p2f4=838p1<<;:05:?815n39:h6s|f283>1}:9;:1=:74=002>73c34l86>9>;_d0?xu1k80;6?u2133952?<5>8<6>?k;|qe6?6=789>54c=:52z?25a<5=m16:4m516c8yv`6290?w0?>a;34=>;69k099i52f08034=Yn81v?:8:181876i38>h6399c82<5=z{o:1<7:t=034>41>34;:47<:d:?e4?5092Tm<6s|eg83>1}:98>1=:74=036>73c34om6>9>;_ge?xubm3:18v3>10823<=:9881>8j4=dg97270g811a=:mm08;<5Qee9~w`e=83>p1<>m:05:?877k38>h63jc;145>Xbk2wxi94?:5y>b3<6?016j:4=5e9>a1<4?81Ui95rsdg1>5<3s4on87?89:?fa0<5=m16ih<53638Z`c53ty>?54?:2y>a`3=9:<018=7:2:b?83413;52z?fa=<5=m169>l516a8yv34i3:1?v3je88273=:=:k1?5o4=41a>41e3ty?no4?:2y>0fc=:X3j01v9l7:18082dk38>h63;b980fa=Y6}:8j4=5`5>6dc3W>i:6s|4c794?5|5=i26?;k;<6a1?5el2T?n85rs5`7>5<4s4>h47<:d:?7f1<4jm1U8o:4}r6a7?6=;r7?o:4=5e9>0g5=;kn0R9l<;|q7f7<72:q68n8524f891d52:ho7S:m2:p0f2=839p19j8:37g?82d<39ih6P;c59~w1e42908w0:k6;06`>;3k:08ni5Q4b18yv2d:3:1?v3;d4811a=:u24e6960b<5=i:6>lk;_6`5>{t73c34>h<7=md:\7g5=z{=hm6=4<{<6g6?42l27?nk4ii7>53z?7`4<5=m168ok53cf8Z1db3ty?ni4?:2y>0a6=:X3jj1v9l>:18082d=38>h63;b080fa=Y6}:mo:1>8j4=dge>6dc3Wonj6s|6`:94?5|5?k26?;k;<4b5<4s4oi?7<:d:?ff7<4jm1Uio<4}r3a5g>=;kn0R6}:=;<1>8j4=406>6dc3W?996s|52;94?4|5<936h>4=41:>67c3ty>4;4?:3y>1=3=m916958530f8yv3?:3:1?v3:8480o1=:o4=4:3>41d3ty>494?:3y>1=5=m91695:530f8yv3?93:18v3:8280o1=:m4=4:3>41f34?3:7?8b:p2g6=839p1;oj:d2893d72:;o708nf;g3?xu2=>0;6?u25449a5=:=<=1?994j0:?610<49m1v8=m:181834i3o;70;{t?h?1<7`6<5>k>6>?k;|q4g2<72;q6;n85e19>3f1=;8n0q~968;296~;01>0n<63899805a=z{>3<6=4={<5:3?5?i273>o4>819~w2g42909w09n2;g3?81f;39:h6s|86`94?4|51=j6h>4=95a>67c3ty35i4?:3y><1m3:1>v376e8f4>;?>l08=i5rs94g>5<5s42=h7=7a:?;bc<6091v596:1818>003o;70689;12`>{t1=l1<7`6<50>m6>?k;|q:34<72;q65:>5e19>=27=;8n0q~7;2;296~;><80n<63643805a=z{0>:6=4={<;75?5?i272h>4>819~w<2c2909w07;c;g3?8?3l39:h6s|a3194?4|5h896h>4=`00>67c3tyj884?:3y>e12=m916m9;530f8yvg6>3:1>v3n148f4>;f9?08=i5rs`36>5<5s4k:97=7a:?be2<6091vl<>:1818g583o;70o=1;12`>{tio=1<7`6<5hl<6>?k;|qa5<<72;q6n<65e19>f4?=;8n0q~oja;296~;fm00n<63ne`805a=z{ho26=4={819~wd`22909w0oi4;g3?8ga=39:h6s|d2494?4|5m9>6h>4=e15>67c3ty>;h4?:3y>12b=m9169:k530f8yv30l3:1>v3:7e80;k4j0:?6<5<49m1v8:;:181833;3o;70;;4;12`>{t0;h1<7`6<518i6>?k;|q;bc<72;q64kk5e19>l;0n<636d2805a=z{hk<6=4={d:pf2d=838p1o9n:d289g1e2:;o7p}l5`83>a}:k41a34ij97?8f:?`f=<6?o16oo8516d89fd328=m70mm2;34b>;dj90:;k52c`g952`<5jkh6<9i;7g9>gd4=9>l0q~j:b;296~;c=j08=i52d4f952e52z?g0c<49m16h8?51928yv4293:1?v3le0805a=:l>l1=:h4=d2:>41e3tyh9n4?:9y>`2`=;8n01h?8:0:3?8c7m3;3<63j12823f=:m8;1=:m4=d2`>41d34o:m7?8c:?f50<6?j1v?;<:1808eb=39:h63k86823c=:m;o1=:l4}ra6`?6=0r7o4:4<1e9>a6e=91:01h=<:0:3?8c403;41d34o8m7?8c:p602=839p1nk6:23g?8b?n3;819>a0>=91:01h;k:05`?8c2j3;1=:m4=d7e>41d3ty9984?:2y>g`b=;8n01i78:05e?8c003;|5m3<6>?k;819>a=4=9>i01h6?:05`?8c0j3;1=:m4}r062?6=;r7hj<4<1e9>`<`=9>l01h7k:05a?xud>90;65u2d8d974b<5lki6<6?;7b9>ad3=9>i01ho?:05`?8cfm3;7b9~w`642909w0jl9;12`>;b9h0:;k5rsd0;>5<5s4no87=>d:?f7c<6?o1vh:k:1818bcn39:h63j65823c=z{l=96=4={7g9~w`?02909w0ji5;12`>;bil0:;k5rsb72>5<5s4n<87=>d:?`<6<6091vn:j:1818b0939:h63l8782<5=z{j>m6=4={819~wf372909w0j83;12`>;d?l0:4=5rsb71>5<5s4n<97=>d:?`<4<6091vn;<:1818b0>39:h63l9582<5=z{j??6=4={819~wf322909w0j88;12`>;d190:4=5rsb75>5<5s4n<57=>d:?`<`<6091vn;8:1818b0i39:h63l8b82<5=z{j?36=4={819~wf3>2909w0j8c;12`>;d010:4=5rse6f>5<5s4n>=7=>d:?g17<6?j1vhom:1818cfj39:h63jab823f=z{lk96=4={4>7b9~w`>12909w0k76;12`>;b0>0:;n5rsd5g>5<5s4od:?f3`<6?j1vh8>:1818c1939:h63j63823f=z{l?36=4={7b9~w`5d2909w0k;b;m0:;n5rsd10>5<5s4o8?7=>d:?f71<6?j1vh?8:1818c6?39:h63j19823f=z{l:n6=4={7b9~w7302909w0=79;12`>;1j90:4=5rs0d5>5<5s4ni:7=>d:?`04<6?o1v7b9~w4`02909w0jm7;12`>;d<;0:;n5rsbd0>5<5s4i?>7=>d:?``<<6?j1v7d9~w4`e2909w0k6d;3;4>;ci;099i5rsd;g>5<5s4o2h7=>d:?f=`<6?j1v039:h63j79823c=z{8ln6=4={7d9~w4`a2909w0k88;3;4>;c1h099i5rsd5;>5<5s4o<47=>d:?f3<<6?j1v?>?:1818b>839:h63j52823c=z{;::6=4={4>7d9~w7652909w0k:3;3;4>;c1;099i5rsd70>5<5s4o>?7=>d:?f11<6?j1v?><:1818b?039:h63j2d823c=z{;:?6=4={h4>7d9~w7622909w0k=e;3;4>;c0h099i5rsd0f>5<5s4o9i7=>d:?f6c<6?j1v?>9:1818b?839:h63j08823c=z{;:<6=4={7d9~w76?2909w0k?9;3;4>;c0;099i5rsd2:>5<5s4o;57=>d:?f4d<6?j1v;oi:18:=~;?:00:;l526`d97=g<5>2?6<9n;<5;6?70i27<5=4>7`9>3=c=9>k01:6n:05b?81?03;1=:o4=97b>41f342>47?8a:?;23<6?h164;:516c89=0728=j706:e;34e>;?>j0:;l5287c952g<508n6<9n;<;1g?70i272?l4>7`9>=6>=9>k014=;:05b?8?4:3;41f34k;<7?8a:?b4`<6?h16m=m516c89d6?28=j70o?6;34e>;f9=0:;l52a00952g<5hn=6<9n;7`9>e`6=9>k01ljl:05b?8gci3;41f34=2j7?8a:?4=a<6?h164:9516c89=1228=j70683;34e>;><6<9n;7`9>e4d=9>k01lh<:05b?8ga93;1=:o4=e47>41f34n=n7?8a:?`bg<6?h16h=<516c89a6028=j70j>0;34e>;c900:;l52d32952g<5m8<6<9n;7`9>gfb=9>k01nm8:05b?8ef?3;41e34ii87?8b:?`f7<6?k16oo>516`89fgb28=i70mnc;34f>;dih0:;o52c`:952d<5jk96<9m;7`9>a06=9>k01h3;41f34n>h7?8a:?f=`<6?h16i:7516c89`3328=j70k=f;34e>;b8h0:;l52d5:952g<5m?96<9n;7`9>`6e=9>k01i:;:05b?8ecl3;41f34o847?8a:?f56<6?h16il9516c89`3c28=j70k70;34e>;b?k0:;l52e24952g<5l9:6<9n;7`9>ad3=9>k01ho?:05b?8c2j3;41f34o357?8a:?f<1<6?h16i;:516c89`3a28=j70k;b;h0:;l52e0c952g<5l;>6<9n;4>7`9>a=1=9>k01h9j:05b?8c1:3;41f34o:47?8a:?f4c<6?h16o5=516g89f>628=n70m64;34a>;d1;0:;h52c82952c<5j2n6<9j;7d9>g=>=9>o01n69:05f?8e?<3;1z?;6<<49m16h8k5e19>`74=91:01i4=`06>4>734km57?70:?g5g<60916h?7519289a6e282;70j>1;3;4>;c=o0:4=52cgf95=6<5m<=6<6?;=750;0x9ad428=j70jm4;12`>{t:9k1<741e34ni97=>d:p65d=838p1io=:05b?8bf;39:h6s|21a94?4|5mk96<9m;=j50;0x9a?f28=j70j6b;12`>{t:9l1<741e34n2o7=>d:p646=838p1i7=:05b?8b>;39:h6s|20394?4|5m396<9m;<<50;0x9a>f28=j70j7b;12`>{t:891<741e34n3o7=>d:p642=838p1i6=:05b?8b?;39:h6s|20794?4|5m296<9m;<850;0x9`?e2:;o70k69;3;4>{tm031<767c34o2m7?8c:p641=838p1h99:23g?8c0<3;3<6s|e6694?4|5l=?6>?k;<650;0x9`362:;o70k;f;3;4>{tm=l1<767c34o><7?8c:p64?=838p1h?k;{tm9?1<767c34o;:7?8c:p64d=838p1:<8:05`?815039:h6s|20a94?4|5>8o6<6?;<51a?56l2wx;?m50;0x924c2:;o709<0;3;4>{t:8l1<741e34=8<7=>d:p37g=838p1:?k;{t:;;1<767c34nn:7?70:pa26=838p1ik9:23g?8c?:3;?k;{t:;91<767c34no<7?70:pa70=838p1ij?:23g?8c403;?k;3;34b>{t:;?1<767c34nnj7?70:pa<2=838p1iki:23g?8cf=3;6>?k;{t:;=1<767c34no57?70:pa1g=838p1ij6:23g?8c2j3;?k;{t:;k1<767c34nh?7?70:pa56=838p1im<:23g?8c693;?k;{t:;i1<767c34nhm7?70:pa72=838p1imn:23g?8c493;?k;{t:;o1<767c34nn<7?70:pa3c=838p1ik?:23g?8c0j3;?k;{t?0k1<7:t=6;;>41e34=j?7?8e:?4=`<40h16;l?516`8yv1>j3:1?v3899823`=:?h91=:l4=6c3>6>f3ty3;=4?:5y><3c=9>h01596:05f?8>0<393m63776823g=z{1=:6=4<{<:5a?70m273;44>7c9><20=;1k0q~7;4;290~;><;0:;o5295f952c<50>36>6n;<;7f?70j2wx59;50;1x9<2528=n707;d;34f>;>5<3s4k::7?8b:?b64<6?l16m6}:i8<1=:k4=`02>41e34k:i7=7a:pe`e=83>p1lkn:05a?8ga=3;7d9>ec3=9>h01lh=:2:b?xu0:?0;6?u2734974b<5>836<6?;|q474<72;q6;><530f8925?28=i7p}88583>7}:?191i=52796974b7>52z?4<4;00=0:;n5rs6c2>5<5s4=j<7k?;<5b5?56l2wx;4h50;0x92?b2l:01:7i:23g?xu01m0;6?u278a9a5=:?0n1?3<`=9>h0q~960;296~;00o0n<63891805a=z{>2n6=4={<5;`?c734=3i7=>d:p3=g=838p1:66:d2892>f2:;o7p}88983>7}:?1=1i=5279:974b52z?4<2<40h16;5o516a8yv1>>3:1>v38948f4>;01?08=i5rs6;7>5<5s4=2?7k?;<5:0?56l2wx;4?50;0x92?42:2j70966;34g>{t0`6<51?j6>?k;|q;1=<72;q64895e19><0>=;8n0q~6:5;296~;?=>084l5284c952e52z?;330=39:h6s|86194?4|51=96h>4=950>67c3ty3:k4?:3y><24=;1k0159::05a?xu?>?0;6?u28779a5=:0?<1?4j0:?;21<49m1v58?:1818>2n3o;70690;12`>{t0`6<51?n6>?k;|q;1g<72;q648j539c89=0728=h7p}76b83>7}:0?h1i=5287a974b52z?;2<;?>j0:;n5rs80f>5<5s439h7k?;<;1a?56l2wx5?m50;0x9<4e2l:014:00;6?u293`97=g<508n6<9l;|q:0g<72;q659o5e19>=1d=;8n0q~7;9;296~;><10n<63648805a=z{0><6=4={<;72?c7343?;7=>d:p=15=838p14:9:2:b?8?313;4=81b>67c3ty2?54?:3y>=61=m9165>6530f8yv?4<3:1>v36328f4>;>;=08=i5rs811>5<5s438=7k?;<;06?56l2wx5?h50;0x9<562:2j707<4;34g>{t1=:1<7`6<50>;6>?k;|q:7`<72;q65>j5e19>=6c=;8n0q~7;m084l52952952e7>52z?b446n;d:pe5e=838p1l>m:d289d6d2:;o7p}n0983>7}:i9=1i=52a1:974b52z?b40;f810:;n5rs`37>5<5s4k:?7k?;ea0=;8n0q~ok4;296~;fl:0n<63nd5805a=z{hn:6=4={7b9~wd`42909w0oi2;g3?8ga;39:h6s|ag394?4|5hl;6h>4=`d2>67c3tyjik4?:3y>e`c=m916mhh530f8yvgbj3:1>v3ned80{timi1<7`6<5hnh6>?k;|qb`d<72;q6mi75e19>eag=;8n0q~ok7;296~;fl0084l52aea952e52z?ba239:h6s|ad194?4|5ho>6>6n;i4j0:?g11<49m1vi8;:1818b193o;70j94;12`>{tl?h1<7`6<5m?k;|q`bg<72;q6ok65e19>gcd=;8n0q~j?2;296~;dno0n<63k03805a=z{m:<6=4={d:p`46=838p1i>k:d289a772:;o7p}k1883>7}:l8<1i=52d0;974b52z?g5a=4<1e9~wa402909w0j=4;g3?8b5?39:h6s|d2694?4|5m9:6h>4=e17>67c3tyo944?:3y>`00=m916h87530f8yved13:1>v3lc98f4>;dk008=i5rs6c4>5<5s4=j:7k?;<5b3?56l2wx4:j50;0x9=1d2l:0159k:23g?xu>=80;6?u29429a5=:1<;1?94j0:?b60<49m1vlh6:1818ga03o;70oi9;12`>{tkjn1<7`6<5jio6>?k;|q`g2<72;q6on:5e19>gf1=;8n0q~k6a;296~;b110n<63j9`805a=z{l=>6=4={d:pa06=838p1h:j:d289`372:;o7p}j2c83>7}:m;31i=52e3`974b52z?f412909w0mk8;g3?8ec139:h6s|d0394?4|5jl=6h>4=e32>67c3tyhho4?:3y>gag=m916oil530f8yvb2n3:1>v3k548f4>;c=o08=i5rse0`>5<5s4n:>7k?;54j0:?g6<<49m1vnhk:1818eak3o;70mid;12`>{tl9h1<7`6<5m:i6>?k;|qf=`<72;q6i4m5e19>a0n<63j78805a=z{l??6=4={87=>d:pa7`=838p1h7}:m921i=52e1c974b52z?g20o6h>4=e71>67c3tyo8=4?:3y>`6b=m916h9>530f8yvb3k3:1>v3k488f4>;c5<5s4n8;7k?;{tkk21<7`6<5jh36>?k;|q`f3<72;q6oo;5e19>gg0=;8n0q~mm4;296~;dj:0n<63lb5805a=z{jh96=4={7=>d:pgg6=838p1noi:d289fd72:;o7p}lad83>7}:khn1i=52c`g974b52z?`eg4=bc;>67c3tyhm?4?:3y>gd7=m916ol<530f8yvc?:3:1>v3j808f4>;b0;08=i5rsd:3>5<5s4o:1818c483o;70k<1;12`>{tm891<7`6<5l;86>?k;|qf54<72;q6i<>5e19>a47=;8n0q~k?c;296~;b8k0n<63j0b805a=z{jno6=4={d:pga1=838p1nj9:d289fb02:;o7p}ja683>7}:mh<1i=52e`5974b52z?fe14=d7g>67c3tyn9o4?:3y>a0g=m916i8l530f8yvc2>3:1>v3j548f4>;b=?08=i5rsdcf>5<5s4ojh7k?;4j0:?f<1<49m1vh8;:1818c1;3o;70k94;12`>{tm`6<5l?m6>?k;|qf7c<72;q6i>k5e19>a6`=;8n0q~kd:pa43=838p1h?;:d289`722:;o7p}jab83>7}:mhk1i=52e`a974b52z?fe44<1e9~w`>02909w0k75;g3?8c??39:h6s|e6g94?4|5l=h6h>4=d5f>67c3tyn:?4?:3y>a36=m916i;<530f8yvc213:1>v3j568f4>;b=008=i5rsd1g>5<5s4o8n7k?;:50;0x9`552l:01h=;:23g?xub910;6?u2e049a5=:m821?{tk1;1<7`6<5j2:6>?k;|q`=1<72;q6o4=5e19>g<2=;8n0q~m62;296~;d180n<63l93805a=z{j3;6=4={d:pg=c=838p1n6k:d289f>b2:;o7p}l8b83>7}:k1h1i=52c9a974b52z?`<<?2909w0m77;g3?8e?039:h6s|c9494?4|5j2>6h>4=b:5>67c3tyh494?:3y>g2`=m916o5:530f8yve0m3:1>v3l7e8f4>;d?l08=i5rs313>5<5s4=8;7?8a:?47=<49m1v?=>:181814?3;986=4={<50;0;<08=i5rs310>5<5s4=887?8b:?473<49m1v89l:181830k393m63:608f4>{t=;;1<704634oi47;=1:p174=838p1hl::401?8ce03?9>6s|53294?4|5lh=68{zf?9957>51zl75=<73td=??o50;3xj17?281vb;==b;295~h391097p`933a94?7|f=;36>5rn711`?6=9rd?=54;;|l577c=83;pb9?7:49~j355n3:1=v`;1985?xh1;::1<7?tn53;>2=zf?98=7>51zl75=<50;3xj17?201vb;=<3;295~h3910j7p`932694?7|f=;36o5rn7101?6=9rd?=54l;|l5760=83;pb9?7:e9~j354?3:1=v`;198f?xh1;:21<7?tn53;>c=zf?9857>51zl75=<682we:>=n:182k2603;:7p`932`94?7|f=;36<<4}o407f<728qe8<65129~j354l3:1=v`;19820>{i>:9n6=4>{o62h50;3xj17?28<0qc8<4183>4}i<821=:5rn7175?6=9rd?=54>8:m2625290:wc:>8;3:?xh1;=91<7?tn53;>4g=9k1vb;=;5;295~h3910:o6sa6265>5<6sg>:47?k;|l5711=83;pb9?7:0g8yk04<10;651zl75=<582we:>:n:182k26038:7p`935`94?7|f=;36?<4}o400f<728qe8<65229~j353l3:1=v`;19810>{i>:>n6=4>{o624}i<821>:5rn7165?6=9rd?=54=8:m2635290:wc:>8;0:?xh1;<91<7?tn53;>7g=:k1vb;=:5;295~h39109o6sa6275>5<6sg>:4757>54zl03`<63g>:47=?;|l570g=83>pb>9j:19m04>=;81vb;=:b;295~h39108>6sa627`>5<6sg>:47=<;|l570b=83;pb9?7:268yk04=l0;6j7>51zl75=<4>2we:>8?:182k26039<7p`937394?7|f=;36>64}o4027<728qe8<65389~j351;3:1=v`;1980e>{i>:{o624}i<821?i5rn7153?6=9rd?=548;1e?xh1;?31<7?tn53;>16=<81vb;=9b;295~h3910?>6sa624`>5<4sg>:47:<;|l573b=83;pb9?7:568yk04>l0;651zl75=<3>2we:>9?:182k2603><7p`936394?7|f=;36964}o4037<728qe8<65489~j350;3:1=v`;1987e>{i>:=?6=4>{o624}i<8218i5rn7143?6=9rd?=54;e:m261?290:wc:>8;6e?xh1;>31<7?tn53;>06==81vb;=8b;295~h3910>>6sa625`>5<6sg>:47;<;|l572b=83;pb9?7:468yk04?l0;651zl75=<2>2we:>6?:182k2603?<7p`939394?7|f=;36864}o40<7<728qe8<65589~j35?;3:1=v`;1986e>{i>:2?6=4>{o624}i<8219i5rn71;3?6=9rd?=54:e:m26>?290:wc:>8;7e?xh1;131<7?tn53;>36=>81vb;=7b;295~h3910=>6sa62:`>5<6sg>:478<;|l57=b=83;pb9?7:768yk040l0;651zl75=<1>2we:>7?:182k2603<<7p`938394?7|f=;36;64}o40=7<728qe8<65689~j35>;3:1=v`;1985e>{i>:3?6=4>{o624}i<821:i5rn71:3?6=9rd?=549e:m26??290:wc:>8;4e?xh1;031<7?tn53;>26=?81vb;=6b;295~h3910<>6sa62;`>5<6sg>:479<;|l5751zl75=<0>2we:>o?:182k2603=<7p`93`394?7|f=;36:64}o40e7<728qe8<65789~j35f;3:1=v`;1984e>{i>:k?6=4>{o62i0qc84}i<821;i5rn71b3?6=9rd?=548e:m26g?290:wc:>8;5e?xh1;h31<7?tn53;>=6=081vb;=nb;295~h39103>6sa62c`>5<6sg>:476<;|l57db=83;pb9?7:968yk04il0;651zl75=2we:>l?:182k26032<7p`93c394?7|f=;36564}o40f7<728qe8<65889~j35e;3:1=v`;198;e>{i>:h?6=4>{o62e3td=?o;50;3xj17?21i0qc84}i<8214i5rn71a3?6=9rd?=547e:m26d?290:wc:>8;:e?xh1;k31<7?tn53;><6=181vb;=mb;295~h39102>6sa62``>5<6sg>:477<;|l57gb=83;pb9?7:868yk04jl0;651zl75=<>>2we:>m?:182k26033<7p`93b394?7|f=;36464}o40g7<728qe8<65989~j35d;3:1=v`;198:e>{i>:i?6=4>{o624}i<8215i5rn71`3?6=9rd?=546e:m26e?290:wc:>8;;e?xh1;j31<7?tn53;>d6=i81vb;=lb;295~h3910j>6sa62a`>5<6sg>:47o<;|l57fb=83;pb9?7:`68yk04kl0;651zl75=2we:>j?:182k2603k<7p`93e394?7|f=;36l64}o40`7<728qe8<65a89~j35c;3:1=v`;198be>{i>:n?6=4>{o624}i<821mi5rn71g3?6=9rd?=54ne:m26b?290:wc:>8;ce?xh1;m31<7?tn53;>g6=j81vb;=kb;295~h3910i>6sa62f`>5<6sg>:47l<;|l57ab=83;pb9?7:c68yk04ll0;651zl75=2we:>k?:182k2603h<7p`93d394?7|f=;36o64}o40a7<728qe8<65b89~j35b;3:1=v`;198ae>{i>:o?6=4>{o624}i<821ni5rn71f3?6=9rd?=54me:m26c?290:wc:>8;`e?xh1;l31<7?tn53;>f6=k81vb;=jb;295~h3910h>6sa62g`>5<6sg>:47m<;|l57`b=83;pb9?7:b68yk04ml0;651zl75=2we:>h?:182k2603i<7p`93g394?7|f=;36n64}o40b7<728qe8<65c89~j35a;3:1=v`;198`e>{i>:l?6=4>{o624}i<821oi5rn71e3?6=9rd?=54le:m26`?290:wc:>8;ae?xh1;o31<7?tn53;>a6=l81vb;=ib;295~h3910o>6sa62d`>5<6sg>:47j<;|l57cb=83;pb9?7:e68yk04nl0;651zl75=2we:9>?:182k2603n<7p`941394?7|f=;36i64}o4747<728qe8<65d89~j327;3:1=v`;198ge>{i>=:?6=4>{o624}i<821hi5rn7633?6=9rd?=54ke:m216?290:wc:>8;fe?xh1<931<7?tn53;>`6=m81vb;:?b;295~h3910n>6sa652`>5<6sg>:47k<;|l505b=83;pb9?7:d68yk038l0;6;j7>51zl75=2we:9??:182k2603o<7p`940394?7|f=;36h64}o4757<728qe8<65e89~j326;3:1=v`;198fe>{i>=;?6=4>{o624}i<821ii5rn7623?6=9rd?=54je:m217?290:wc:>8;ge?xh1<831<7?tn53;>c6=n81vb;:>b;295~h3910m>6sa653`>5<6sg>:47h<;|l504b=83;pb9?7:g68yk039l0;6:j7>51zl75=2we:9{i>=8?6=4>{o624}i<821ji5rn7613?6=9rd?=54ie:m214?290:wc:>8;de?xh1<;31<7?tn53;>4673td=8?o50;3xj17?28::7p`943`94?7|f=;36<>=;|l507e=83;pb9?7:020?xh1<;n1<7?tn53;>4633td=8?k50;3xj17?28:>7p`943d94?7|f=;36<>9;|l5066=83;pb9?7:024?xh1<:;1<7?tn53;>46?3td=8><50;3xj17?28:27p`942194?7|f=;36<>n;|l5062=83;pb9?7:02a?xh1<:?1<7?tn53;>46d3td=8>850;3xj17?28:o7p`942594?7|f=;36<>j;|l506>=83;pb9?7:02e?xh1<:31<7?tn53;>4773td=8>o50;3xj17?28;:7p`942`94?7|f=;364733td=8>k50;3xj17?28;>7p`942d94?7|f=;3647?3td=89<50;3xj17?28;27p`945194?7|f=;3647d3td=89850;3xj17?28;o7p`945594?7|f=;36=83;pb9?7:03e?xh1<=31<7?tn53;>4473td=89o50;3xj17?288:7p`945`94?7|f=;36<<=;|l501e=83;pb9?7:000?xh1<=n1<7?tn53;>4433td=89k50;3xj17?288>7p`945d94?7|f=;36<<9;|l5006=83;pb9?7:004?xh1<<;1<7?tn53;>44?3td=88<50;3xj17?28827p`944194?7|f=;36<44d3td=88850;3xj17?288o7p`944594?7|f=;36<=83;pb9?7:00e?xh1<<31<7?tn53;>4573td=88o50;3xj17?289:7p`944`94?7|f=;36<==;|l500e=83;pb9?7:010?xh1<4533td=88k50;3xj17?289>7p`944d94?7|f=;36<=9;|l5036=83;pb9?7:014?xh145?3td=8;<50;3xj17?28927p`947194?7|f=;36<=n;|l5032=83;pb9?7:01a?xh145d3td=8;850;3xj17?289o7p`947594?7|f=;36<=j;|l503>=83;pb9?7:01e?xh14273td=8;o50;3xj17?28>:7p`947`94?7|f=;36<:=;|l503e=83;pb9?7:060?xh14233td=8;k50;3xj17?28>>7p`947d94?7|f=;36<:9;|l5026=83;pb9?7:064?xh1<>;1<7?tn53;>42?3td=8:<50;3xj17?28>27p`946194?7|f=;36<:n;|l5022=83;pb9?7:06a?xh1<>?1<7?tn53;>42d3td=8:850;3xj17?28>o7p`946594?7|f=;36<:j;|l502>=83;pb9?7:06e?xh1<>31<7?tn53;>4373td=8:o50;3xj17?28?:7p`946`94?7|f=;36<;=;|l502e=83;pb9?7:070?xh1<>n1<7?tn53;>4333td=8:k50;3xj17?28?>7p`946d94?7|f=;36<;9;|l50=6=83;pb9?7:074?xh1<1;1<7?tn53;>43?3td=85<50;3xj17?28?27p`949194?7|f=;36<;n;|l50=2=83;pb9?7:07a?xh1<1?1<7?tn53;>43d3td=85850;3xj17?28?o7p`949594?7|f=;36<;j;|l50=>=83;pb9?7:07e?xh1<131<7?tn53;>4073td=85o50;3xj17?28<:7p`949`94?7|f=;36<8=;|l50=e=83;pb9?7:040?xh1<1n1<7?tn53;>4033td=85k50;3xj17?28<>7p`949d94?7|f=;36<89;|l50<6=83;pb9?7:044?xh1<0;1<7?tn53;>40?3td=84<50;3xj17?28<27p`948194?7|f=;36<8n;|l50<2=83;pb9?7:04a?xh1<0?1<7?tn53;>40d3td=84850;3xj17?28=83;pb9?7:04e?xh1<031<7?tn53;>4173td=84o50;3xj17?28=:7p`948`94?7|f=;36<9=;|l504133td=84k50;3xj17?28=>7p`948d94?7|f=;36<99;|l50d6=83;pb9?7:054?xh141?3td=8l<50;3xj17?28=27p`94`194?7|f=;36<9n;|l50d2=83;pb9?7:05a?xh141d3td=8l850;3xj17?28=o7p`94`594?7|f=;36<9j;|l50d>=83;pb9?7:05e?xh14>73td=8lo50;3xj17?282:7p`94``94?7|f=;36<6=;|l50de=83;pb9?7:0:0?xh14>33td=8lk50;3xj17?282>7p`94`d94?7|f=;36<69;|l50g6=83;pb9?7:0:4?xh14>?3td=8o<50;3xj17?28227p`94c194?7|f=;36<6n;|l50g2=83;pb9?7:0:a?xh14>d3td=8o850;3xj17?282o7p`94c594?7|f=;36<6j;|l50g>=83;pb9?7:0:e?xh14?73td=8oo50;3xj17?283:7p`94c`94?7|f=;36<7=;|l50ge=83;pb9?7:0;0?xh14?33td=8ok50;3xj17?283>7p`94cd94?7|f=;36<79;|l50f6=83;pb9?7:0;4?xh14??3td=8n<50;3xj17?28327p`94b194?7|f=;36<7n;|l50f2=83;pb9?7:0;a?xh14?d3td=8n850;3xj17?283o7p`94b594?7|f=;36<7j;|l50f>=83;pb9?7:0;e?xh14g73td=8no50;3xj17?28k:7p`94b`94?7|f=;364g33td=8nk50;3xj17?28k>7p`94bd94?7|f=;364g?3td=8i<50;3xj17?28k27p`94e194?7|f=;364gd3td=8i850;3xj17?28ko7p`94e594?7|f=;36=83;pb9?7:0ce?xh14d73td=8io50;3xj17?28h:7p`94e`94?7|f=;364d33td=8ik50;3xj17?28h>7p`94ed94?7|f=;364d?3td=8h<50;3xj17?28h27p`94d194?7|f=;364dd3td=8h850;3xj17?28ho7p`94d594?7|f=;36=83;pb9?7:0`e?xh14e73td=8ho50;3xj17?28i:7p`94d`94?7|f=;364e33td=8hk50;3xj17?28i>7p`94dd94?7|f=;364e?3td=8k<50;3xj17?28i27p`94g194?7|f=;364ed3td=8k850;3xj17?28io7p`94g594?7|f=;36=83;pb9?7:0ae?xh14b73td=8ko50;3xj17?28n:7p`94g`94?7|f=;364b33td=8kk50;3xj17?28n>7p`94gd94?7|f=;364b?3td=9=<50;3xj17?28n27p`951194?7|f=;364bd3td=9=850;3xj17?28no7p`951594?7|f=;36=83;pb9?7:0fe?xh1=931<7?tn53;>4c73td=9=o50;3xj17?28o:7p`951`94?7|f=;364c33td=9=k50;3xj17?28o>7p`951d94?7|f=;364c?3td=9<<50;3xj17?28o27p`950194?7|f=;364cd3td=9<850;3xj17?28oo7p`950594?7|f=;36=83;pb9?7:0ge?xh1=831<7?tn53;>4`73td=94`33td=97p`950d94?7|f=;364`?3td=9?<50;3xj17?28l27p`953194?7|f=;364`d3td=9?850;3xj17?28lo7p`953594?7|f=;36=83;pb9?7:0de?xh1=;31<7?tn53;>7673td=9?o50;3xj17?2;::7p`953`94?7|f=;36?>=;|l517e=83;pb9?7:320?xh1=;n1<7?tn53;>7633td=9?k50;3xj17?2;:>7p`953d94?7|f=;36?>9;|l5166=83;pb9?7:324?xh1=:;1<7?tn53;>76?3td=9><50;3xj17?2;:27p`952194?7|f=;36?>n;|l5162=83;pb9?7:32a?xh1=:?1<7?tn53;>76d3td=9>850;3xj17?2;:o7p`952594?7|f=;36?>j;|l516>=83;pb9?7:32e?xh1=:31<7?tn53;>7773td=9>o50;3xj17?2;;:7p`952`94?7|f=;36??=;|l516e=83;pb9?7:330?xh1=:n1<7?tn53;>7733td=9>k50;3xj17?2;;>7p`952d94?7|f=;36??9;|l5116=83;pb9?7:334?xh1==;1<7?tn53;>77?3td=99<50;3xj17?2;;27p`955194?7|f=;36??n;|l5112=83;pb9?7:33a?xh1==?1<7?tn53;>77d3td=99850;3xj17?2;;o7p`955594?7|f=;36??j;|l511>=83;pb9?7:33e?xh1==31<7?tn53;>7473td=99o50;3xj17?2;8:7p`955`94?7|f=;36?<=;|l511e=83;pb9?7:300?xh1==n1<7?tn53;>7433td=99k50;3xj17?2;8>7p`955d94?7|f=;36?<9;|l5106=83;pb9?7:304?xh1=<;1<7?tn53;>74?3td=98<50;3xj17?2;827p`954194?7|f=;36?74d3td=98850;3xj17?2;8o7p`954594?7|f=;36?=83;pb9?7:30e?xh1=<31<7?tn53;>7573td=98o50;3xj17?2;9:7p`954`94?7|f=;36?==;|l510e=83;pb9?7:310?xh1=7533td=98k50;3xj17?2;9>7p`954d94?7|f=;36?=9;|l5136=83;pb9?7:314?xh1=?;1<7?tn53;>75?3td=9;<50;3xj17?2;927p`957194?7|f=;36?=n;|l5132=83;pb9?7:31a?xh1=??1<7?tn53;>75d3td=9;850;3xj17?2;9o7p`957594?7|f=;36?=j;|l513>=83;pb9?7:31e?xh1=?31<7?tn53;>7273td=9;o50;3xj17?2;>:7p`957`94?7|f=;36?:=;|l513e=83;pb9?7:360?xh1=?n1<7?tn53;>7233td=9;k50;3xj17?2;>>7p`957d94?7|f=;36?:9;|l5126=83;pb9?7:364?xh1=>;1<7?tn53;>72?3td=9:<50;3xj17?2;>27p`956194?7|f=;36?:n;|l5122=83;pb9?7:36a?xh1=>?1<7?tn53;>72d3td=9:850;3xj17?2;>o7p`956594?7|f=;36?:j;|l512>=83;pb9?7:36e?xh1=>31<7?tn53;>7373td=9:o50;3xj17?2;?:7p`956`94?7|f=;36?;=;|l512e=83;pb9?7:370?xh1=>n1<7?tn53;>7333td=9:k50;3xj17?2;?>7p`956d94?7|f=;36?;9;|l51=6=83;pb9?7:374?xh1=1;1<7?tn53;>73?3td=95<50;3xj17?2;?27p`959194?7|f=;36?;n;|l51=2=83;pb9?7:37a?xh1=1?1<7?tn53;>73d3td=95850;3xj17?2;?o7p`959594?7|f=;36?;j;|l51=>=83;pb9?7:37e?xh1=131<7?tn53;>7073td=95o50;3xj17?2;<:7p`959`94?7|f=;36?8=;|l51=e=83;pb9?7:340?xh1=1n1<7?tn53;>7033td=95k50;3xj17?2;<>7p`959d94?7|f=;36?89;|l51<6=83;pb9?7:344?xh1=0;1<7?tn53;>70?3td=94<50;3xj17?2;<27p`958194?7|f=;36?8n;|l51<2=83;pb9?7:34a?xh1=0?1<7?tn53;>70d3td=94850;3xj17?2;=83;pb9?7:34e?xh1=031<7?tn53;>7173td=94o50;3xj17?2;=:7p`958`94?7|f=;36?9=;|l517133td=94k50;3xj17?2;=>7p`958d94?7|f=;36?99;|l51d6=83;pb9?7:354?xh1=h;1<7?tn53;>71?3td=9l<50;3xj17?2;=27p`95`194?7|f=;36?9n;|l51d2=83;pb9?7:35a?xh1=h?1<7?tn53;>71d3td=9l850;3xj17?2;=o7p`95`594?7|f=;36?9j;|l51d>=83;pb9?7:35e?xh1=h31<7?tn53;>7>73td=9lo50;3xj17?2;2:7p`95``94?7|f=;36?6=;|l51de=83;pb9?7:3:0?xh1=hn1<7?tn53;>7>33td=9lk50;3xj17?2;2>7p`95`d94?7|f=;36?69;|l51g6=83;pb9?7:3:4?xh1=k;1<7?tn53;>7>?3td=9o<50;3xj17?2;227p`95c194?7|f=;36?6n;|l51g2=83;pb9?7:3:a?xh1=k?1<7?tn53;>7>d3td=9o850;3xj17?2;2o7p`95c594?7|f=;36?6j;|l51g>=83;pb9?7:3:e?xh1=k31<7?tn53;>7?73td=9oo50;3xj17?2;3:7p`95c`94?7|f=;36?7=;|l51ge=83;pb9?7:3;0?xh1=kn1<7?tn53;>7?33td=9ok50;3xj17?2;3>7p`95cd94?7|f=;36?79;|l51f6=83;pb9?7:3;4?xh1=j;1<7?tn53;>7??3td=9n<50;3xj17?2;327p`95b194?7|f=;36?7n;|l51f2=83;pb9?7:3;a?xh1=j?1<7?tn53;>7?d3td=9n850;3xj17?2;3o7p`95b594?7|f=;36?7j;|l51f>=83;pb9?7:3;e?xh1=j31<7?tn53;>7g73td=9no50;3xj17?2;k:7p`95b`94?7|f=;36?o=;|l51fe=83;pb9?7:3c0?xh1=jn1<7?tn53;>7g33td=9nk50;3xj17?2;k>7p`95bd94?7|f=;36?o9;|l51a6=83;pb9?7:3c4?xh1=m;1<7?tn53;>7g?3td=9i<50;3xj17?2;k27p`95e194?7|f=;36?on;|l51a2=83;pb9?7:3ca?xh1=m?1<7?tn53;>7gd3td=9i850;3xj17?2;ko7p`95e594?7|f=;36?oj;|l51a>=83;pb9?7:3ce?xh1=m31<7?tn53;>7d73td=9io50;3xj17?2;h:7p`95e`94?7|f=;36?l=;|l51ae=83;pb9?7:3`0?xh1=mn1<7?tn53;>7d33td=9ik50;3xj17?2;h>7p`95ed94?7|f=;36?l9;|l51`6=83;pb9?7:3`4?xh1=l;1<7?tn53;>7d?3td=9h<50;3xj17?2;h27p`95d194?7|f=;36?ln;|l51`2=83;pb9?7:3`a?xh1=l?1<7?tn53;>7dd3td=9h850;3xj17?2;ho7p`95d594?7|f=;36?lj;|l51`>=83;pb9?7:3`e?xh1=l31<7?tn53;>7e73td=9ho50;3xj17?2;i:7p`95d`94?7|f=;36?m=;|l51`e=83;pb9?7:3a0?xh1=ln1<7?tn53;>7e33td=9hk50;3xj17?2;i>7p`95dd94?7|f=;36?m9;|l51c6=83;pb9?7:3a4?xh1=o;1<7?tn53;>7e?3td=9k<50;3xj17?2;i27p`95g194?7|f=;36?mn;|l51c2=83;pb9?7:3aa?xh1=o?1<7?tn53;>7ed3td=9k850;3xj17?2;io7p`95g594?7|f=;36?mj;|l51c>=83;pb9?7:3ae?xh1=o31<7?tn53;>7b73td=9ko50;3xj17?2;n:7p`95g`94?7|f=;36?j=;|l51ce=83;pb9?7:3f0?xh1=on1<7?tn53;>7b33td=9kk50;3xj17?2;n>7p`95gd94?7|f=;36?j9;|l5256=83;pb9?7:3f4?xh1>9;1<7?tn53;>7b?3td=:=<50;3xj17?2;n27p`961194?7|f=;36?jn;|l5252=83;pb9?7:3fa?xh1>9?1<7?tn53;>7bd3td=:=850;3xj17?2;no7p`961594?7|f=;36?jj;|l525>=83;pb9?7:3fe?xh1>931<7?tn53;>7c73td=:=o50;3xj17?2;o:7p`961`94?7|f=;36?k=;|l525e=83;pb9?7:3g0?xh1>9n1<7?tn53;>7c33td=:=k50;3xj17?2;o>7p`961d94?7|f=;36?k9;|l5246=83;pb9?7:3g4?xh1>8;1<7?tn53;>7c?3td=:<<50;3xj17?2;o27p`960194?7|f=;36?kn;|l5242=83;pb9?7:3ga?xh1>8?1<7?tn53;>7cd3td=:<850;3xj17?2;oo7p`960594?7|f=;36?kj;|l524>=83;pb9?7:3ge?xh1>831<7?tn53;>7`73td=:8n1<7?tn53;>7`33td=:7p`960d94?7|f=;36?h9;|l5276=83;pb9?7:3d4?xh1>;;1<7?tn53;>7`?3td=:?<50;3xj17?2;l27p`963194?7|f=;36?hn;|l5272=83;pb9?7:3da?xh1>;?1<7?tn53;>7`d3td=:?850;3xj17?2;lo7p`963594?7|f=;36?hj;|l527>=83;pb9?7:3de?xh1>;31<7?tn53;>6673td=:?o50;3xj17?2:::7p`963`94?7|f=;36>>=;|l527e=83;pb9?7:220?xh1>;n1<7?tn53;>6633td=:?k50;3xj17?2::>7p`963d94?7|f=;36>>9;|l5266=83;pb9?7:224?xh1>:;1<7?tn53;>66?3td=:><50;3xj17?2::27p`962194?7|f=;36>>n;|l5262=83;pb9?7:22a?xh1>:?1<7?tn53;>66d3td=:>850;3xj17?2::o7p`962594?7|f=;36>>j;|l526>=83;pb9?7:22e?xh1>:31<7?tn53;>6773td=:>o50;3xj17?2:;:7p`962`94?7|f=;36>?=;|l526e=83;pb9?7:230?xh1>:n1<7?tn53;>6733td=:>k50;3xj17?2:;>7p`962d94?7|f=;36>?9;|l5216=83;pb9?7:234?xh1>=;1<7?tn53;>67?3td=:9<50;3xj17?2:;27p`965194?7|f=;36>?n;|l5212=83;pb9?7:23a?xh1>=?1<7?tn53;>67d3td=:9850;3xj17?2:;o7p`965594?7|f=;36>?j;|l521>=83;pb9?7:23e?xh1>=31<7?tn53;>6473td=:9o50;3xj17?2:8:7p`965`94?7|f=;36><=;|l521e=83;pb9?7:200?xh1>=n1<7?tn53;>6433td=:9k50;3xj17?2:8>7p`965d94?7|f=;36><9;|l5206=83;pb9?7:204?xh1><;1<7?tn53;>64?3td=:8<50;3xj17?2:827p`964194?7|f=;36>64d3td=:8850;3xj17?2:8o7p`964594?7|f=;36>=83;pb9?7:20e?xh1><31<7?tn53;>6573td=:8o50;3xj17?2:9:7p`964`94?7|f=;36>==;|l520e=83;pb9?7:210?xh1>6533td=:8k50;3xj17?2:9>7p`964d94?7|f=;36>=9;|l5236=83;pb9?7:214?xh1>?;1<7?tn53;>65?3td=:;<50;3xj17?2:927p`967194?7|f=;36>=n;|l5232=83;pb9?7:21a?xh1>??1<7?tn53;>65d3td=:;850;3xj17?2:9o7p`967594?7|f=;36>=j;|l523>=83;pb9?7:21e?xh1>?31<7?tn53;>6273td=:;o50;3xj17?2:>:7p`967`94?7|f=;36>:=;|l523e=83;pb9?7:260?xh1>?n1<7?tn53;>6233td=:;k50;3xj17?2:>>7p`967d94?7|f=;36>:9;|l5226=83;pb9?7:264?xh1>>;1<7?tn53;>62?3td=::<50;3xj17?2:>27p`966194?7|f=;36>:n;|l5222=83;pb9?7:26a?xh1>>?1<7?tn53;>62d3td=::850;3xj17?2:>o7p`966594?7|f=;36>:j;|l522>=83;pb9?7:26e?xh1>>31<7?tn53;>6373td=::o50;3xj17?2:?:7p`966`94?7|f=;36>;=;|l522e=83;pb9?7:270?xh1>>n1<7?tn53;>6333td=::k50;3xj17?2:?>7p`966d94?7|f=;36>;9;|l52=6=83;pb9?7:274?xh1>1;1<7?tn53;>63?3td=:5<50;3xj17?2:?27p`969194?7|f=;36>;n;|l52=2=83;pb9?7:27a?xh1>1?1<7?tn53;>63d3td=:5850;3xj17?2:?o7p`969594?7|f=;36>;j;|l52=>=83;pb9?7:27e?xh1>131<7?tn53;>6073td=:5o50;3xj17?2:<:7p`969`94?7|f=;36>8=;|l52=e=83;pb9?7:240?xh1>1n1<7?tn53;>6033td=:5k50;3xj17?2:<>7p`969d94?7|f=;36>89;|l52<6=83;pb9?7:244?xh1>0;1<7?tn53;>60?3td=:4<50;3xj17?2:<27p`968194?7|f=;36>8n;|l52<2=83;pb9?7:24a?xh1>0?1<7?tn53;>60d3td=:4850;3xj17?2:8j;|l52<>=83;pb9?7:24e?xh1>031<7?tn53;>6173td=:4o50;3xj17?2:=:7p`968`94?7|f=;36>9=;|l520n1<7?tn53;>6133td=:4k50;3xj17?2:=>7p`968d94?7|f=;36>99;|l52d6=83;pb9?7:254?xh1>h;1<7?tn53;>61?3td=:l<50;3xj17?2:=27p`96`194?7|f=;36>9n;|l52d2=83;pb9?7:25a?xh1>h?1<7?tn53;>61d3td=:l850;3xj17?2:=o7p`96`594?7|f=;36>9j;|l52d>=83;pb9?7:25e?xh1>h31<7?tn53;>6>73td=:lo50;3xj17?2:2:7p`96``94?7|f=;36>6=;|l52de=83;pb9?7:2:0?xh1>hn1<7?tn53;>6>33td=:lk50;3xj17?2:2>7p`96`d94?7|f=;36>69;|l52g6=83;pb9?7:2:4?xh1>k;1<7?tn53;>6>?3td=:o<50;3xj17?2:227p`96c194?7|f=;36>6n;|l52g2=83;pb9?7:2:a?xh1>k?1<7?tn53;>6>d3td=:o850;3xj17?2:2o7p`96c594?7|f=;36>6j;|l52g>=83;pb9?7:2:e?xh1>k31<7?tn53;>6?73td=:oo50;3xj17?2:3:7p`96c`94?7|f=;36>7=;|l52ge=83;pb9?7:2;0?xh1>kn1<7?tn53;>6?33td=:ok50;3xj17?2:3>7p`96cd94?7|f=;36>79;|l52f6=83;pb9?7:2;4?xh1>j;1<7?tn53;>6??3td=:n<50;3xj17?2:327p`96b194?7|f=;36>7n;|l52f2=83;pb9?7:2;a?xh1>j?1<7?tn53;>6?d3td=:n850;3xj17?2:3o7p`96b594?7|f=;36>7j;|l52f>=83;pb9?7:2;e?xh1>j31<7?tn53;>6g73td=:no50;3xj17?2:k:7p`96b`94?7|f=;36>o=;|l52fe=83;pb9?7:2c0?xh1>jn1<7?tn53;>6g33td=:nk50;3xj17?2:k>7p`96bd94?7|f=;36>o9;|l52a6=83;pb9?7:2c4?xh1>m;1<7?tn53;>6g?3td=:i<50;3xj17?2:k27p`96e194?7|f=;36>on;|l52a2=83;pb9?7:2ca?xh1>m?1<7?tn53;>6gd3td=:i850;3xj17?2:ko7p`96e594?7|f=;36>oj;|l52a>=83;pb9?7:2ce?xh1>m31<7?tn53;>6d73td=:io50;3xj17?2:h:7p`96e`94?7|f=;36>l=;|l52ae=83;pb9?7:2`0?xh1>mn1<7?tn53;>6d33td=:ik50;3xj17?2:h>7p`96ed94?7|f=;36>l9;|l52`6=83;pb9?7:2`4?xh1>l;1<7?tn53;>6d?3td=:h<50;3xj17?2:h27p`96d194?7|f=;36>ln;|l52`2=83;pb9?7:2`a?xh1>l?1<7?tn53;>6dd3td=:h850;3xj17?2:ho7p`96d594?7|f=;36>lj;|l52`>=83;pb9?7:2`e?xh1>l31<7?tn53;>6e73td=:ho50;3xj17?2:i:7p`96d`94?7|f=;36>m=;|l52`e=83;pb9?7:2a0?xh1>ln1<7?tn53;>6e33td=:hk50;3xj17?2:i>7p`96dd94?7|f=;36>m9;|l52c6=83;pb9?7:2a4?xh1>o;1<7?tn53;>6e?3td=:k<50;3xj17?2:i27p`96g194?7|f=;36>mn;|l52c2=83;pb9?7:2aa?xh1>o?1<7?tn53;>6ed3td=:k850;3xj17?2:io7p`96g594?7|f=;36>mj;|l52c>=83;pb9?7:2ae?xh1>o31<7?tn53;>6b73td=:ko50;3xj17?2:n:7p`96g`94?7|f=;36>j=;|l52ce=83;pb9?7:2f0?xh1>on1<7?tn53;>6b33td=:kk50;3xj17?2:n>7p`96gd94?7|f=;36>j9;|l5356=83;pb9?7:2f4?xh1?9;1<7?tn53;>6b?3td=;=<50;3xj17?2:n27p`971194?7|f=;36>jn;|l5352=83;pb9?7:2fa?xh1?9?1<7?tn53;>6bd3td=;=850;3xj17?2:no7p`971594?7|f=;36>jj;|l535>=83;pb9?7:2fe?xh1?931<7?tn53;>6c73td=;=o50;3xj17?2:o:7p`971`94?7|f=;36>k=;|l535e=83;pb9?7:2g0?xh1?9n1<7?tn53;>6c33td=;=k50;3xj17?2:o>7p`971d94?7|f=;36>k9;|l5346=83;pb9?7:2g4?xh1?8;1<7?tn53;>6c?3td=;<<50;3xj17?2:o27p`970194?7|f=;36>kn;|l5342=83;pb9?7:2ga?xh1?8?1<7?tn53;>6cd3td=;<850;3xj17?2:oo7p`970594?7|f=;36>kj;|l534>=83;pb9?7:2ge?xh1?831<7?tn53;>6`73td=;h=;|l534e=83;pb9?7:2d0?xh1?8n1<7?tn53;>6`33td=;7p`970d94?7|f=;36>h9;|l5376=83;pb9?7:2d4?xh1?;;1<7?tn53;>6`?3td=;?<50;3xj17?2:l27p`973194?7|f=;36>hn;|l5372=83;pb9?7:2da?xh1?;?1<7?tn53;>6`d3td=;?850;3xj17?2:lo7p`973594?7|f=;36>hj;|l537>=83;pb9?7:2de?xh1?;31<7?tn53;>1673td=;?o50;3xj17?2=::7p`973`94?7|f=;369>=;|l537e=83;pb9?7:520?xh1?;n1<7?tn53;>1633td=;?k50;3xj17?2=:>7p`973d94?7|f=;369>9;|l5366=83;pb9?7:524?xh1?:;1<7?tn53;>16?3td=;><50;3xj17?2=:27p`972194?7|f=;369>n;|l5362=83;pb9?7:52a?xh1?:?1<7?tn53;>16d3td=;>850;3xj17?2=:o7p`972594?7|f=;369>j;|l536>=83;pb9?7:52e?xh1?:31<7?tn53;>1773td=;>o50;3xj17?2=;:7p`972`94?7|f=;369?=;|l536e=83;pb9?7:530?xh1?:n1<7?tn53;>1733td=;>k50;3xj17?2=;>7p`972d94?7|f=;369?9;|l5316=83;pb9?7:534?xh1?=;1<7?tn53;>17?3td=;9<50;3xj17?2=;27p`975194?7|f=;369?n;|l5312=83;pb9?7:53a?xh1?=?1<7?tn53;>17d3td=;9850;3xj17?2=;o7p`975594?7|f=;369?j;|l531>=83;pb9?7:53e?xh1?=31<7?tn53;>1473td=;9o50;3xj17?2=8:7p`975`94?7|f=;369<=;|l531e=83;pb9?7:500?xh1?=n1<7?tn53;>1433td=;9k50;3xj17?2=8>7p`975d94?7|f=;369<9;|l5306=83;pb9?7:504?xh1?<;1<7?tn53;>14?3td=;8<50;3xj17?2=827p`974194?7|f=;36914d3td=;8850;3xj17?2=8o7p`974594?7|f=;369=83;pb9?7:50e?xh1?<31<7?tn53;>1573td=;8o50;3xj17?2=9:7p`974`94?7|f=;369==;|l530e=83;pb9?7:510?xh1?1533td=;8k50;3xj17?2=9>7p`974d94?7|f=;369=9;|l5336=83;pb9?7:514?xh1??;1<7?tn53;>15?3td=;;<50;3xj17?2=927p`977194?7|f=;369=n;|l5332=83;pb9?7:51a?xh1???1<7?tn53;>15d3td=;;850;3xj17?2=9o7p`977594?7|f=;369=j;|l533>=83;pb9?7:51e?xh1??31<7?tn53;>1273td=;;o50;3xj17?2=>:7p`977`94?7|f=;369:=;|l533e=83;pb9?7:560?xh1??n1<7?tn53;>1233td=;;k50;3xj17?2=>>7p`977d94?7|f=;369:9;|l5326=83;pb9?7:564?xh1?>;1<7?tn53;>12?3td=;:<50;3xj17?2=>27p`976194?7|f=;369:n;|l5322=83;pb9?7:56a?xh1?>?1<7?tn53;>12d3td=;:850;3xj17?2=>o7p`976594?7|f=;369:j;|l532>=83;pb9?7:56e?xh1?>31<7?tn53;>1373td=;:o50;3xj17?2=?:7p`976`94?7|f=;369;=;|l532e=83;pb9?7:570?xh1?>n1<7?tn53;>1333td=;:k50;3xj17?2=?>7p`976d94?7|f=;369;9;|l53=6=83;pb9?7:574?xh1?1;1<7?tn53;>13?3td=;5<50;3xj17?2=?27p`979194?7|f=;369;n;|l53=2=83;pb9?7:57a?xh1?1?1<7?tn53;>13d3td=;5850;3xj17?2=?o7p`979594?7|f=;369;j;|l53=>=83;pb9?7:57e?xh1?131<7?tn53;>1073td=;5o50;3xj17?2=<:7p`979`94?7|f=;3698=;|l53=e=83;pb9?7:540?xh1?1n1<7?tn53;>1033td=;5k50;3xj17?2=<>7p`979d94?7|f=;36989;|l53<6=83;pb9?7:544?xh1?0;1<7?tn53;>10?3td=;4<50;3xj17?2=<27p`978194?7|f=;3698n;|l53<2=83;pb9?7:54a?xh1?0?1<7?tn53;>10d3td=;4850;3xj17?2==83;pb9?7:54e?xh1?031<7?tn53;>1173td=;4o50;3xj17?2==:7p`978`94?7|f=;3699=;|l531133td=;4k50;3xj17?2==>7p`978d94?7|f=;36999;|l53d6=83;pb9?7:554?xh1?h;1<7?tn53;>11?3td=;l<50;3xj17?2==27p`97`194?7|f=;3699n;|l53d2=83;pb9?7:55a?xh1?h?1<7?tn53;>11d3td=;l850;3xj17?2==o7p`97`594?7|f=;3699j;|l53d>=83;pb9?7:55e?xh1?h31<7?tn53;>1>73td=;lo50;3xj17?2=2:7p`97``94?7|f=;3696=;|l53de=83;pb9?7:5:0?xh1?hn1<7?tn53;>1>33td=;lk50;3xj17?2=2>7p`97`d94?7|f=;36969;|l53g6=83;pb9?7:5:4?xh1?k;1<7?tn53;>1>?3td=;o<50;3xj17?2=227p`97c194?7|f=;3696n;|l53g2=83;pb9?7:5:a?xh1?k?1<7?tn53;>1>d3td=;o850;3xj17?2=2o7p`97c594?7|f=;3696j;|l53g>=83;pb9?7:5:e?xh1?k31<7?tn53;>1?73td=;oo50;3xj17?2=3:7p`97c`94?7|f=;3697=;|l53ge=83;pb9?7:5;0?xh1?kn1<7?tn53;>1?33td=;ok50;3xj17?2=3>7p`97cd94?7|f=;36979;|l53f6=83;pb9?7:5;4?xh1?j;1<7?tn53;>1??3td=;n<50;0xj17?2=327p`97b194?4|f=;3697n;|l53f2=838pb9?7:5;a?xh1?j?1<7?tn53;>1?d3td=;n850;3xj17?2=3o7p`97b594?7|f=;3697j;|l53f>=83;pb9?7:5;e?xh1?j31<7?tn53;>1g73td=;no50;3xj17?2=k:7p`97b`94?7|f=;369o=;|l53fe=83;pb9?7:5c0?xh1?jn1<7?tn53;>1g33td=;nk50;3xj17?2=k>7p`97bd94?7|f=;369o9;|l53a6=83;pb9?7:5c4?xh1?m;1<7?tn53;>1g?3td=;i<50;3xj17?2=k27p`97e194?7|f=;369on;|l53a2=83;pb9?7:5ca?xh1?m?1<7?tn53;>1gd3td=;i850;3xj17?2=ko7p`97e594?7|f=;369oj;|l53a>=83;pb9?7:5ce?xh1?m31<7?tn53;>1d73td=;io50;3xj17?2=h:7p`97e`94?7|f=;369l=;|l53ae=83;pb9?7:5`0?xh1?mn1<7?tn53;>1d33td=;ik50;3xj17?2=h>7p`97ed94?7|f=;369l9;|l53`6=83;pb9?7:5`4?xh1?l;1<7?tn53;>1d?3td=;h<50;3xj17?2=h27p`97d194?7|f=;369ln;|l53`2=83;pb9?7:5`a?xh1?l?1<7?tn53;>1dd3td=;h850;3xj17?2=ho7p`97d594?7|f=;369lj;|l53`>=83;pb9?7:5`e?xh1?l31<7?tn53;>1e73td=;ho50;3xj17?2=i:7p`97d`94?7|f=;369m=;|l53`e=83;pb9?7:5a0?xh1?ln1<7?tn53;>1e33td=;hk50;3xj17?2=i>7p`97dd94?7|f=;369m9;|l53c6=83;pb9?7:5a4?xh1?o;1<7?tn53;>1e?3td=;k<50;3xj17?2=i27p`97g194?7|f=;369mn;|l53c2=83;pb9?7:5aa?xh1?o?1<7?tn53;>1ed3td=;k850;3xj17?2=io7p`97g594?7|f=;369mj;|l53c>=83;pb9?7:5ae?xh1?o31<7?tn53;>1b73td=;ko50;3xj17?2=n:7p`97g`94?7|f=;369j=;|l53ce=83;pb9?7:5f0?xh1?on1<7?tn53;>1b33td=;kk50;3xj17?2=n>7p`97gd94?7|f=;369j9;|l5<56=83;pb9?7:5f4?xh109;1<7?tn53;>1b?3td=4=<50;3xj17?2=n27p`981194?7|f=;369jn;|l5<52=83;pb9?7:5fa?xh109?1<7?tn53;>1bd3td=4=850;3xj17?2=no7p`981594?7|f=;369jj;|l5<5>=83;pb9?7:5fe?xh10931<7?tn53;>1c73td=4=o50;3xj17?2=o:7p`981`94?7|f=;369k=;|l5<5e=83;pb9?7:5g0?xh109n1<7?tn53;>1c33td=4=k50;3xj17?2=o>7p`981d94?7|f=;369k9;|l5<46=83;pb9?7:5g4?xh108;1<7?tn53;>1c?3td=4<<50;3xj17?2=o27p`980194?7|f=;369kn;|l5<42=83;pb9?7:5ga?xh108?1<7?tn53;>1cd3td=4<850;3xj17?2=oo7p`980594?7|f=;369kj;|l5<4>=83;pb9?7:5ge?xh10831<7?tn53;>1`73td=41`33td=47p`980d94?7|f=;369h9;|l5<76=83;pb9?7:5d4?xh10;;1<7?tn53;>1`?3td=4?<50;3xj17?2=l27p`983194?7|f=;369hn;|l5<72=83;pb9?7:5da?xh10;?1<7?tn53;>1`d3td=4?850;3xj17?2=lo7p`983594?7|f=;369hj;|l5<7>=83;pb9?7:5de?xh10;31<7?tn53;>0673td=4?o50;3xj17?2<::7p`983`94?7|f=;368>=;|l5<7e=83;pb9?7:420?xh10;n1<7?tn53;>0633td=4?k50;3xj17?2<:>7p`983d94?7|f=;368>9;|l5<66=83;pb9?7:424?xh10:;1<7?tn53;>06?3td=4><50;0xj17?2<:27p`982194?7|f=;368>n;|l5<62=83;pb9?7:42a?xh10:?1<7?tn53;>06d3td=4>850;3xj17?2<:o7p`982594?7|f=;368>j;|l5<6>=83;pb9?7:42e?xh10:31<7?tn53;>0773td=4>o50;3xj17?2<;:7p`982`94?7|f=;368?=;|l5<6e=83;pb9?7:430?xh10:n1<7?tn53;>0733td=4>k50;3xj17?2<;>7p`982d94?7|f=;368?9;|l5<16=83;pb9?7:434?xh10=;1<7?tn53;>07?3td=49<50;3xj17?2<;27p`985194?7|f=;368?n;|l5<12=83;pb9?7:43a?xh10=?1<7?tn53;>07d3td=49850;3xj17?2<;o7p`985594?7|f=;368?j;|l5<1>=83;pb9?7:43e?xh10=31<7?tn53;>0473td=49o50;3xj17?2<8:7p`985`94?7|f=;368<=;|l5<1e=83;pb9?7:400?xh10=n1<7?tn53;>0433td=49k50;3xj17?2<8>7p`985d94?7|f=;368<9;|l5<06=83;pb9?7:404?xh10<;1<7?tn53;>04?3td=48<50;3xj17?2<827p`984194?7|f=;36804d3td=48850;3xj17?2<8o7p`984594?7|f=;368=83;pb9?7:40e?xh10<31<7?tn53;>0573td=48o50;3xj17?2<9:7p`984`94?7|f=;368==;|l5<0e=83;pb9?7:410?xh100533td=48k50;3xj17?2<9>7p`984d94?7|f=;368=9;|l5<36=83;pb9?7:414?xh10?;1<7?tn53;>05?3td=4;<50;3xj17?2<927p`987194?7|f=;368=n;|l5<32=83;pb9?7:41a?xh10??1<7?tn53;>05d3td=4;850;3xj17?2<9o7p`987594?7|f=;368=j;|l5<3>=83;pb9?7:41e?xh10?31<7?tn53;>0273td=4;o50;3xj17?2<>:7p`987`94?7|f=;368:=;|l5<3e=83;pb9?7:460?xh10?n1<7?tn53;>0233td=4;k50;3xj17?2<>>7p`987d94?7|f=;368:9;|l5<26=83;pb9?7:464?xh10>;1<7?tn53;>02?3td=4:<50;3xj17?2<>27p`986194?7|f=;368:n;|l5<22=83;pb9?7:46a?xh10>?1<7?tn53;>02d3td=4:850;3xj17?2<>o7p`986594?7|f=;368:j;|l5<2>=83;pb9?7:46e?xh10>31<7?tn53;>0373td=4:o50;3xj17?2n1<7?tn53;>0333td=4:k50;3xj17?27p`986d94?7|f=;368;9;|l5<=6=83;pb9?7:474?xh101;1<7?tn53;>03?3td=45<50;3xj17?203d3td=45850;3xj17?2=83;pb9?7:47e?xh10131<7?tn53;>0073td=45o50;3xj17?2<<:7p`989`94?7|f=;3688=;|l5<=e=83;pb9?7:440?xh101n1<7?tn53;>0033td=45k50;3xj17?2<<>7p`989d94?7|f=;36889;|l5<<6=83;pb9?7:444?xh100;1<7?tn53;>00?3td=44<50;3xj17?2<<27p`988194?7|f=;3688n;|l5<<2=83;pb9?7:44a?xh100?1<7?tn53;>00d3td=44850;3xj17?2<=83;pb9?7:44e?xh10031<7?tn53;>0173td=44o50;3xj17?2<=:7p`988`94?7|f=;3689=;|l5<0133td=44k50;3xj17?2<=>7p`988d94?7|f=;36899;|l501?3td=4l<50;3xj17?2<=27p`98`194?7|f=;3689n;|l501d3td=4l850;3xj17?2<=o7p`98`594?7|f=;3689j;|l5=83;pb9?7:45e?xh10h31<7?tn53;>0>73td=4lo50;3xj17?2<2:7p`98``94?7|f=;3686=;|l50>33td=4lk50;3xj17?2<2>7p`98`d94?7|f=;36869;|l50>?3td=4o<50;3xj17?2<227p`98c194?7|f=;3686n;|l50>d3td=4o850;3xj17?2<2o7p`98c594?7|f=;3686j;|l5=83;pb9?7:4:e?xh10k31<7?tn53;>0?73td=4oo50;3xj17?2<3:7p`98c`94?7|f=;3687=;|l50?33td=4ok50;3xj17?2<3>7p`98cd94?7|f=;36879;|l50??3td=4n<50;3xj17?2<327p`98b194?7|f=;3687n;|l50?d3td=4n850;3xj17?2<3o7p`98b594?7|f=;3687j;|l5=83;pb9?7:4;e?xh10j31<7?tn53;>0g73td=4no50;3xj17?20g33td=4nk50;3xj17?27p`98bd94?7|f=;368o9;|l50g?3td=4i<50;3xj17?20gd3td=4i850;3xj17?2=83;pb9?7:4ce?xh10m31<7?tn53;>0d73td=4io50;3xj17?20d33td=4ik50;3xj17?27p`98ed94?7|f=;368l9;|l5<`6=83;pb9?7:4`4?xh10l;1<7?tn53;>0d?3td=4h<50;3xj17?20dd3td=4h850;3xj17?2=83;pb9?7:4`e?xh10l31<7?tn53;>0e73td=4ho50;3xj17?20e33td=4hk50;3xj17?27p`98dd94?7|f=;368m9;|l50e?3td=4k<50;3xj17?20ed3td=4k850;3xj17?2=83;pb9?7:4ae?xh10o31<7?tn53;>0b73td=4ko50;3xj17?20b33td=4kk50;3xj17?27p`98gd94?7|f=;368j9;|l5=56=83;pb9?7:4f4?xh119;1<7?tn53;>0b?3td=5=<50;3xj17?20bd3td=5=850;3xj17?2=83;pb9?7:4fe?xh11931<7?tn53;>0c73td=5=o50;3xj17?20c33td=5=k50;3xj17?27p`991d94?7|f=;368k9;|l5=46=83;pb9?7:4g4?xh118;1<7?tn53;>0c?3td=5<<50;3xj17?20cd3td=5<850;3xj17?2=83;pb9?7:4ge?xh11831<7?tn53;>0`73td=50`33td=57p`990d94?7|f=;368h9;|l5=76=83;pb9?7:4d4?xh11;;1<7?tn53;>0`?3td=5?<50;3xj17?20`d3td=5?850;3xj17?2=83;pb9?7:4de?xh11;31<7?tn53;>3673td=5?o50;3xj17?2?::7p`993`94?7|f=;36;>=;|l5=7e=83;pb9?7:720?xh11;n1<7?tn53;>3633td=5?k50;3xj17?2?:>7p`993d94?7|f=;36;>9;|l5=66=83;pb9?7:724?xh11:;1<7?tn53;>36?3td=5><50;3xj17?2?:27p`992194?7|f=;36;>n;|l5=62=83;pb9?7:72a?xh11:?1<7?tn53;>36d3td=5>850;3xj17?2?:o7p`992594?7|f=;36;>j;|l5=6>=83;pb9?7:72e?xh11:31<7?tn53;>3773td=5>o50;3xj17?2?;:7p`992`94?7|f=;36;?=;|l5=6e=83;pb9?7:730?xh11:n1<7?tn53;>3733td=5>k50;3xj17?2?;>7p`992d94?7|f=;36;?9;|l5=16=83;pb9?7:734?xh11=;1<7?tn53;>37?3td=59<50;3xj17?2?;27p`995194?7|f=;36;?n;|l5=12=83;pb9?7:73a?xh11=?1<7?tn53;>37d3td=59850;3xj17?2?;o7p`995594?7|f=;36;?j;|l5=1>=83;pb9?7:73e?xh11=31<7?tn53;>3473td=59o50;3xj17?2?8:7p`995`94?7|f=;36;<=;|l5=1e=83;pb9?7:700?xh11=n1<7?tn53;>3433td=59k50;3xj17?2?8>7p`995d94?7|f=;36;<9;|l5=06=83;pb9?7:704?xh11<;1<7?tn53;>34?3td=58<50;3xj17?2?827p`994194?7|f=;36;34d3td=58850;3xj17?2?8o7p`994594?7|f=;36;=83;pb9?7:70e?xh11<31<7?tn53;>3573td=58o50;3xj17?2?9:7p`994`94?7|f=;36;==;|l5=0e=83;pb9?7:710?xh113533td=58k50;3xj17?2?9>7p`994d94?7|f=;36;=9;|l5=36=83;pb9?7:714?xh11?;1<7?tn53;>35?3td=5;<50;3xj17?2?927p`997194?7|f=;36;=n;|l5=32=83;pb9?7:71a?xh11??1<7?tn53;>35d3td=5;850;3xj17?2?9o7p`997594?7|f=;36;=j;|l5=3>=83;pb9?7:71e?xh11?31<7?tn53;>3273td=5;o50;3xj17?2?>:7p`997`94?7|f=;36;:=;|l5=3e=83;pb9?7:760?xh11?n1<7?tn53;>3233td=5;k50;3xj17?2?>>7p`997d94?7|f=;36;:9;|l5=26=83;pb9?7:764?xh11>;1<7?tn53;>32?3td=5:<50;3xj17?2?>27p`996194?7|f=;36;:n;|l5=22=83;pb9?7:76a?xh11>?1<7?tn53;>32d3td=5:850;3xj17?2?>o7p`996594?7|f=;36;:j;|l5=2>=83;pb9?7:76e?xh11>31<7?tn53;>3373td=5:o50;3xj17?2??:7p`996`94?7|f=;36;;=;|l5=2e=83;pb9?7:770?xh11>n1<7?tn53;>3333td=5:k50;3xj17?2??>7p`996d94?7|f=;36;;9;|l5==6=83;pb9?7:774?xh111;1<7?tn53;>33?3td=55<50;3xj17?2??27p`999194?7|f=;36;;n;|l5==2=83;pb9?7:77a?xh111?1<7?tn53;>33d3td=55850;3xj17?2??o7p`999594?7|f=;36;;j;|l5==>=83;pb9?7:77e?xh11131<7?tn53;>3073td=55o50;3xj17?2?<:7p`999`94?7|f=;36;8=;|l5==e=83;pb9?7:740?xh111n1<7?tn53;>3033td=55k50;3xj17?2?<>7p`999d94?7|f=;36;89;|l5=<6=83;pb9?7:744?xh110;1<7?tn53;>30?3td=54<50;3xj17?2?<27p`998194?7|f=;36;8n;|l5=<2=83;pb9?7:74a?xh110?1<7?tn53;>30d3td=54850;3xj17?2?=83;pb9?7:74e?xh11031<7?tn53;>3173td=54o50;3xj17?2?=:7p`998`94?7|f=;36;9=;|l5=3133td=54k50;3xj17?2?=>7p`998d94?7|f=;36;99;|l5=d6=83;pb9?7:754?xh11h;1<7?tn53;>31?3td=5l<50;3xj17?2?=27p`99`194?7|f=;36;9n;|l5=d2=83;pb9?7:75a?xh11h?1<7?tn53;>31d3td=5l850;3xj17?2?=o7p`99`594?7|f=;36;9j;|l5=d>=83;pb9?7:75e?xh11h31<7?tn53;>3>73td=5lo50;3xj17?2?2:7p`99``94?5|f=;36;6=;|l5=de=839pb9?7:7:0?xh11hn1<7=tn53;>3>33td=5lk50;1xj17?2?2>7p`92ef94?7|f=;36;69;|l56ac=83;pb9?7:7:4?xh1;;>1<7?tn53;>3>?3td=??;50;3xj17?2?227psr}AB@264133;;;m?a9CDG}1mkq?64di395<72;08>n7536ff?x}38l0;6=4=:20`j:183>7<4:j=1?:k?;|y74`<729096>o97pu;0d83>5<52:8h87=8e29~16b290;6?4<2b1972c33tq?64d:395<72;08>n?536g5?x}38l0;6=4=:20`4?50m>1vw9>j:183>7<4:kl1?:k7;|y74`<729096>oj7pu;0d83>5<52:<=;7=8eb9~16b290;6?4<674972cc3tq?601=395<72;08:;:536ge?x}38l0;6=4=:2457?50n91vw9>j:183>7<4>?81?:h>;|y74`<729096>891;14b7=zs=:n6=4?:380236=;>l87pu;0d83>5<52:<>j7=8f59~16b290;6?4<64g972`23tq?60cn395<72;08:ik536d4?x}38l0;6=4=:24g`?50n11vw9>j:183>7<4>mi1?:h6;|y74`<729096>8kb;14bd=zs=:n6=4?:3802ag=;>li7pu;0d83>5<52:60c?395<72;08:i8536de?x}38l0;6=4=:20ag?5?891vw9>j:183>7<4>?21?5>>;|y74`<729096>8j0;1;47=zs=:n6=4?:3806fb=;;2=7pu;0d83>5<52:8nj7==ee9~16d290;6?4<97g91f=zs=:h6=4?:380=23=><1vw9>l:183>7<41>i1:h5r{52`>5<72;0855=5769~16d290;6?4<99c93`=zs=:h6=4?:380=<7=0<1vw9>l:183>7<410214n5r{52`>5<72;0854h5929~16d290;6?4<9`49=d=zs=:h6=4?:380=db=i81vw9>l:183>7<41k>1m55r{52`>5<72;085ol5ag9~16d290;6?4<9b09f3=zs=:h6=4?:380=f?=jm1vw9>l:183>7<41m:1o95r{52`>5<72;085i95cc9~16d290;6?4<9eg9`7=zs=:h6=4?:380=`3=l01vw9>l:183>7<41li1i=5r{52`>5<72;085k=5e69~16d290;6?4<9gc9b5=zs=:h6=4?:380e57=n01vw9>l:183>7<4i921==<4}z63g?6=8381?l>k:024?x}38j0;6=4=:2c25?77j2wp8=m50;296?5f9?0:==5r{52`>5<72;08m47>3tq?6g5;3;:h6st41a94?6=:39j>54>239~16d290;6?4;o7>50;097d56288i7pu;0b83>5<52:k897?=f:x05e=83:1>7=n3`8271=zs=:h6=4?:380e6c=9:20qv:?c;294?4=;h>86<=k;|y74f<729096>o;7;375>{|<9i1<7>52;1b0f<6l:183>7<4i<:1=9o4}z63g?6=8381?l;::06e?x}38j0;6=4=:2c6=?72;2wp8=m50;296?5f=l0:955r{52`>5<72;08m;<514a8y~27k3:1<7<53`44>4063tq?6g1j3;=96st41a94?6=:39j;=4>6`9~16d290;6?4;o7>50;097d1>28=87pu;0b83>5<52:k7=n83823f=zs=:h6=4?:380e=0=91:0qv:?c;294?4=;h2i6<6:;|y74f<729096>o7f;3;=>{|<9i1<7>52;1b=6<60m1vw9>l:183>7<4i0<1=k74}z63g?6=8381?l7k:321?x}38j0;6=4=:2cb0?47j2wp8=m50;296?5fik09=95r{52`>5<72;08mo<520f8y~27k3:1<7<53``:>7433tq?6gd8389h6st41a94?6=:39jo:4=379~16d290;6?4;o7>50;097db22;>=7pu;0b83>5<52:koo7<;d:x05e=83:1>7=ne28111=zs=:h6=4?:380e`g=:oi8;05=>{|<9i1<7>52;1bbc<5?91vw9>l:183>7<4j9<1>:94}z63g?6=8381?o>k:35f?x}38j0;6=4=:2`20?4?=2wp8=m50;296?5e9k094n5r{52`>5<72;08n?<52818y~27k3:1<7<53c0:>7?f3tq?6d4838j=6st41a94?6=:39i?:4=a99~16d290;6?4;o7>50;097g222;h=7pu;0b83>5<52:h?o77=m5281g1=zs=:h6=4?:380f0g=:jh0qv:?c;294?4=;k<:6?j=;|y74f<729096>l98;0g=>{|<9i1<7>52;1a2c<5m91vw9>l:183>7<4j><1>h94}z63g?6=8381?o9k:3gf?x}38j0;6=4=:2`;0?4a=2wp8=m50;296?5e0k09jn5r{52`>5<72;08n4<53118y~27k3:1<7<53c;:>66f3tq?6df839:=6st41a94?6=:39im:4<199~16d290;6?4;o7>50;097gd22:8=7pu;0b83>5<52:hio7==d:x05e=83:1>7=mc28071=zs=:h6=4?:380ffg=;:h0qv:?c;294?4=;kn:6>:=;|y74f<729096>lk8;17=>{|<9i1<7>52;1a`c<4=91vw9>l:183>7<4jl<1?894}z63g?6=8381?okk:27f?x}38j0;6=4=:2`e0?51=2wp8=m50;296?5enk08:n5r{52`>5<72;08o=<53618y~27k3:1<7<53b2:>61f3tq?6e68393=6st41a94?6=:39h=:4<899~16d290;6?4;o7>50;097f422:337pu;0b83>5<52:i9o7=n1:x05e=83:1>7=l3280ed=zs=:h6=4?:380g6g=;k;0qv:?c;294?4=;j>:6>l7;|y74f<729096>m;8;1ab>{|<9i1<7>52;1`0c<4k?1vw9>l:183>7<4k<<1?nj4}z63g?6=8381?n;k:2f7?x}38j0;6=4=:2a50?5cj2wp8=m50;296?5d>k08i?5r{52`>5<72;08o:<53d;8y~27k3:1<7<53b5:>6`73tq?6e?839m;6st41a94?6=:39h4:4;o7>50;097f?22=:h7pu;0b83>5<52:i2o7:>3:x05e=83:1>7=la2875d=zs=:h6=4?:380gdg=<;;0qv:?c;294?4=;jh:69<7;|y74f<729096>mm8;61b>{|<9i1<7>52;1`fc<3;?1vw9>l:183>7<4kj<18>j4}z63g?6=8381?nmk:567?x}38j0;6=4=:2ag0?23j2wp8=m50;296?5dlk0?9?5r{52`>5<72;08oh<544;8y~27k3:1<7<53bg:>1073tq?6ea83>=;6st41a94?6=:39hj:4;6d9~16d290;6?4;o7>50;097a622==h7pu;0b83>5<52:n;o7:73:x05e=83:1>7=k1287j=8;6:b>{|<9i1<7>52;1g6c<3i?1vw9>l:183>7<4l:<18lj4}z63g?6=8381?i=k:5`7?x}38j0;6=4=:2f70?2ej2wp8=m50;296?5c5<72;08h8<54b;8y~27k3:1<7<53e7:>1b73tq?6b183>o;6st41a94?6=:39o::4;dd9~16d290;6?4;o7>50;097a122=oh7pu;0b83>5<52:n7=k8287bd=zs=:h6=4?:380`=g==990qv:?c;294?4=;m3:68>l;|y74f<729096>j68;721>{|<9i1<7>52;1g=c<29j1vw9>l:183>7<4lh<19?=4}z63g?6=8381?iok:40b?x}38j0;6=4=:2fa0?3492wp8=m50;296?5cjk0>?55r{52`>5<72;08hn<552d8y~27k3:1<7<53ea:>0213tq?6bc83??h6st41a94?6=:39oh:4:559~16d290;6?4;o7>50;097ac22<<97pu;0b83>5<52:nno7;99:x05e=83:1>7=kf28635=zs=:h6=4?:380`cg==>=0qv:?c;294?4=;l::689j;|y74f<729096>k?8;7;1>{|<9i1<7>52;1f4c<20j1vw9>l:183>7<4m8<194=4}z63g?6=8381?h?k:4;b?x}38j0;6=4=:2g10?3f92wp8=m50;296?5b:k0>m55r{52`>5<72;08i><55`d8y~27k3:1<7<53d1:>0d13tq?6c383?ih6st41a94?6=:39n8:4:c59~16d290;6?4;o7>50;097`3225<52:o>o7;k9:x05e=83:1>7=j6286a5=zs=:h6=4?:380a3g==l=0qv:?c;294?4=;l=:68kj;|y74f<729096>k88;7e1>{|<9i1<7>52;1f3c<2nj1vw9>l:183>7<4m1<1:==4}z63g?6=8381?h6k:72b?x}38j0;6=4=:2g:0?0692wp8=m50;296?5b1k0==55r{52`>5<72;08il<560d8y~27k3:1<7<53dc:>3413tq?6ce83<9h6st41a94?6=:39nn:49359~16d290;6?4;o7>50;097`e22?>97pu;0b83>5<52:oho78;9:x05e=83:1>7=jd28515=zs=:h6=4?:380aag=><=0qv:?c;294?4=;lo:6;;j;|y74f<729096>kj8;451>{|<9i1<7>52;1fac<1>l1vw9>l:183>7<4mo<1::94}z63g?6=8381?hhk:7:3?x}38j0;6=4=:2d30?0??2wp8=m50;296?5a8k0=4h5r{52`>5<72;08j<<56878y~27k3:1<7<53g3:>3?d3tq?6`583:49a`9~16d290;6?4;o7>50;097c522?h37pu;0b83>5<52:l8o78mf:x05e=83:1>7=i4285g3=zs=:h6=4?:380b1g=>jn0qv:?c;294?4=;o?:6;j;;|y74f<729096>h:8;4gf>{|<9i1<7>52;1e1c<1m;1vw9>l:183>7<4n?<1:h74}z63g?6=8381?k8k:7d3?x}38j0;6=4=:2d40?0a?2wp8=m50;296?5a?k0=jh5r{52`>5<72;08j5<57178y~27k3:1<7<53g::>26d3tq?6`>83=:?6st41a94?6=:39m5:481`9~16d290;6?4;o7>50;097cg22>837pu;0b83>5<52:ljo79=f:x05e=83:1>7=ib28473=zs=:h6=4?:380bgg=?:n0qv:?c;294?4=;oi:6::;;|y74f<729096>hl8;57f>{|<9i1<7>52;1egc<0=;1vw9>l:183>7<4nm<1;874}z63g?6=8381?kjk:643?x}38j0;6=4=:2df0?11?2wp8=m50;296?5amk0<:h5r{52`>5<72;08jk<57678y~27k3:1<7<53gd:>21d3tq?16783=3?6st41a94?6=:3>;<:488`9~16d290;6?4;01g93<7;o7>50;0905722>337pu;0b83>5<52=::o796f:x05e=83:1>7:?2284e3=zs=:h6=4?:38747g=?hn0qv:?c;294?4=<99:6:l;;|y74f<7290969><8;5af>{|<9i1<7>52;637c<0k;1vw9>l:183>7<38=<1;n74}z63g?6=83818=:k:6f3?x}38j0;6=4=:5260?1c12wp8=m50;296?27=k05<72;0?<;<57d`8y~27k3:1<7<5414:>2`53tq?16083=m56st41a94?6=:3>;;:47019~16d290;6?4;06g9<51;o7>50;0905>221:n7pu;0b83>5<52=:3o76>5:x05e=83:1>7:?928;5f=zs=:h6=4?:3874n8;:05>{|<9i1<7>52;63ecl:183>7<38k<14>h4}z63g?6=83818=lk:965?x}38j0;6=4=:52`0?>3l2wp8=m50;296?27kk03995r{52`>5<72;0?=053tq?16b832=56st41a94?6=:3>;i:47719~16d290;6?4;0dg9<21;o7>50;0905`221=n7pu;0b83>5<52=:mo7675:x05e=83:1>7:>028;8;:b5>{|<9i1<7>52;625cl:183>7<39;<14lh4}z63g?6=83818<el2wp8=m50;296?26;k03o95r{52`>5<72;0?=9<58b`8y~27k3:1<7<5406:>=b53tq?172832o56st41a94?6=:3>:9:47e19~16d290;6?4;14g9<`1;o7>50;09040221on7pu;0b83>5<52=;=o76i5:x05e=83:1>7:>728;bf=zs=:h6=4?:38752g=1990qv:?c;294?4=<82:64>n;|y74f<7290969?78;;25>{|<9i1<7>52;62911vw9>l:183>7<390<155<72;0?=o<592`8y~27k3:1<7<540`5><5a3tq?17ej33?86st41a94?6=:3>:nk46499~16d290;6?4;1b69=1b;o7>50;0904e?20?:7pu;0b83>5<52=;hh77:6:x05e=83:1>7:>d08:1d=zs=:h6=4?:3875a0=1{|<9i1<7>52;62a6<>>j1vw9>l:183>7<39l215:?4}z63g?6=838185<72;0?=ko59918y~27k3:1<7<540df><>03tq?147;333o6st41a94?6=:3>9<:46919~16d290;6?4;21a9=<3;o7>50;09077720327pu;0b83>5<52=8:9776e:x05e=83:1>7:=188:e7=zs=:h6=4?:38764b=1h<0qv:?c;294?4=<;8964om;|y74f<7290969<=6;;bb>{|<9i1<7>52;616g<>j=1vw9>l:183>7<3:;l15o64}z63g?6=83818?=;:8`g?x}38j0;6=4=:5005<72;0?>9?59bc8y~27k3:1<7<54365>143i33o?6st41a94?6=:3>98k46d99~16d290;6?4;2419=ae;o7>50;09073?20o:7pu;0b83>5<52=8>o77j5:x05e=83:1>7:=608:ad=zs=:h6=4?:387633=1lo0qv:?c;294?4=<;{|<9i1<7>52;6136<>nj1vw9>l:183>7<3:>=1m=>4}z63g?6=83818?9l:`26?x}38j0;6=4=:50;7?g7m2wp8=m50;296?250h0j=:5r{52`>5<72;0?>4?5a328y~27k3:1<7<543;;>d4>3tq?14>n3k8>6st41a94?6=:3>9m;4n3c9~16d290;6?4;2`f9e12;o7>50;0907d32h>o7pu;0b83>5<52=8in7o:6:x05e=83:1>7:=c38b1c=zs=:h6=4?:3876f?=i?20qv:?c;294?4=<;io6l8l;|y74f<7290969{|<9i1<7>52;61`3l:183>7<3:mk1m:74}z63g?6=83818?ji:`5f?x}38j0;6=4=:50f7?g?:2wp8=m50;296?25m10j4:5r{52`>5<72;0?>hm5a9`8y~27k3:1<7<543d2>d?73tq?14a=3k286st41a94?6=:3>9jl4n989~16d290;6?4;2gg9e;o7>50;0906642hk97pu;0b83>5<52=9;;7on6:x05e=83:1>7:<0b8beg=zs=:h6=4?:387746=ihl0qv:?c;294?4=<:;>6ll;;|y74f<7290969=>9;ca<>{|<9i1<7>52;605`l:183>7<3;;81mn?4}z63g?6=83818><8:`a5?x}38j0;6=4=:511f?gdi2wp8=m50;296?24;90jok5r{52`>5<72;0??>95ae:8y~27k3:1<7<5421f>dc63tq?153=3knm6st41a94?6=:3>88n4nf29~16d290;6?4;3419ece;o7>50;09063f2k:>7pu;0b83>5<52=9==7l?e:x05e=83:1>7:<698a52=zs=:h6=4?:38773`=j;:0qv:?c;294?4=<:==6o<6;|y74f<7290969=8d;`06>{|<9i1<7>52;60<1l:183>7<3;1h1n9:4}z63g?6=83818>7=:c6g?x}38j0;6=4=:51:=?d2>2wp8=m50;296?24i90i9k5r{52`>5<72;0??l95b7:8y~27k3:1<7<542cf>g163tq?15e=3h8nn4m829~16d290;6?4;3b19f=e;o7>50;0906ef2k3>7pu;0b83>5<52=9o=7l6e:x05e=83:1>7:{|<9i1<7>52;60a2l:183>7<3;lh1no64}z63g?6=83818>h?:c`g?x}38j0;6=4=:51e0?dd92wp8=m50;296?24n00io;5r{52`>5<72;0??kj5bbc8y~27k3:1<7<54521>gea3tq?127>3ho?6st41a94?6=:3>?;o7>50;0901732ko:7pu;0b83>5<52=>:47lj5:x05e=83:1>7:;1e8aad=zs=:h6=4?:387077=jlo0qv:?c;294?4=<=8=6oh<;|y74f<7290969:=a;`e3>{|<9i1<7>52;676cl:183>7<3<:91o=>4}z63g?6=838189=7:b26?x}38j0;6=4=:560b?e7m2wp8=m50;296?235<72;0?89j5c328y~27k3:1<7<54577>f4>3tq?122j3i8>6st41a94?6=:3>?:?4l389~16d290;6?4;47;9g16;o7>50;0901172j><7pu;0b83>5<52=><;7m;e:x05e=83:1>7:;7d8`10=zs=:h6=4?:3870=3=k{|<9i1<7>52;67=dl:183>7<32wp8=m50;296?23j?0h4k5r{52`>5<72;0?8oj5c8:8y~27k3:1<7<545a7>fg63tq?12dj3ijm6st41a94?6=:3>?h?4lb29~16d290;6?4;4e;9gge;o7>50;0901c72ji>7pu;0b83>5<52=>n;7mle:x05e=83:1>7:;ed8``2=zs=:h6=4?:3870c3=kl:0qv:?c;294?4=<=lh6nk6;|y74f<7290969;?3;ae6>{|<9i1<7>52;664dl:183>7<3=8;1h=:4}z63g?6=838188?7:e2g?x}38j0;6=4=:572b?b6>2wp8=m50;296?22:?0o=k5r{52`>5<72;0?9?j5d3:8y~27k3:1<7<54417>a563tq?134j3n8m6st41a94?6=:3>>8?4k429~16d290;6?4;55;9`1e;o7>50;0900372m?>7pu;0b83>5<52=?>;7j:e:x05e=83:1>7::5d8g22=zs=:h6=4?:387134=l?h0qv:?c;294?4=<<<=6i8i;|y74f<7290969;9b;f40>{|<9i1<7>52;662cl:183>7<3=>91h:m4}z63g?6=83818898:e:3?x}38j0;6=4=:574a?b??2wp8=m50;296?220<0o4h5r{52`>5<72;0?95m5d878y~27k3:1<7<544;0>a?d3tq?13>i3nj?6st41a94?6=:3>>m<4ka`9~16d290;6?4;5`:9`g7;o7>50;0900ga2mh37pu;0b83>5<52=?i:7jmf:x05e=83:1>7::be8gg3=zs=:h6=4?:3871f2=ljn0qv:?c;294?4=<{|<9i1<7>52;66`<l:183>7<3=mo1hh94}z63g?6=838188k::egf?x}38j0;6=4=:57fg?ba=2wp8=m50;296?22n:0ojn5r{52`>5<72;0?9ko5e118y~27k3:1<7<54722>`6f3tq?10703o:=6st41a94?6=:3>=;o7>50;09037c2l8=7pu;0b83>5<52=<987k=d:x05e=83:1>7:92c8f71=zs=:h6=4?:387264=m:h0qv:?c;294?4={|<9i1<7>52;6502l:183>7<3>=o1i894}z63g?6=83818;;;:d7g?x}38j0;6=4=:546e?c1;2wp8=m50;296?21>90n:45r{52`>5<72;0?:;85e7d8y~27k3:1<7<5474`>`123tq?100:3o=;54j809~16d290;6?4;66g9a=1;o7>50;0903>42l2h7pu;0b83>5<52=<357k62:x05e=83:1>7:9918f=<=zs=:h6=4?:3872<2=m0n0qv:?c;294?4=;|y74f<729096986c;gb1>{|<9i1<7>52;65e5l:183>7<3>h=1io>4}z63g?6=83818;oj:d`4?x}38j0;6=4=:54a1?cem2wp8=m50;296?21jj0no85r{52`>5<72;0?:n=5eba8y~27k3:1<7<547ab>`b43tq?10c93oom6st41a94?6=:3>=h54je09~16d290;6?4;6ed9a`>;o7>50;0903c12lom7pu;0b83>5<52=7:9f58fba=zs=:h6=4?:3872cd=n9>0qv:?c;294?4=<>:96k>m;|y74f<72909699?9;d26>{|<9i1<7>52;6455l:183>7<3?8<1j5<72;0?;?k5f258y~27k3:1<7<54617>c5c3tq?114i3l??6st41a94?6=:3><8=4i489~16d290;6?4;7579b1c;o7>50;09022e2o??7pu;0b83>5<52==>>7h:b:x05e=83:1>7:8578e1c=zs=:h6=4?:38730g=n?90qv:?c;294?4=<>?n6k88;|y74f<7290969992;d5f>{|<9i1<7>52;642<l:183>7<3?>:1j:74}z63g?6=83818:98:g:3?x}38j0;6=4=:554a?`??2wp8=m50;296?200<0m4h5r{52`>5<72;0?;5m5f878y~27k3:1<7<546;0>c?d3tq?11>i3lj?6st41a94?6=:3>;o7>50;0902ga2oh37pu;0b83>5<52==i:7hmf:x05e=83:1>7:8be8eg3=zs=:h6=4?:3873f2=njn0qv:?c;294?4=<>ii6kj;;|y74f<72909699k2;dgf>{|<9i1<7>52;64`=l:183>7<3?mo1jh94}z63g?6=83818:k;:ggg?x}38j0;6=4=:55fe?`a;2wp8=m50;296?20n90mj45r{52`>5<72;0?;k85fgd8y~27k3:1<7<546d`>467=2wp8=m50;296?2?8;0:<=l4}z63g?6=838185>8:0224>{|<9i1<7>52;6;4a<688<0qv:?c;294?4=<1;?6<>>d:x05e=83:1>7:71982477;o7>50;090=7d28:996st41a94?6=:3>3>=4>03;8y~27k3:1<7<54907>465l2wp8=m50;296?2?:k0:<>:4}z63g?6=838185==:020f>{|<9i1<7>52;6;7<<68=80qv:?c;294?4=<1>;6<>;9:x05e=83:1>7:74682406;o7>50;090=2b28:>;6st41a94?6=:3>3984>04g8y~27k3:1<7<5497`>461=2wp8=m50;296?2?>:0:<;m4}z63g?6=8381858n:0247>{|<9i1<7>52;6;34<68>k0qv:?c;294?4=<1=36<>71:x05e=83:1>7:77g824=>;o7>50;090=>128:3j6st41a94?6=:3>34i4>0848y~27k3:1<7<549;7>46>l2wp8=m50;296?2?1h0:{|<9i1<7>52;6;e3<68hl0qv:?c;294?4=<1kh6<>m5:x05e=83:1>7:7b3824gd;o7>50;090=d?28:h=6st41a94?6=:3>3nh4>0b58y~27k3:1<7<549a7>46dl2wp8=m50;296?2?k00:{|<9i1<7>52;6;`3<68ml0qv:?c;294?4=<1nj6<>j3:x05e=83:1>7:7dd824`1;o7>50;090=c528:nn6st41a94?6=:3>3i;4>0dd8y~27k3:1<7<549gg>46a>2wp8=m50;296?2?n=0:{|<9i1<7>52;6:47<699h0qv:?c;294?4=<0:262:x05e=83:1>7:6118254?;o7>50;090<7028;9<6st41a94?6=:3>2=h4>1358y~27k3:1<7<54806>475m2wp8=m50;296?2>:j0:=>;4}z63g?6=838184=<:030g>{|<9i1<7>52;6:7d<69=90qv:?c;294?4=<0>:67:64982507;o7>50;090<2a28;>46st41a94?6=:3>29;4>14d8y~27k3:1<7<5487`>471=2wp8=m50;296?2>>;0:=;l4}z63g?6=83818487:0345>{|<9i1<7>52;6:2`<69>=0qv:?c;294?4=<0=?67:67`825=5;o7>50;090<>728;356st41a94?6=:3>24;4>19d8y~27k3:1<7<548:a>47><2wp8=m50;296?2>180:=4o4}z63g?6=83818477:03b5>{|<9i1<7>52;6:=f<69h?0qv:?c;294?4=<0k;67:6a5825db;o7>50;0902n=4>1c;8y~27k3:1<7<548`4>47d82wp8=m50;296?2>jl0:=n94}z63g?6=838184m::03`a>{|<9i1<7>52;6:gf<69m?0qv:?c;294?4=<0n867:6d`825`5;o7>50;0902i54>1g38y~27k3:1<7<548d3>47a12wp8=m50;296?2>n10:>=?4}z63g?6=83818l>?:003=>{|<9i1<7>52;6b4=<6:8;0qv:?c;294?4=9:x05e=83:1>7:n1982677;o7>50;090d47288956st41a94?6=:3>j>;4>23d8y~27k3:1<7<54`0g>444>2wp8=m50;296?2f;=0:>>j4}z63g?6=83818l=l:0071>{|<9i1<7>52;6b01<6:=n0qv:?c;294?4=h6<<:5:x05e=83:1>7:n558260b;o7>50;090d3d288=96st41a94?6=:3>j:94>27f8y~27k3:1<7<54`4`>440=2wp8=m50;296?2f?=0:>:j4}z63g?6=83818l9l:00;1>{|<9i1<7>52;6b<1<6:1n0qv:?c;294?4=7:n92826;o7>50;090d??288j=6st41a94?6=:3>j5i4>2`48y~27k3:1<7<54`c1>44fj2wp8=m50;296?2fi>0:>o>4}z63g?6=83818lol:00a1>{|<9i1<7>52;6bf6<6:ki0qv:?c;294?4=7:nc2826fe;o7>50;090dee288o86st41a94?6=:3>jh>4>2ea8y~27k3:1<7<54`fa>44b<2wp8=m50;296?2fm:0:>hm4}z63g?6=83818lkm:00e0>{|<9i1<7>52;6bb6<6:oi0qv:?c;294?4=7:m028275e;o7>50;090g6e289:86st41a94?6=:3>i=>4>30a8y~27k3:1<7<54c34>45582wp8=m50;296?2e9k0:??:4}z63g?6=83818o?i:011<>{|<9i1<7>52;6a66<6;;i0qv:?c;294?4=7:m2b82763;o7>50;090g552898n6st41a94?6=:3>i?l4>3518y~27k3:1<7<54c61>453j2wp8=m50;296?2e{|<9i1<7>52;6a1d<6;?90qv:?c;294?4=7:m6882724;o7>50;090g0b289<;6st41a94?6=:3>i;>4>36a8y~27k3:1<7<54c5b>45?;2wp8=m50;296?2e090:?574}z63g?6=83818o6::01;a>{|<9i1<7>52;6a7:m97827<`;o7>50;090g?d289j96st41a94?6=:3>im=4>3`;8y~27k3:1<7<54cc4>45e82wp8=m50;296?2eio0:?o64}z63g?6=83818ol::01aa>{|<9i1<7>52;6af<<6;j80qv:?c;294?4=7:mc0827fg;o7>50;090ge1289hj6st41a94?6=:3>ion4>3e78y~27k3:1<7<54cf0>45ck2wp8=m50;296?2elh0:?h=4}z63g?6=83818ok?:01f=>{|<9i1<7>52;6aa=<6;o;0qv:?c;294?4=7:mf4827cc;o7>50;090g`c28>;:6st41a94?6=:3>h<>4>41a8y~27k3:1<7<54b2:>426:2wp8=m50;296?2d8o0:8<64}z63g?6=83818n?9:062b>{|<9i1<7>52;6`5a<6<;<0qv:?c;294?4=7:l2c82062;o7>50;090f5528>8n6st41a94?6=:3>h?44>4508y~27k3:1<7<54b63>42312wp8=m50;296?2d<>0:88>4}z63g?6=83818n:j:0663>{|<9i1<7>52;6`10<6<7:l628203e;o7>50;090f0e28><86st41a94?6=:3>h;>4>46a8y~27k3:1<7<54b5a>42?<2wp8=m50;296?2d0;0:85l4}z63g?6=83818n6n:06:7>{|<9i1<7>52;6`=7<6<0h0qv:?c;294?4=7:la3820dd;o7>50;090fg>28>i>6st41a94?6=:3>hmi4>4c48y~27k3:1<7<54b`6>42em2wp8=m50;296?2dj00:8n<4}z63g?6=83818nm>:06`e>{|<9i1<7>52;6`g0<67:ld0820ag;o7>50;090fb>28>n>6st41a94?6=:3>hhi4>4d48y~27k3:1<7<54bg6>42bm2wp8=m50;296?2dm00:8k<4}z63g?6=83818nh>:06ee>{|<9i1<7>52;6`b2<6=9:0qv:?c;294?4=7:k048215c;o7>50;090a6e28?:86st41a94?6=:3>o=>4>50a8y~27k3:1<7<54e3:>435:2wp8=m50;296?2c:80:9?o4}z63g?6=83818i<8:0704>{|<9i1<7>52;6g6c<6=:20qv:?c;294?4=6<;7:k3e82110;o7>50;090a2228??i6st41a94?6=:3>o8n4>5478y~27k3:1<7<54e72>432i2wp8=m50;296?2c=?0:98h4}z63g?6=83818i;m:0750>{|<9i1<7>52;6g25<6=?30qv:?c;294?4=6<;9e:x05e=83:1>7:k6`82125;o7>50;090a0a28?<46st41a94?6=:3>o;94>56f8y~27k3:1<7<54e5:>43?:2wp8=m50;296?2c?l0:9594}z63g?6=83818i6<:07;g>{|<9i1<7>52;6g<=<6=0;0qv:?c;294?4=7:k93821;o7>50;090a?028?j<6st41a94?6=:3>o5n4>5`78y~27k3:1<7<54ec0>43fk2wp8=m50;296?2ci10:9o?4}z63g?6=83818iok:07a2>{|<9i1<7>52;6gf1<6=kn0qv:?c;294?4=7:kc3821fd;o7>50;090aef28?o?6st41a94?6=:3>oh?4>5e`8y~27k3:1<7<54efb>43b;2wp8=m50;296?2cm;0:9hl4}z63g?6=83818ik9:07fb>{|<9i1<7>52;6ga`<6=o=0qv:?c;294?4=7:kfd82251;o7>50;090`6128<;j6st41a94?6=:3>n

6058y~27k3:1<7<54d35>406n2wp8=m50;296?2b9l0::?94}z63g?6=83818h<9:041b>{|<9i1<7>52;6f6`<6>:=0qv:?c;294?4=7:j3d82211;o7>50;090`2128n8h4>6458y~27k3:1<7<54d75>402n2wp8=m50;296?2b=l0::;94}z63g?6=83818h89:045b>{|<9i1<7>52;6f2`<6>>=0qv:?c;294?4=7:j7d822=1;o7>50;090`>128<3j6st41a94?6=:3>n4h4>6858y~27k3:1<7<54d;5>40>n2wp8=m50;296?2b1l0::l94}z63g?6=83818ho9:04bb>{|<9i1<7>52;6fe`<6>k=0qv:?c;294?4=7:jbd822f1;o7>50;090`e128noh4>6e58y~27k3:1<7<54df5>40cn2wp8=m50;296?2blm0::h84}z63g?6=83818hk::04fa>{|<9i1<7>52;6faa<6>o<0qv:?c;294?4=6<8ie:x05e=83:1>7:jfb82353;o7>50;090c6328=;h6st41a94?6=:3>m7078y~27k3:1<7<54g37>416l2wp8=m50;296?2a9k0:;?:4}z63g?6=83818k<<:051g>{|<9i1<7>52;6e6g<6?:>0qv:?c;294?4=7:i3c82312;o7>50;090c2428=?o6st41a94?6=:3>m8o4>7468y~27k3:1<7<54g70>412k2wp8=m50;296?2a=k0:;;:4}z63g?6=83818k8<:055g>{|<9i1<7>52;6e2g<6?>>0qv:?c;294?4=7:i7c823=2;o7>50;090c>428=3o6st41a94?6=:3>m454>7838y~27k3:1<7<54g;3>41>12wp8=m50;296?2a110:;l?4}z63g?6=83818ko?:05b=>{|<9i1<7>52;6ee=<6?k;0qv:?c;294?4=7:ib4823gc;o7>50;090cdc28=h:6st41a94?6=:3>mo>4>7ba8y~27k3:1<7<54gaa>41c<2wp8=m50;296?2akl0:;io4}z63g?6=83818kj>:05f4>{|<9i1<7>52;6e`1<6?l90qv:?c;294?4=7:id`823`?;o7>50;090cbc28=no6st41a94?6=:3>mi=4>7dd8y~27k3:1<7<54gg0>41a:2wp8=m50;296?2am?0:;k;4}z63g?6=83818kk6:05e<>{|<9i1<7>52;6eaf<6?oh0qv:?c;294?4=7:if382<57;o7>50;090c`2282;86st41a94?6=:3>mj54>8158y~27k3:1<7<54gda>4>7i2wp8=m50;296?2anl0:4=j4}z63g?6=83819=>>:0:24>{|<9i1<7>52;7341<60890qv:?c;294?4==9:<6<6>6:x05e=83:1>7;?0`82<4?;o7>50;09156c282:o6st41a94?6=:3?;==4>80d8y~27k3:1<7<55130>4>5:2wp8=m50;296?379?0:4?;4}z63g?6=83819=?6:0:1<>{|<9i1<7>52;735f<60;h0qv:?c;294?4==9;m6<6=e:x05e=83:1>7;?2382<67;o7>50;091542282886st41a94?6=:3?;>54>8258y~27k3:1<7<5510a>4>4n2wp8=m50;296?37:l0:49<4}z63g?6=83819===:0:7b>{|<9i1<7>52;7370<60<80qv:?c;294?4==9926<6:f:x05e=83:1>7;?3b82<34;o7>50;091527282=j6st41a94?6=:3?;8>4>8608y~27k3:1<7<55164>4>0n2wp8=m50;296?37{|<9i1<7>52;7314<60080qv:?c;294?4==9?>6<66f:x05e=83:1>7;?5982;o7>50;09153d282jj6st41a94?6=:3?;9k4>8c08y~27k3:1<7<55140>4>en2wp8=m50;296?37>?0:4n<4}z63g?6=83819=8n:0:`b>{|<9i1<7>52;732a<60m80qv:?c;294?4==9=:6<6kf:x05e=83:1>7;?7582<`4;o7>50;09151?282nj6st41a94?6=:3?;;o4>8g08y~27k3:1<7<5515e>4>an2wp8=m50;296?370;0:5=<4}z63g?6=83819=69:0;3b>{|<9i1<7>52;73<<<61880qv:?c;294?4==92o6<7>f:x05e=83:1>7;?9182=74;o7>50;0915?32839j6st41a94?6=:3?;5:4>9208y~27k3:1<7<551;a>4?4n2wp8=m50;296?371l0:59<4}z63g?6=83819=o=:0;7b>{|<9i1<7>52;73e0<61<80qv:?c;294?4==9k26<7:a:x05e=83:1>7;?ab82=0b;o7>50;0915ga283=<6st41a94?6=:3?;n?4>9718y~27k3:1<7<551`6>4?1>2wp8=m50;296?37j10:5;74}z63g?6=83819=lm:0;5g>{|<9i1<7>52;73f`<61?l0qv:?c;294?4==9i:6<782:x05e=83:1>7;?c582=23;o7>50;0915e0283<46st41a94?6=:3?;ol4>96`8y~27k3:1<7<551ag>4?0m2wp8=m50;296?37l90:55?4}z63g?6=83819=j<:0;;0>{|<9i1<7>52;73`3<611=0qv:?c;294?4==9n26<77a:x05e=83:1>7;?db82==b;o7>50;0915ba2832<6st41a94?6=:3?;i?4>9818y~27k3:1<7<551g6>4?>>2wp8=m50;296?37m10:5474}z63g?6=83819=km:0;:g>{|<9i1<7>52;73a`<610l0qv:?c;294?4==9l:6<7n2:x05e=83:1>7;?f582=d3;o7>50;0915`e283jo6st41a94?6=:3?:9c18y~27k3:1<7<5502:>4?ei2wp8=m50;296?36990:5n?4}z63g?6=83819{|<9i1<7>52;725f<6i?;0qv:?c;294?4==88867;>2`82e3`;o7>50;09145628k<:6st41a94?6=:3?:?54>a6f8y~27k3:1<7<5501e>4g?<2wp8=m50;296?36{|<9i1<7>52;7211<6i030qv:?c;294?4==8?i67;>6382ed1;o7>50;09140>28kji6st41a94?6=:3?:;=4>ac78y~27k3:1<7<55054>4gek2wp8=m50;296?36?l0:mn=4}z63g?6=83819<6::0c`e>{|<9i1<7>52;727;>9`82ea`;o7>50;0914g628kn:6st41a94?6=:3?:m54>adf8y~27k3:1<7<550ce>4ga<2wp8=m50;296?36j?0:mkl4}z63g?6=83819{|<9i1<7>52;72g1<6j930qv:?c;294?4==8ii60:x05e=83:1>7;>d382f41;o7>50;0914b>28h:i6st41a94?6=:3?:i=4>b378y~27k3:1<7<550g4>4d5k2wp8=m50;296?36ml0:n>=4}z63g?6=83819{|<9i1<7>52;72bf<6j=;0qv:?c;294?4==;:867;=0`82f1`;o7>50;09177728h>96st41a94?6=:3?9=;4>b4`8y~27k3:1<7<5533`>4d192wp8=m50;296?35:=0:n;74}z63g?6=83819?{|<9i1<7>52;7171<6j>30qv:?c;294?4==;9i67;=4382f=1;o7>50;09172>28h3i6st41a94?6=:3?99=4>b878y~27k3:1<7<55374>4d>k2wp8=m50;296?35=l0:nl=4}z63g?6=83819?8::0`be>{|<9i1<7>52;712f<6jk;0qv:?c;294?4==;=;67;=7482fgg;o7>50;09171c28hh>6st41a94?6=:3?94?4>bb58y~27k3:1<7<553:b>4ddn2wp8=m50;296?350o0:ni:4}z63g?6=83819?78:0`gg>{|<9i1<7>52;71=f<6jl;0qv:?c;294?4==;k?67;=a882f`c;o7>50;0917d628hm:6st41a94?6=:3?9n44>bgg8y~27k3:1<7<553a2>4e7>2wp8=m50;296?35k00:o=k4}z63g?6=83819?j>:0a22>{|<9i1<7>52;71`<<6k8o0qv:?c;294?4==;o:67;=e682g7e;o7>50;0917cc28i8>6st41a94?6=:3?9j>4>c2:8y~27k3:1<7<553d:>4e4m2wp8=m50;296?35no0:o9:4}z63g?6=83819>>::0a7e>{|<9i1<7>52;704g<6k<:0qv:?c;294?4==:;:67;<1682g0e;o7>50;09167c28i=>6st41a94?6=:3?8>>4>c7:8y~27k3:1<7<5520:>4e1m2wp8=m50;296?34;80:o:84}z63g?6=83819>=6:0a4a>{|<9i1<7>52;7004<6k1<0qv:?c;294?4==:>267;<5082g<0;o7>50;09163>28i2i6st41a94?6=:3?8:<4>c`48y~27k3:1<7<5524:>4efm2wp8=m50;296?34?80:oo84}z63g?6=83819>96:0aaa>{|<9i1<7>52;70<4<6kj<0qv:?c;294?4==:2>67;<8882gfc;o7>50;0916>c28io>6st41a94?6=:3?85<4>ce48y~27k3:1<7<552;6>4eci2wp8=m50;296?34100:oik4}z63g?6=83819>7k:0af6>{|<9i1<7>52;70e4<6kl<0qv:?c;294?4==:k>67;;o7>50;0916gc28im>6st41a94?6=:3?8n84>cgc8y~27k3:1<7<552`b>4ean2wp8=m50;296?34k;0:h=94}z63g?6=83819>m6:0f3a>{|<9i1<7>52;70`4<6l8<0qv:?c;294?4==:n36d:x05e=83:1>7;;o7>50;0916c128n9n6st41a94?6=:3?8ii4>d208y~27k3:1<7<552d0>4b402wp8=m50;296?34nk0:h9>4}z63g?6=838199>=:0f73>{|<9i1<7>52;774=<6l=n0qv:?c;294?4===;;67;;1682`0e;o7>50;09117c28n=>6st41a94?6=:3??>84>d7c8y~27k3:1<7<5550`>4b092wp8=m50;296?33;;0:h:94}z63g?6=838199=n:0f4b>{|<9i1<7>52;777`<6l190qv:?c;294?4===>967;;4`82`=`;o7>50;09113628n2:6st41a94?6=:3??9;4>d8`8y~27k3:1<7<5557f>4bf;2wp8=m50;296?33>:0:hl64}z63g?6=83819987:0fb`>{|<9i1<7>52;7735<6lk?0qv:?c;294?4====367;;7e82`f4;o7>50;0911>228nhm6st41a94?6=:3??4i4>de08y~27k3:1<7<555;1>4bc?2wp8=m50;296?331h0:hih4}z63g?6=838199o=:0ff3>{|<9i1<7>52;77e2<6lli0qv:?c;294?4===km67;;b682`ce;o7>50;0911dd28o;=6st41a94?6=:3??o94>e1;8y~27k3:1<7<555a`>4c692wp8=m50;296?33l=0:i<74}z63g?6=838199jl:0g15>{|<9i1<7>52;77a1<6m;30qv:?c;294?4===oh67;;f582a6?;o7>50;0911`>28o8i6st41a94?6=:3??jh4>e518y~27k3:1<7<55427>4c312wp8=m50;296?328j0:i8?4}z63g?6=838198?=:0g63>{|<9i1<7>52;765d<6m7;:2982a3b;o7>50;09104b28o?;4>e6`8y~27k3:1<7<5541`>4c?92wp8=m50;296?32<=0:i574}z63g?6=838198:m:0g:4>{|<9i1<7>52;7615<6m0?0qv:?c;294?4==7;:6182ad3;o7>50;09100?28ojh6st41a94?6=:3?>;=4>ec78y~27k3:1<7<5545;>4cel2wp8=m50;296?32090:in;4}z63g?6=83819867:0g``>{|<9i1<7>52;760qv:?c;294?4==<3<67;:9g82a`2;o7>50;0910g028ono6st41a94?6=:3?>mk4>eg68y~27k3:1<7<554`4>4cak2wp8=m50;296?32jo0:j=:4}z63g?6=838198m8:0d3g>{|<9i1<7>52;76gc<6n8>0qv:?c;294?4==c:x05e=83:1>7;:dg82b72;o7>50;0910c028l9o6st41a94?6=:3?>ik4>f268y~27k3:1<7<554d4>4`4k2wp8=m50;296?32no0:j9:4}z63g?6=83819;>8:0d7g>{|<9i1<7>52;754c<6n<>0qv:?c;294?4==?;<67;91g82b32;o7>50;09134028l=o6st41a94?6=:3?=>k4>f668y~27k3:1<7<55714>4`0k2wp8=m50;296?31;o0:j5:4}z63g?6=83819;:::0d;e>{|<9i1<7>52;750a<6n080qv:?c;294?4==??867;95c82bd6;o7>50;09130628lj:6st41a94?6=:3?=:44>f`g8y~27k3:1<7<5574e>4`e<2wp8=m50;296?31?>0:jom4}z63g?6=83819;9k:0d`6>{|<9i1<7>52;75<0<6njk0qv:?c;294?4==?2h67;99282ba>;o7>50;0913?f28loj6st41a94?6=:3?=m<4>fd48y~27k3:1<7<557c;>4`bl2wp8=m50;296?31j90:jk;4}z63g?6=83819;l7:0de`>{|<9i1<7>52;75g5<589?0qv:?c;294?4==?i36?>?d:x05e=83:1>7;9d181443;o7>50;0913b32;::56st41a94?6=:3?=h54=00f8y~27k3:1<7<557g3>765=2wp8=m50;296?31m?09{|<9i1<7>52;75b1<58:30qv:?c;294?4==?lj6?>7;80181413;o7>50;09126?2;:?h6st41a94?6=:3?<76212wp8=m50;296?309j09<;?4}z63g?6=83819:<=:3253>{|<9i1<7>52;746=<58?n0qv:?c;294?4==>9;6?>85:x05e=83:1>7;8378142d;o7>50;09125d2;:3=6st41a94?6=:3?<894=09;8y~27k3:1<7<5566`>76>92wp8=m50;296?30=;09<494}z63g?6=83819:;n:32:b>{|<9i1<7>52;7424<58h<0qv:?c;294?4==><36?>nd:x05e=83:1>7;86g814g2;o7>50;0912112;:in6st41a94?6=:3?<;i4=0b08y~27k3:1<7<556:7>76d12wp8=m50;296?300j09{|<9i1<7>52;74=d<58ml0qv:?c;294?4==>k:6?>j6:x05e=83:1>7;8a8814`c;o7>50;0912d72;:m96st41a94?6=:3?777<2wp8=m50;296?30k?09==l4}z63g?6=83819:mj:3327>{|<9i1<7>52;74`0<598k0qv:?c;294?4==>no6??=2:x05e=83:1>7;8e58157?;o7>50;0912ce2;;8<6st41a94?6=:3?4=12:8y~27k3:1<7<556db>774n2wp8=m50;296?3?8;09=994}z63g?6=838195>6:337a>{|<9i1<7>52;7;55<597;71g81532;o7>50;091=402;;=o6st41a94?6=:3?3>h4=1618y~27k3:1<7<55916>770i2wp8=m50;296?3?;m09=5<4}z63g?6=838195:;:33;=>{|<9i1<7>52;7;0f<590;0qv:?c;294?4==1?86??68:x05e=83:1>7;75`815<`;o7>50;091=052;;j;6st41a94?6=:3?3:44=1`g8y~27k3:1<7<55952>77e>2wp8=m50;296?3??109=oj4}z63g?6=8381959i:33`0>{|<9i1<7>52;7;<2<59ji0qv:?c;294?4==12n6??k3:x05e=83:1>7;797815ad;o7>50;091=?c2;;n>6st41a94?6=:3?3m94=1d;8y~27k3:1<7<559c`>77a92wp8=m50;296?3?j:09=k64}z63g?6=838195l7:33e`>{|<9i1<7>52;7;fa<5:980qv:?c;294?4==1i96?7;7c88165c;o7>50;091=eb2;8:?6st41a94?6=:3?3h>4=20:8y~27k3:1<7<559f;>746l2wp8=m50;296?3?lm09>?<4}z63g?6=838195k=:3013>{|<9i1<7>52;7;a2<5:;i0qv:?c;294?4==1oo6?<<2:x05e=83:1>7;7f58166?;o7>50;091=`d2;8?=6st41a94?6=:3?2<>4=25:8y~27k3:1<7<5582a>74282wp8=m50;296?3>9809>884}z63g?6=838194?6:306a>{|<9i1<7>52;7:65<5:??0qv:?c;294?4==08<6?<9c:x05e=83:1>7;62d81625;o7>50;091<522;874?02wp8=m50;296?3>5h4}z63g?6=838194;>:30:2>{|<9i1<7>52;7:1=<5:0n0qv:?c;294?4==0?m6?7;667816dd;o7>50;091<0c2;8i>6st41a94?6=:3?2;94=2c;8y~27k3:1<7<5585a>74d82wp8=m50;296?3>0;09>n94}z63g?6=83819466:30`a>{|<9i1<7>52;7:=5<5:m?0qv:?c;294?4==03<6?7;69d816`5;o7>50;09174a02wp8=m50;296?3>jh09>kh4}z63g?6=838194m>:3132>{|<9i1<7>52;7:g=<5;9n0qv:?c;294?4==0im6?=>4:x05e=83:1>7;6d78174d;o7>50;0916st41a94?6=:3?2i94=33;8y~27k3:1<7<558ga>75482wp8=m50;296?3>n;09?>94}z63g?6=838194h6:310a>{|<9i1<7>52;7b45<5;=?0qv:?c;294?4==h:<6?=;c:x05e=83:1>7;n0d81705;o7>50;091d722;9>m6st41a94?6=:3?j=n4=3738y~27k3:1<7<55`00>75102wp8=m50;296?3f:h09?;h4}z63g?6=83819l=>:3142>{|<9i1<7>52;7b7=<5;>n0qv:?c;294?4==h9m6?=74:x05e=83:1>7;n47817=d;o7>50;091d2c2;92>6st41a94?6=:3?j994=38;8y~27k3:1<7<55`7a>75f82wp8=m50;296?3f>;09?l94}z63g?6=83819l86:31ba>{|<9i1<7>52;7b35<5;k?0qv:?c;294?4==h=<6?=mc:x05e=83:1>7;n7d817f5;o7>50;091d>22;9hm6st41a94?6=:3?j4n4=3e38y~27k3:1<7<55`;0>75c02wp8=m50;296?3f1h09?ih4}z63g?6=83819lo>:31f2>{|<9i1<7>52;7be=<5;ln0qv:?c;294?4==hkm6?=i4:x05e=83:1>7;nb7817cd;o7>50;091ddc2;>;>6st41a94?6=:3?jo94=41;8y~27k3:1<7<55`aa>72682wp8=m50;296?3fl;098<94}z63g?6=83819lj6:362a>{|<9i1<7>52;7ba5<5<;?0qv:?c;294?4==ho<6?:=c:x05e=83:1>7;ned81065;o7>50;091d`22;>8m6st41a94?6=:3?jjn4=4538y~27k3:1<7<55c20>72302wp8=m50;296?3e8h0989h4}z63g?6=83819o?>:3662>{|<9i1<7>52;7a5=<5<7;m278103d;o7>50;091g4c2;><>6st41a94?6=:3?i?94=46;8y~27k3:1<7<55c1a>72?82wp8=m50;296?3e<;098594}z63g?6=83819o:6:36;a>{|<9i1<7>52;7a15<5<0?0qv:?c;294?4==k?<6?:6c:x05e=83:1>7;m5d810d5;o7>50;091g022;>jm6st41a94?6=:3?i:n4=4c38y~27k3:1<7<55c50>72e02wp8=m50;296?3e?h098oh4}z63g?6=83819o6>:36`2>{|<9i1<7>52;7a<=<57;m97810ad;o7>50;091g?c2;>n>6st41a94?6=:3?im94=4d;8y~27k3:1<7<55cca>72a82wp8=m50;296?3ej;098k94}z63g?6=83819ol6:36ea>{|<9i1<7>52;7ag5<5=9?0qv:?c;294?4==ki<6?;?c:x05e=83:1>7;mcd81145;o7>50;091gb22;?:m6st41a94?6=:3?ihn4=5338y~27k3:1<7<55cg0>73502wp8=m50;296?3emh099?h4}z63g?6=83819oh>:3702>{|<9i1<7>52;7ab=<5=:n0qv:?c;294?4==klm6?;;4:x05e=83:1>7;l078111d;o7>50;091f6c2;?>>6st41a94?6=:3?h=94=54;8y~27k3:1<7<55b3a>73182wp8=m50;296?3d:;099;94}z63g?6=83819n<6:375a>{|<9i1<7>52;7`75<5=>?0qv:?c;294?4==j9<6?;8c:x05e=83:1>7;l3d811=5;o7>50;091f222;?3m6st41a94?6=:3?h8n4=5838y~27k3:1<7<55b70>73>02wp8=m50;296?3d=h0994h4}z63g?6=83819n8>:37b2>{|<9i1<7>52;7`2=<5=hn0qv:?c;294?4==j7;l77811gd;o7>50;091f1c2;?h>6st41a94?6=:3?h494=5b;8y~27k3:1<7<55b:a>73c82wp8=m50;296?3d1;099i94}z63g?6=83819n76:37ga>{|<9i1<7>52;7`e5<5=l?0qv:?c;294?4==jk<6?;jc:x05e=83:1>7;lad811c5;o7>50;091fd22;?mm6st41a94?6=:3?hnn4=6138y~27k3:1<7<55ba0>70702wp8=m50;296?3dkh09:=h4}z63g?6=83819nj>:3422>{|<9i1<7>52;7``=<5>8n0qv:?c;294?4==jnm6?8=4:x05e=83:1>7;le78127d;o7>50;091fcc2;<8>6st41a94?6=:3?hj94=62;8y~27k3:1<7<55bda>70382wp8=m50;296?3c8;09:994}z63g?6=83819i>6:347a>{|<9i1<7>52;7g55<5>7;k1g81232;o7>50;091a402;<=o6st41a94?6=:3?o>k4=6668y~27k3:1<7<55e14>700k2wp8=m50;296?3c;o09:5:4}z63g?6=83819i:8:34;g>{|<9i1<7>52;7g0c<5>0>0qv:?c;294?4==m?<6?86c:x05e=83:1>7;k5g812d2;o7>50;091a002;70ek2wp8=m50;296?3c?o09:n:4}z63g?6=83819i68:34`g>{|<9i1<7>52;7gm>0qv:?c;294?4==m3?6?8k9:x05e=83:1>7;k98812ac;o7>50;091a?b2;4=6d:8y~27k3:1<7<55ec;>70bl2wp8=m50;296?3cim09:k<4}z63g?6=83819il=:34e3>{|<9i1<7>52;7gf2<5>oi0qv:?c;294?4==mhh6?9?1:x05e=83:1>7;kc081350;o7>50;091ae12;=;n6st41a94?6=:3?ooo4=7028y~27k3:1<7<55ef3>716=2wp8=m50;296?3cl<09;{|<9i1<7>52;7g`c<5?;>0qv:?c;294?4==mo?6?9=9:x05e=83:1>7;ke88137c;o7>50;091acb2;=8?6st41a94?6=:3?oj>4=72:8y~27k3:1<7<55ed;>714l2wp8=m50;296?3cnm09;9<4}z63g?6=83819h>=:3573>{|<9i1<7>52;7f42<5?=i0qv:?c;294?4==l:h6?9:1:x05e=83:1>7;j1081300;o7>50;091`712;=>n6st41a94?6=:3?n=o4=7728y~27k3:1<7<55d03>711=2wp8=m50;296?3b:<09;;o4}z63g?6=83819h{|<9i1<7>52;7f6c<5?>>0qv:?c;294?4==l9?6?989:x05e=83:1>7;j388132c;o7>50;091`5b2;=3?6st41a94?6=:3?n8>4=79:8y~27k3:1<7<55d6;>71?l2wp8=m50;296?3b{|<9i1<7>52;7f12<5?0i0qv:?c;294?4==l?h6?9n1:x05e=83:1>7;j60813d0;o7>50;091`012;=jn6st41a94?6=:3?n:o4=7c28y~27k3:1<7<55d53>71e=2wp8=m50;296?3b?<09;oo4}z63g?6=83819h9n:35ab>{|<9i1<7>52;7f3c<5?j>0qv:?c;294?4==l2?6?9l9:x05e=83:1>7;j88813fc;o7>50;091`>b2;=o?6st41a94?6=:3?n5>4=7e:8y~27k3:1<7<55d;;>71cl2wp8=m50;296?3b1m09;h<4}z63g?6=83819ho=:35f3>{|<9i1<7>52;7fe2<5?li0qv:?c;294?4==lkh6?9i1:x05e=83:1>7;jb0813c0;o7>50;091`d12;=mn6st41a94?6=:3?nno4=8128y~27k3:1<7<55da3>7>7=2wp8=m50;296?3bk<094=o4}z63g?6=83819hmn:3:3b>{|<9i1<7>52;7fgc<508>0qv:?c;294?4==ln?6?6>9:x05e=83:1>7;jd881<4c;o7>50;091`bb2;29?6st41a94?6=:3?ni>4=83:8y~27k3:1<7<55dg;>7>5l2wp8=m50;296?3bmo094>:4}z63g?6=83819hh9:3:0f>{|<9i1<7>52;7fba<50=80qv:?c;294?4==o:?6?6;9:x05e=83:1>7;i0c81<06;o7>50;091c752;2>;6st41a94?6=:3?m=44=84g8y~27k3:1<7<55g03>7>1=2wp8=m50;296?3a:>094;m4}z63g?6=83819k{|<9i1<7>52;7e70<50>k0qv:?c;294?4==o9h6?671:x05e=83:1>7;i4081<=0;o7>50;091c212;23n6st41a94?6=:3?m8o4=8828y~27k3:1<7<55g73>7>>=2wp8=m50;296?3a=<0944o4}z63g?6=83819k;n:3::b>{|<9i1<7>52;7e1c<50h>0qv:?c;294?4==o7;i6881;o7>50;091c0b2;2i?6st41a94?6=:3?m;>4=8c:8y~27k3:1<7<55g5;>7>el2wp8=m50;296?3a?m094n<4}z63g?6=83819k6=:3:`3>{|<9i1<7>52;7e<2<50ji0qv:?c;294?4==o2h6?6k1:x05e=83:1>7;i9081;o7>50;091c?12;2on6st41a94?6=:3?m5o4=8d28y~27k3:1<7<55gc3>7>b=2wp8=m50;296?3ai<094ho4}z63g?6=83819kon:3:fb>{|<9i1<7>52;7ef4<50o<0qv:?c;294?4==oh36?6id:x05e=83:1>7;ibg81=52;o7>50;091ce12;3;n6st41a94?6=:3?moi4=9008y~27k3:1<7<55gf7>7?612wp8=m50;296?3alk095?>4}z63g?6=83819kk=:3;13>{|<9i1<7>52;7ea<<51;o0qv:?c;294?4==ol;6?7<5:x05e=83:1>7;if681=6e;o7>50;091c`b2;3??6st41a94?6=:3<;<84=95c8y~27k3:1<7<5612`>7?292wp8=m50;296?079:095864}z63g?6=8381:=?n:3;6b>{|<9i1<7>52;4364<51?<0qv:?c;294?4=>9836?79d:x05e=83:1>78?2g81=22;o7>50;0925512;37??12wp8=m50;296?074}z63g?6=8381:=;=:3;:3>{|<9i1<7>52;431<<510o0qv:?c;294?4=>9<;6?7n5:x05e=83:1>78?6681=de;o7>50;09250b2;3i?6st41a94?6=:3<;;84=9cc8y~27k3:1<7<5615`>7?d92wp8=m50;296?070:095n64}z63g?6=8381:=6n:3;`b>{|<9i1<7>52;43=4<51m<0qv:?c;294?4=>9336?7kd:x05e=83:1>78?9g81=`2;o7>50;0925g12;3nn6st41a94?6=:3<;mi4=9g08y~27k3:1<7<561`7>7?a12wp8=m50;296?07jk09m=>4}z63g?6=8381:=m=:3c33>{|<9i1<7>52;43g<<5i9o0qv:?c;294?4=>9n;6?o>5:x05e=83:1>78?d681e4e;o7>50;0925bb2;k9?6st41a94?6=:3<;i84=a3c8y~27k3:1<7<561g`>7g492wp8=m50;296?07n:09m>64}z63g?6=8381:=hn:3c0b>{|<9i1<7>52;43bc<5i=>0qv:?c;294?4=>8:?6?o;9:x05e=83:1>78>0881e1c;o7>50;09246b2;k>?6st41a94?6=:3<:=>4=a4:8y~27k3:1<7<5603;>7g2l2wp8=m50;296?069m09m;<4}z63g?6=8381:<<=:3c53>{|<9i1<7>52;4262<5i?i0qv:?c;294?4=>88h6?o81:x05e=83:1>78>3581e2?;o7>50;09245d2;k3=6st41a94?6=:3<:894=a9;8y~27k3:1<7<5606`>7g>92wp8=m50;296?06==09m474}z63g?6=8381:<;l:3cb5>{|<9i1<7>52;4221<5ih30qv:?c;294?4=>878>7581eg?;o7>50;09241d2;kh=6st41a94?6=:3<:494=ab;8y~27k3:1<7<560:`>7gc92wp8=m50;296?061809mi84}z63g?6=8381:<76:3cga>{|<9i1<7>52;42=`<5il90qv:?c;294?4=>8k86?oj8:x05e=83:1>78>a`81e``;o7>50;0924d72;km96st41a94?6=:3<:n>4=ag:8y~27k3:1<7<560`5>7gaj2wp8=m50;296?06j009n=:4}z63g?6=8381:{|<9i1<7>52;42fc<5j9k0qv:?c;294?4=>8i96?l?d:x05e=83:1>78>c481f46;o7>50;0924e?2;h:?6st41a94?6=:3<:oo4=b048y~27k3:1<7<560af>7d612wp8=m50;296?06l809n{|<9i1<7>52;42a7<5j;n0qv:?c;294?4=>8o?6?l=f:x05e=83:1>78>e781f67;o7>50;0924c?2;h8?6st41a94?6=:3<:il4=b278y~27k3:1<7<560g`>7d4?2wp8=m50;296?06ml09n>74}z63g?6=8381:{|<9i1<7>52;42b7<5j:n0qv:?c;294?4=>8l?6?l78>f781f17;o7>50;0924`?2;h??6st41a94?6=:3<:jl4=b578y~27k3:1<7<560d`>7d3?2wp8=m50;296?06nl09n974}z63g?6=8381:?>?:3`7f>{|<9i1<7>52;4147<5j=n0qv:?c;294?4=>;:?6?l;f:x05e=83:1>78=0781f07;o7>50;09276?2;h>?6st41a94?6=:3<97d2?2wp8=m50;296?058l09n874}z63g?6=8381:???:3`6f>{|<9i1<7>52;4157<5j;;?6?l:f:x05e=83:1>78=1781f37;o7>50;09277?2;h=?6st41a94?6=:3<9=l4=b778y~27k3:1<7<5633`>7d1?2wp8=m50;296?059l09n;74}z63g?6=8381:?{|<9i1<7>52;4167<5j?n0qv:?c;294?4=>;8?6?l9f:x05e=83:1>78=2781f27;o7>50;09274?2;hl4=b678y~27k3:1<7<5630`>7d0?2wp8=m50;296?05:l09n:74}z63g?6=8381:?=?:3`4f>{|<9i1<7>52;4177<5j>n0qv:?c;294?4=>;9?6?l8f:x05e=83:1>78=3781f=7;o7>50;09275?2;h3?6st41a94?6=:3<9?l4=b978y~27k3:1<7<5631`>7d??2wp8=m50;296?05;l09n574}z63g?6=8381:?:?:3`;f>{|<9i1<7>52;4107<5j1n0qv:?c;294?4=>;>?6?l7f:x05e=83:1>78=4781f<7;o7>50;09272?2;h2?6st41a94?6=:3<98l4=b878y~27k3:1<7<5636`>7d>?2wp8=m50;296?05{|<9i1<7>52;4117<5j0n0qv:?c;294?4=>;??6?l6f:x05e=83:1>78=5781fd7;o7>50;09273?2;hj?6st41a94?6=:3<99l4=b`78y~27k3:1<7<5637`>7df?2wp8=m50;296?05=l09nl74}z63g?6=8381:?8?:3`bf>{|<9i1<7>52;4127<5jhn0qv:?c;294?4=>;78=6781fg7;o7>50;09270?2;hi?6st41a94?6=:3<9:l4=bc78y~27k3:1<7<5634`>7de?2wp8=m50;296?05>l09no74}z63g?6=8381:?9?:3`af>{|<9i1<7>52;4137<5jkn0qv:?c;294?4=>;=?6?lmf:x05e=83:1>78=7782=5=zs=:m6=4?:585621=90;1=4851858y~27n3:1<7=5635;>4?5283>7pu;0g83>5<42?8<57?63;3:0>{|<9l1<7>52;413d<6111vw9>l:183>7<1:121=:j8;|y74c<729096;<79;34`<=zs=:m6=4?:3856=g=9>n37pu;0b83>5<52?82<7?8de9~16a290;6?49283952ba3tq?34>:3;5<72;0=>4651b;8y~27n3:1<7<563;:>4ee3tq?34>i3;o57?kb;3f5>{|<9l1<7>52;41=a<6l91vw9>i:183>7<1:0o1=h;4}z63b?6=8381:?7m:0f`?x}38o0;6=4=:70:g?7cl2wp8=m50;296?05lo0:545r{52e>5<72;0=>h>518`8y~27n3:1<7:563g2>4g>28ki6;|y74c<729096;{|<9l1<7>52;41a0<6j<1vw9>i:183>7<1:l81=lm4}z63b?6=8381:?k<:0cg?x}38j0;6=4<:7112?4ek809nn=4}z63g?6=8391:><8:4:91d=zs=:h6=4?:3:9264?283h47?6cb82=a6=90n?6<7k8;3:`f<61l:1=4k;:0;fmj0:5k>518d7>4?a03;2jn4>a1795d6>28k;h7?n1082e43=9h;26d;3b64<6i;?1=l<6:0c1`?7f;80:m>;51`1:>4g4l3;j8<4>a5795d2>28k?h7?n5082e03=9h?26 + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + +
+
+ + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + +
+
+
+ + +
+
+ + + + + + + + + + + + + + +
+
+ + + +
+
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ +
+
+
+
+
+
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + + + + +
+ +
+ + +
+
+ + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + +
+ + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_ngdbuild.xrpt =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_ngdbuild.xrpt b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_ngdbuild.xrpt new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_ngdbuild.xrpt (revision 224) @@ -0,0 +1,127 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+ +
+ + + + +
+
+ +
+ + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_pad.csv =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_pad.csv b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_pad.csv new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_pad.csv (revision 224) @@ -0,0 +1,175 @@ +#Release 14.7 - par P.20131013 (nt64) +#Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +#Mon May 23 12:38:47 2016 + +# +## NOTE: This file is designed to be imported into a spreadsheet program +# such as Microsoft Excel for viewing, printing and sorting. The | +# character is used as the data field separator. This file is also designed +# to support parsing. +# +#INPUT FILE: chn5_mem_spi_joint_map.ncd +#OUTPUT FILE: chn5_mem_spi_joint_pad.csv +#PART TYPE: xc6slx9 +#SPEED GRADE: -3 +#PACKAGE: tqg144 +# +# Pinout by Pin Number: +# +# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, +Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,Drive (mA),Slew Rate,Termination,IOB Delay,Voltage,Constraint,IO Register,Signal Integrity, +P1,addr<6>,IOB,IO_L83N_VREF_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P2,addr<7>,IOB,IO_L83P_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P3,,,GND,,,,,,,,,,,, +P4,,,VCCO_3,,,3,,,,,3.30,,,, +P5,oe_ram_bar,IOB,IO_L52N_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P6,ub_bar,IOB,IO_L52P_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P7,lb_bar,IOB,IO_L51N_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P8,DATA_INOUT<15>,IOB,IO_L51P_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P9,DATA_INOUT<14>,IOB,IO_L50N_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P10,DATA_INOUT<13>,IOB,IO_L50P_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P11,DATA_INOUT<12>,IOB,IO_L49N_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P12,DATA_INOUT<11>,IOB,IO_L49P_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P13,,,GND,,,,,,,,,,,, +P14,DATA_INOUT<10>,IOB,IO_L44N_GCLK20_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P15,DATA_INOUT<9>,IOB,IO_L44P_GCLK21_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P16,DATA_INOUT<8>,IOB,IO_L43N_GCLK22_IRDY2_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P17,addr<18>,IOB,IO_L43P_GCLK23_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P18,,,VCCO_3,,,3,,,,,3.30,,,, +P19,,,VCCINT,,,,,,,,1.2,,,, +P20,,,VCCAUX,,,,,,,,2.5,,,, +P21,addr<8>,IOB,IO_L42N_GCLK24_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P22,addr<9>,IOB,IO_L42P_GCLK25_TRDY2_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P23,addr<10>,IOB,IO_L41N_GCLK26_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P24,addr<11>,IOB,IO_L41P_GCLK27_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P25,,,GND,,,,,,,,,,,, +P26,addr<17>,IOB,IO_L37N_3,OUTPUT,LVCMOS33,3,12,SLOW,,,,LOCATED,NO,NONE, +P27,,IOBM,IO_L37P_3,UNUSED,,3,,,,,,,,, +P28,,,VCCINT,,,,,,,,1.2,,,, +P29,,IOBS,IO_L36N_3,UNUSED,,3,,,,,,,,, +P30,,IOBM,IO_L36P_3,UNUSED,,3,,,,,,,,, +P31,,,VCCO_3,,,3,,,,,3.30,,,, +P32,DATA_INOUT<0>,IOB,IO_L2N_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P33,DATA_INOUT<1>,IOB,IO_L2P_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P34,DATA_INOUT<2>,IOB,IO_L1N_VREF_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P35,DATA_INOUT<3>,IOB,IO_L1P_3,BIDIR,LVCMOS33,3,12,SLOW,,NONE,,LOCATED,NO,NONE, +P36,,,VCCAUX,,,,,,,,2.5,,,, +P37,,,PROGRAM_B_2,,,,,,,,,,,, +P38,DATA_INOUT<4>,IOB,IO_L65N_CSO_B_2,BIDIR,LVCMOS33,2,12,SLOW,,NONE,,LOCATED,NO,NONE, +P39,DATA_INOUT<5>,IOB,IO_L65P_INIT_B_2,BIDIR,LVCMOS33,2,12,SLOW,,NONE,,LOCATED,NO,NONE, +P40,DATA_INOUT<6>,IOB,IO_L64N_D9_2,BIDIR,LVCMOS33,2,12,SLOW,,NONE,,LOCATED,NO,NONE, +P41,DATA_INOUT<7>,IOB,IO_L64P_D8_2,BIDIR,LVCMOS33,2,12,SLOW,,NONE,,LOCATED,NO,NONE, +P42,,,VCCO_2,,,2,,,,,3.30,,,, +P43,we_bar,IOB,IO_L62N_D6_2,OUTPUT,LVCMOS33,2,12,SLOW,,,,LOCATED,NO,NONE, +P44,addr<16>,IOB,IO_L62P_D5_2,OUTPUT,LVCMOS33,2,12,SLOW,,,,LOCATED,NO,NONE, +P45,addr<15>,IOB,IO_L49N_D4_2,OUTPUT,LVCMOS33,2,12,SLOW,,,,LOCATED,NO,NONE, +P46,addr<14>,IOB,IO_L49P_D3_2,OUTPUT,LVCMOS33,2,12,SLOW,,,,LOCATED,NO,NONE, +P47,addr<13>,IOB,IO_L48N_RDWR_B_VREF_2,OUTPUT,LVCMOS33,2,12,SLOW,,,,LOCATED,NO,NONE, +P48,addr<12>,IOB,IO_L48P_D7_2,OUTPUT,LVCMOS33,2,12,SLOW,,,,LOCATED,NO,NONE, +P49,,,GND,,,,,,,,,,,, +P50,,IOBS,IO_L31N_GCLK30_D15_2,UNUSED,,2,,,,,,,,, +P51,clk_main,IOB,IO_L31P_GCLK31_D14_2,INPUT,LVCMOS33,2,,,,NONE,,LOCATED,NO,NONE, +P52,,,VCCINT,,,,,,,,1.2,,,, +P53,,,VCCAUX,,,,,,,,2.5,,,, +P54,,,GND,,,,,,,,,,,, +P55,,IOBS,IO_L30N_GCLK0_USERCCLK_2,UNUSED,,2,,,,,,,,, +P56,,IOBM,IO_L30P_GCLK1_D13_2,UNUSED,,2,,,,,,,,, +P57,clk_acq_ch5,IOB,IO_L14N_D12_2,INPUT,LVCMOS33,2,,,,NONE,,LOCATED,NO,NONE, +P58,chn_bits_acq_ch5<0>,IOB,IO_L14P_D11_2,INPUT,LVCMOS33,2,,,,NONE,,LOCATED,NO,NONE, +P59,chn_bits_acq_ch5<1>,IOB,IO_L13N_D10_2,INPUT,LVCMOS33,2,,,,NONE,,LOCATED,NO,NONE, +P60,,IOBM,IO_L13P_M1_2,UNUSED,,2,,,,,,,,, +P61,chn_bits_acq_ch5<2>,IOB,IO_L12N_D2_MISO3_2,INPUT,LVCMOS33,2,,,,NONE,,LOCATED,NO,NONE, +P62,chn_bits_acq_ch5<3>,IOB,IO_L12P_D1_MISO2_2,INPUT,LVCMOS33,2,,,,NONE,,LOCATED,NO,NONE, +P63,,,VCCO_2,,,2,,,,,3.30,,,, +P64,,IOBS,IO_L3N_MOSI_CSI_B_MISO0_2,UNUSED,,2,,,,,,,,, +P65,,IOBM,IO_L3P_D0_DIN_MISO_MISO1_2,UNUSED,,2,,,,,,,,, +P66,,IOBS,IO_L2N_CMPMOSI_2,UNUSED,,2,,,,,,,,, +P67,,IOBM,IO_L2P_CMPCLK_2,UNUSED,,2,,,,,,,,, +P68,,,GND,,,,,,,,,,,, +P69,,IOBS,IO_L1N_M0_CMPMISO_2,UNUSED,,2,,,,,,,,, +P70,,IOBM,IO_L1P_CCLK_2,UNUSED,,2,,,,,,,,, +P71,,,DONE_2,,,,,,,,,,,, +P72,,,CMPCS_B_2,,,,,,,,,,,, +P73,,,SUSPEND,,,,,,,,,,,, +P74,,IOBS,IO_L74N_DOUT_BUSY_1,UNUSED,,1,,,,,,,,, +P75,,IOBM,IO_L74P_AWAKE_1,UNUSED,,1,,,,,,,,, +P76,,,VCCO_1,,,1,,,,,3.30,,,, +P77,,,GND,,,,,,,,,,,, +P78,pps,IOB,IO_L47N_1,INPUT,LVCMOS33,1,,,,NONE,,LOCATED,NO,NONE, +P79,,IOBM,IO_L47P_1,UNUSED,,1,,,,,,,,, +P80,clk_to_acq,IOB,IO_L46N_1,OUTPUT,LVCMOS33,1,12,SLOW,,,,LOCATED,YES,NONE, +P81,,IOBM,IO_L46P_1,UNUSED,,1,,,,,,,,, +P82,lock,IOB,IO_L45N_1,INPUT,LVCMOS33,1,,,,NONE,,LOCATED,NO,NONE, +P83,sda_rpi,IOB,IO_L45P_1,BIDIR,I2C,1,,,,NONE,,LOCATED,NO,NONE, +P84,,IOBS,IO_L43N_GCLK4_1,UNUSED,,1,,,,,,,,, +P85,scl_rpi,IOB,IO_L43P_GCLK5_1,BIDIR,I2C,1,,,,NONE,,LOCATED,NO,NONE, +P86,,,VCCO_1,,,1,,,,,3.30,,,, +P87,,IOBS,IO_L42N_GCLK6_TRDY1_1,UNUSED,,1,,,,,,,,, +P88,,IOBM,IO_L42P_GCLK7_1,UNUSED,,1,,,,,,,,, +P89,,,VCCINT,,,,,,,,1.2,,,, +P90,,,VCCAUX,,,,,,,,2.5,,,, +P91,,,GND,,,,,,,,,,,, +P92,,IOBS,IO_L41N_GCLK8_1,UNUSED,,1,,,,,,,,, +P93,,IOBM,IO_L41P_GCLK9_IRDY1_1,UNUSED,,1,,,,,,,,, +P94,,IOBS,IO_L40N_GCLK10_1,UNUSED,,1,,,,,,,,, +P95,SCK,IOB,IO_L40P_GCLK11_1,INPUT,LVCMOS33,1,,,,NONE,,LOCATED,NO,NONE, +P96,,,GND,,,,,,,,,,,, +P97,CS,IOB,IO_L34N_1,INPUT,LVCMOS33,1,,,,NONE,,LOCATED,NO,NONE, +P98,MISO,IOB,IO_L34P_1,OUTPUT,LVCMOS33,1,12,SLOW,,,,LOCATED,NO,NONE, +P99,MOSI,IOB,IO_L33N_1,INPUT,LVCMOS33,1,,,,NONE,,LOCATED,NO,NONE, +P100,,IOBM,IO_L33P_1,UNUSED,,1,,,,,,,,, +P101,,IOBS,IO_L32N_1,UNUSED,,1,,,,,,,,, +P102,,IOBM,IO_L32P_1,UNUSED,,1,,,,,,,,, +P103,,,VCCO_1,,,1,,,,,3.30,,,, +P104,,IOBS,IO_L1N_VREF_1,UNUSED,,1,,,,,,,,, +P105,,IOBM,IO_L1P_1,UNUSED,,1,,,,,,,,, +P106,,,TDO,,,,,,,,,,,, +P107,,,TMS,,,,,,,,,,,, +P108,,,GND,,,,,,,,,,,, +P109,,,TCK,,,,,,,,,,,, +P110,,,TDI,,,,,,,,,,,, +P111,clk_acq_ch1,IOB,IO_L66N_SCP0_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P112,chn_bits_acq_ch1<0>,IOB,IO_L66P_SCP1_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P113,,,GND,,,,,,,,,,,, +P114,chn_bits_acq_ch1<1>,IOB,IO_L65N_SCP2_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P115,chn_bits_acq_ch1<2>,IOB,IO_L65P_SCP3_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P116,chn_bits_acq_ch1<3>,IOB,IO_L64N_SCP4_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P117,clk_acq_ch2,IOB,IO_L64P_SCP5_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P118,chn_bits_acq_ch2<0>,IOB,IO_L63N_SCP6_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P119,chn_bits_acq_ch2<1>,IOB,IO_L63P_SCP7_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P120,chn_bits_acq_ch2<2>,IOB,IO_L62N_VREF_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P121,chn_bits_acq_ch2<3>,IOB,IO_L62P_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P122,,,VCCO_0,,,0,,,,,3.30,,,, +P123,clk_acq_ch3,IOB,IO_L37N_GCLK12_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P124,chn_bits_acq_ch3<0>,IOB,IO_L37P_GCLK13_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P125,,,VCCO_0,,,0,,,,,3.30,,,, +P126,chn_bits_acq_ch3<1>,IOB,IO_L36N_GCLK14_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P127,chn_bits_acq_ch3<2>,IOB,IO_L36P_GCLK15_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P128,,,VCCINT,,,,,,,,1.2,,,, +P129,,,VCCAUX,,,,,,,,2.5,,,, +P130,,,GND,,,,,,,,,,,, +P131,chn_bits_acq_ch3<3>,IOB,IO_L35N_GCLK16_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P132,clk_acq_ch4,IOB,IO_L35P_GCLK17_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P133,chn_bits_acq_ch4<0>,IOB,IO_L34N_GCLK18_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P134,chn_bits_acq_ch4<1>,IOB,IO_L34P_GCLK19_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P135,,,VCCO_0,,,0,,,,,3.30,,,, +P136,,,GND,,,,,,,,,,,, +P137,chn_bits_acq_ch4<2>,IOB,IO_L4N_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P138,chn_bits_acq_ch4<3>,IOB,IO_L4P_0,INPUT,LVCMOS33,0,,,,NONE,,LOCATED,NO,NONE, +P139,addr<4>,IOB,IO_L3N_0,OUTPUT,LVCMOS33,0,12,SLOW,,,,LOCATED,NO,NONE, +P140,addr<3>,IOB,IO_L3P_0,OUTPUT,LVCMOS33,0,12,SLOW,,,,LOCATED,NO,NONE, +P141,addr<2>,IOB,IO_L2N_0,OUTPUT,LVCMOS33,0,12,SLOW,,,,LOCATED,NO,NONE, +P142,addr<1>,IOB,IO_L2P_0,OUTPUT,LVCMOS33,0,12,SLOW,,,,LOCATED,NO,NONE, +P143,addr<0>,IOB,IO_L1N_VREF_0,OUTPUT,LVCMOS33,0,12,SLOW,,,,LOCATED,NO,NONE, +P144,addr<5>,IOB,IO_L1P_HSWAPEN_0,OUTPUT,LVCMOS33,0,12,SLOW,,,,LOCATED,NO,NONE, + +# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, +# +#* Default value. +#** This default Pullup/Pulldown value can be overridden in Bitgen. +#****** Special VCCO requirements may apply. Please consult the device +# family datasheet for specific guideline on VCCO requirements. +# +# +# \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_pad.txt =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_pad.txt b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_pad.txt new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_pad.txt (revision 224) @@ -0,0 +1,174 @@ +Release 14.7 - par P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Mon May 23 12:38:47 2016 + + +INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: +1. The _pad.txt file (this file) designed to provide information on IO usage in a human readable ASCII text format viewable through common text editors. +2. The _pad.csv file for use with spreadsheet programs such as MS Excel. This file can also be read by PACE to communicate post PAR IO information. +3. The .pad file designed for parsing by customers. It uses the "|" as a data field separator. + +INPUT FILE: chn5_mem_spi_joint_map.ncd +OUTPUT FILE: chn5_mem_spi_joint_pad.txt +PART TYPE: xc6slx9 +SPEED GRADE: -3 +PACKAGE: tqg144 + +Pinout by Pin Number: + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +|Pin Number|Signal Name |Pin Usage|Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity| ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +|P1 |addr<6> |IOB |IO_L83N_VREF_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P2 |addr<7> |IOB |IO_L83P_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P3 | | |GND | | | | | | | | | | | | +|P4 | | |VCCO_3 | | |3 | | | | |3.30 | | | | +|P5 |oe_ram_bar |IOB |IO_L52N_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P6 |ub_bar |IOB |IO_L52P_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P7 |lb_bar |IOB |IO_L51N_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P8 |DATA_INOUT<15> |IOB |IO_L51P_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P9 |DATA_INOUT<14> |IOB |IO_L50N_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P10 |DATA_INOUT<13> |IOB |IO_L50P_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P11 |DATA_INOUT<12> |IOB |IO_L49N_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P12 |DATA_INOUT<11> |IOB |IO_L49P_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P13 | | |GND | | | | | | | | | | | | +|P14 |DATA_INOUT<10> |IOB |IO_L44N_GCLK20_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P15 |DATA_INOUT<9> |IOB |IO_L44P_GCLK21_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P16 |DATA_INOUT<8> |IOB |IO_L43N_GCLK22_IRDY2_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P17 |addr<18> |IOB |IO_L43P_GCLK23_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P18 | | |VCCO_3 | | |3 | | | | |3.30 | | | | +|P19 | | |VCCINT | | | | | | | |1.2 | | | | +|P20 | | |VCCAUX | | | | | | | |2.5 | | | | +|P21 |addr<8> |IOB |IO_L42N_GCLK24_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P22 |addr<9> |IOB |IO_L42P_GCLK25_TRDY2_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P23 |addr<10> |IOB |IO_L41N_GCLK26_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P24 |addr<11> |IOB |IO_L41P_GCLK27_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P25 | | |GND | | | | | | | | | | | | +|P26 |addr<17> |IOB |IO_L37N_3 |OUTPUT |LVCMOS33 |3 |12 |SLOW | | | |LOCATED |NO |NONE | +|P27 | |IOBM |IO_L37P_3 |UNUSED | |3 | | | | | | | | | +|P28 | | |VCCINT | | | | | | | |1.2 | | | | +|P29 | |IOBS |IO_L36N_3 |UNUSED | |3 | | | | | | | | | +|P30 | |IOBM |IO_L36P_3 |UNUSED | |3 | | | | | | | | | +|P31 | | |VCCO_3 | | |3 | | | | |3.30 | | | | +|P32 |DATA_INOUT<0> |IOB |IO_L2N_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P33 |DATA_INOUT<1> |IOB |IO_L2P_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P34 |DATA_INOUT<2> |IOB |IO_L1N_VREF_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P35 |DATA_INOUT<3> |IOB |IO_L1P_3 |BIDIR |LVCMOS33 |3 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P36 | | |VCCAUX | | | | | | | |2.5 | | | | +|P37 | | |PROGRAM_B_2 | | | | | | | | | | | | +|P38 |DATA_INOUT<4> |IOB |IO_L65N_CSO_B_2 |BIDIR |LVCMOS33 |2 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P39 |DATA_INOUT<5> |IOB |IO_L65P_INIT_B_2 |BIDIR |LVCMOS33 |2 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P40 |DATA_INOUT<6> |IOB |IO_L64N_D9_2 |BIDIR |LVCMOS33 |2 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P41 |DATA_INOUT<7> |IOB |IO_L64P_D8_2 |BIDIR |LVCMOS33 |2 |12 |SLOW | |NONE | |LOCATED |NO |NONE | +|P42 | | |VCCO_2 | | |2 | | | | |3.30 | | | | +|P43 |we_bar |IOB |IO_L62N_D6_2 |OUTPUT |LVCMOS33 |2 |12 |SLOW | | | |LOCATED |NO |NONE | +|P44 |addr<16> |IOB |IO_L62P_D5_2 |OUTPUT |LVCMOS33 |2 |12 |SLOW | | | |LOCATED |NO |NONE | +|P45 |addr<15> |IOB |IO_L49N_D4_2 |OUTPUT |LVCMOS33 |2 |12 |SLOW | | | |LOCATED |NO |NONE | +|P46 |addr<14> |IOB |IO_L49P_D3_2 |OUTPUT |LVCMOS33 |2 |12 |SLOW | | | |LOCATED |NO |NONE | +|P47 |addr<13> |IOB |IO_L48N_RDWR_B_VREF_2 |OUTPUT |LVCMOS33 |2 |12 |SLOW | | | |LOCATED |NO |NONE | +|P48 |addr<12> |IOB |IO_L48P_D7_2 |OUTPUT |LVCMOS33 |2 |12 |SLOW | | | |LOCATED |NO |NONE | +|P49 | | |GND | | | | | | | | | | | | +|P50 | |IOBS |IO_L31N_GCLK30_D15_2 |UNUSED | |2 | | | | | | | | | +|P51 |clk_main |IOB |IO_L31P_GCLK31_D14_2 |INPUT |LVCMOS33 |2 | | | |NONE | |LOCATED |NO |NONE | +|P52 | | |VCCINT | | | | | | | |1.2 | | | | +|P53 | | |VCCAUX | | | | | | | |2.5 | | | | +|P54 | | |GND | | | | | | | | | | | | +|P55 | |IOBS |IO_L30N_GCLK0_USERCCLK_2 |UNUSED | |2 | | | | | | | | | +|P56 | |IOBM |IO_L30P_GCLK1_D13_2 |UNUSED | |2 | | | | | | | | | +|P57 |clk_acq_ch5 |IOB |IO_L14N_D12_2 |INPUT |LVCMOS33 |2 | | | |NONE | |LOCATED |NO |NONE | +|P58 |chn_bits_acq_ch5<0>|IOB |IO_L14P_D11_2 |INPUT |LVCMOS33 |2 | | | |NONE | |LOCATED |NO |NONE | +|P59 |chn_bits_acq_ch5<1>|IOB |IO_L13N_D10_2 |INPUT |LVCMOS33 |2 | | | |NONE | |LOCATED |NO |NONE | +|P60 | |IOBM |IO_L13P_M1_2 |UNUSED | |2 | | | | | | | | | +|P61 |chn_bits_acq_ch5<2>|IOB |IO_L12N_D2_MISO3_2 |INPUT |LVCMOS33 |2 | | | |NONE | |LOCATED |NO |NONE | +|P62 |chn_bits_acq_ch5<3>|IOB |IO_L12P_D1_MISO2_2 |INPUT |LVCMOS33 |2 | | | |NONE | |LOCATED |NO |NONE | +|P63 | | |VCCO_2 | | |2 | | | | |3.30 | | | | +|P64 | |IOBS |IO_L3N_MOSI_CSI_B_MISO0_2 |UNUSED | |2 | | | | | | | | | +|P65 | |IOBM |IO_L3P_D0_DIN_MISO_MISO1_2|UNUSED | |2 | | | | | | | | | +|P66 | |IOBS |IO_L2N_CMPMOSI_2 |UNUSED | |2 | | | | | | | | | +|P67 | |IOBM |IO_L2P_CMPCLK_2 |UNUSED | |2 | | | | | | | | | +|P68 | | |GND | | | | | | | | | | | | +|P69 | |IOBS |IO_L1N_M0_CMPMISO_2 |UNUSED | |2 | | | | | | | | | +|P70 | |IOBM |IO_L1P_CCLK_2 |UNUSED | |2 | | | | | | | | | +|P71 | | |DONE_2 | | | | | | | | | | | | +|P72 | | |CMPCS_B_2 | | | | | | | | | | | | +|P73 | | |SUSPEND | | | | | | | | | | | | +|P74 | |IOBS |IO_L74N_DOUT_BUSY_1 |UNUSED | |1 | | | | | | | | | +|P75 | |IOBM |IO_L74P_AWAKE_1 |UNUSED | |1 | | | | | | | | | +|P76 | | |VCCO_1 | | |1 | | | | |3.30 | | | | +|P77 | | |GND | | | | | | | | | | | | +|P78 |pps |IOB |IO_L47N_1 |INPUT |LVCMOS33 |1 | | | |NONE | |LOCATED |NO |NONE | +|P79 | |IOBM |IO_L47P_1 |UNUSED | |1 | | | | | | | | | +|P80 |clk_to_acq |IOB |IO_L46N_1 |OUTPUT |LVCMOS33 |1 |12 |SLOW | | | |LOCATED |YES |NONE | +|P81 | |IOBM |IO_L46P_1 |UNUSED | |1 | | | | | | | | | +|P82 |lock |IOB |IO_L45N_1 |INPUT |LVCMOS33 |1 | | | |NONE | |LOCATED |NO |NONE | +|P83 |sda_rpi |IOB |IO_L45P_1 |BIDIR |I2C |1 | | | |NONE | |LOCATED |NO |NONE | +|P84 | |IOBS |IO_L43N_GCLK4_1 |UNUSED | |1 | | | | | | | | | +|P85 |scl_rpi |IOB |IO_L43P_GCLK5_1 |BIDIR |I2C |1 | | | |NONE | |LOCATED |NO |NONE | +|P86 | | |VCCO_1 | | |1 | | | | |3.30 | | | | +|P87 | |IOBS |IO_L42N_GCLK6_TRDY1_1 |UNUSED | |1 | | | | | | | | | +|P88 | |IOBM |IO_L42P_GCLK7_1 |UNUSED | |1 | | | | | | | | | +|P89 | | |VCCINT | | | | | | | |1.2 | | | | +|P90 | | |VCCAUX | | | | | | | |2.5 | | | | +|P91 | | |GND | | | | | | | | | | | | +|P92 | |IOBS |IO_L41N_GCLK8_1 |UNUSED | |1 | | | | | | | | | +|P93 | |IOBM |IO_L41P_GCLK9_IRDY1_1 |UNUSED | |1 | | | | | | | | | +|P94 | |IOBS |IO_L40N_GCLK10_1 |UNUSED | |1 | | | | | | | | | +|P95 |SCK |IOB |IO_L40P_GCLK11_1 |INPUT |LVCMOS33 |1 | | | |NONE | |LOCATED |NO |NONE | +|P96 | | |GND | | | | | | | | | | | | +|P97 |CS |IOB |IO_L34N_1 |INPUT |LVCMOS33 |1 | | | |NONE | |LOCATED |NO |NONE | +|P98 |MISO |IOB |IO_L34P_1 |OUTPUT |LVCMOS33 |1 |12 |SLOW | | | |LOCATED |NO |NONE | +|P99 |MOSI |IOB |IO_L33N_1 |INPUT |LVCMOS33 |1 | | | |NONE | |LOCATED |NO |NONE | +|P100 | |IOBM |IO_L33P_1 |UNUSED | |1 | | | | | | | | | +|P101 | |IOBS |IO_L32N_1 |UNUSED | |1 | | | | | | | | | +|P102 | |IOBM |IO_L32P_1 |UNUSED | |1 | | | | | | | | | +|P103 | | |VCCO_1 | | |1 | | | | |3.30 | | | | +|P104 | |IOBS |IO_L1N_VREF_1 |UNUSED | |1 | | | | | | | | | +|P105 | |IOBM |IO_L1P_1 |UNUSED | |1 | | | | | | | | | +|P106 | | |TDO | | | | | | | | | | | | +|P107 | | |TMS | | | | | | | | | | | | +|P108 | | |GND | | | | | | | | | | | | +|P109 | | |TCK | | | | | | | | | | | | +|P110 | | |TDI | | | | | | | | | | | | +|P111 |clk_acq_ch1 |IOB |IO_L66N_SCP0_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P112 |chn_bits_acq_ch1<0>|IOB |IO_L66P_SCP1_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P113 | | |GND | | | | | | | | | | | | +|P114 |chn_bits_acq_ch1<1>|IOB |IO_L65N_SCP2_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P115 |chn_bits_acq_ch1<2>|IOB |IO_L65P_SCP3_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P116 |chn_bits_acq_ch1<3>|IOB |IO_L64N_SCP4_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P117 |clk_acq_ch2 |IOB |IO_L64P_SCP5_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P118 |chn_bits_acq_ch2<0>|IOB |IO_L63N_SCP6_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P119 |chn_bits_acq_ch2<1>|IOB |IO_L63P_SCP7_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P120 |chn_bits_acq_ch2<2>|IOB |IO_L62N_VREF_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P121 |chn_bits_acq_ch2<3>|IOB |IO_L62P_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P122 | | |VCCO_0 | | |0 | | | | |3.30 | | | | +|P123 |clk_acq_ch3 |IOB |IO_L37N_GCLK12_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P124 |chn_bits_acq_ch3<0>|IOB |IO_L37P_GCLK13_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P125 | | |VCCO_0 | | |0 | | | | |3.30 | | | | +|P126 |chn_bits_acq_ch3<1>|IOB |IO_L36N_GCLK14_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P127 |chn_bits_acq_ch3<2>|IOB |IO_L36P_GCLK15_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P128 | | |VCCINT | | | | | | | |1.2 | | | | +|P129 | | |VCCAUX | | | | | | | |2.5 | | | | +|P130 | | |GND | | | | | | | | | | | | +|P131 |chn_bits_acq_ch3<3>|IOB |IO_L35N_GCLK16_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P132 |clk_acq_ch4 |IOB |IO_L35P_GCLK17_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P133 |chn_bits_acq_ch4<0>|IOB |IO_L34N_GCLK18_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P134 |chn_bits_acq_ch4<1>|IOB |IO_L34P_GCLK19_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P135 | | |VCCO_0 | | |0 | | | | |3.30 | | | | +|P136 | | |GND | | | | | | | | | | | | +|P137 |chn_bits_acq_ch4<2>|IOB |IO_L4N_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P138 |chn_bits_acq_ch4<3>|IOB |IO_L4P_0 |INPUT |LVCMOS33 |0 | | | |NONE | |LOCATED |NO |NONE | +|P139 |addr<4> |IOB |IO_L3N_0 |OUTPUT |LVCMOS33 |0 |12 |SLOW | | | |LOCATED |NO |NONE | +|P140 |addr<3> |IOB |IO_L3P_0 |OUTPUT |LVCMOS33 |0 |12 |SLOW | | | |LOCATED |NO |NONE | +|P141 |addr<2> |IOB |IO_L2N_0 |OUTPUT |LVCMOS33 |0 |12 |SLOW | | | |LOCATED |NO |NONE | +|P142 |addr<1> |IOB |IO_L2P_0 |OUTPUT |LVCMOS33 |0 |12 |SLOW | | | |LOCATED |NO |NONE | +|P143 |addr<0> |IOB |IO_L1N_VREF_0 |OUTPUT |LVCMOS33 |0 |12 |SLOW | | | |LOCATED |NO |NONE | +|P144 |addr<5> |IOB |IO_L1P_HSWAPEN_0 |OUTPUT |LVCMOS33 |0 |12 |SLOW | | | |LOCATED |NO |NONE | ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + +* Default value. +** This default Pullup/Pulldown value can be overridden in Bitgen. +****** Special VCCO requirements may apply. Please consult the device + family datasheet for specific guideline on VCCO requirements. + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_par.xrpt =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_par.xrpt b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_par.xrpt new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_par.xrpt (revision 224) @@ -0,0 +1,1677 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+ +
+ + + + +
+
+ +
+ + + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + +
+
+
+ + + +
+ + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + +
+
+
+ + +
+
+ + + + + + + + + + + + + + +
+
+ + + +
+ + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_summary.html =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_summary.html b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_summary.html new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_summary.html (revision 224) @@ -0,0 +1,501 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
chn5_mem_spi_joint Project Status (05/20/2016 - 11:13:02)
Project File:chn5_mem_spi_joint.xiseParser Errors: No Errors
Module Name:chn5_mem_spi_jointImplementation State:Programming File Generated
Target Device:xc6slx9-3tqg144
  • Errors:
+No Errors
Product Version:ISE 14.7
  • Warnings:
No Warnings
Design Goal:Balanced
  • Routing Results:
+All Signals Completely Routed
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
+All Constraints Met
Environment: + +System Settings +
  • Final Timing Score:
0  (Timing Report)
+ + + + 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Device Utilization Summary [-]
Slice Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Registers56411,4404% 
    Number used as Flip Flops564   
    Number used as Latches0   
    Number used as Latch-thrus0   
    Number used as AND/OR logics0   
Number of Slice LUTs7395,72012% 
    Number used as logic7315,72012% 
        Number using O6 output only536   
        Number using O5 output only34   
        Number using O5 and O6161   
        Number used as ROM0   
    Number used as Memory11,4401% 
        Number used as Dual Port RAM0   
        Number used as Single Port RAM0   
        Number used as Shift Register1   
            Number using O6 output only1   
            Number using O5 output only0   
            Number using O5 and O60   
    Number used exclusively as route-thrus7   
        Number with same-slice register load4   
        Number with same-slice carry load3   
        Number with other load0   
Number of occupied Slices3371,43023% 
Number of MUXCYs used642,8602% 
Number of LUT Flip Flop pairs used850   
    Number with an unused Flip Flop37585044% 
    Number with an unused LUT11185013% 
    Number of fully used LUT-FF pairs36485042% 
    Number of unique control sets28   
    Number of slice register sites lost
        to control set restrictions
5911,4401% 
Number of bonded IOBs7410272% 
    Number of LOCed IOBs7474100% 
    IOB Flip Flops1   
Number of RAMB16BWERs0320% 
Number of RAMB8BWERs0640% 
Number of BUFIO2/BUFIO2_2CLKs2326% 
    Number used as BUFIO2s2   
    Number used as BUFIO2_2CLKs0   
Number of BUFIO2FB/BUFIO2FB_2CLKs2326% 
    Number used as BUFIO2FBs2   
    Number used as BUFIO2FB_2CLKs0   
Number of BUFG/BUFGMUXs41625% 
    Number used as BUFGs4   
    Number used as BUFGMUX0   
Number of DCM/DCM_CLKGENs2450% 
    Number used as DCMs2   
    Number used as DCM_CLKGENs0   
Number of ILOGIC2/ISERDES2s02000% 
Number of IODELAY2/IODRP2/IODRP2_MCBs02000% 
Number of OLOGIC2/OSERDES2s12001% 
    Number used as OLOGIC2s1   
    Number used as OSERDES2s0   
Number of BSCANs040% 
Number of BUFHs01280% 
Number of BUFPLLs080% 
Number of BUFPLL_MCBs040% 
Number of DSP48A1s0160% 
Number of ICAPs010% 
Number of MCBs020% 
Number of PCILOGICSEs020% 
Number of PLL_ADVs020% 
Number of PMVs010% 
Number of STARTUPs010% 
Number of SUSPEND_SYNCs010% 
Average Fanout of Non-Clock Nets3.79   
+ + + + 
+ + + + + + + + + + + + + + + + + +
Performance Summary [-]
Final Timing Score:0 (Setup: 0, Hold: 0, Component Switching Limit: 0)Pinout Data:Pinout Report
Routing Results: +All Signals Completely RoutedClock Data:Clock Report
Timing Constraints: +All Constraints Met  
+ + + + 
+ + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentlun 23. mayo 12:37:47 2016004 Infos (0 new)
Translation ReportCurrentlun 23. mayo 12:37:54 2016002 Infos (0 new)
Map ReportCurrentlun 23. mayo 12:38:17 2016008 Infos (0 new)
Place and Route ReportCurrentlun 23. mayo 12:38:47 2016000
Power Report     
Post-PAR Static Timing ReportCurrentlun 23. mayo 12:38:55 2016003 Infos (0 new)
Bitgen ReportCurrentlun 23. mayo 12:39:05 2016002 Infos (0 new)

+ + + + +
Secondary Reports [-]
Report NameStatusGenerated
WebTalk ReportCurrentlun 23. mayo 12:39:06 2016
WebTalk Log FileCurrentlun 23. mayo 12:39:15 2016
+ + +
Date Generated: 05/24/2016 - 08:10:18
+ \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_summary.xml =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_summary.xml b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_summary.xml new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_summary.xml (revision 224) @@ -0,0 +1,10 @@ + + + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_usage.xml =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_usage.xml b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_usage.xml new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_usage.xml (revision 224) @@ -0,0 +1,836 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_xst.xrpt =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_xst.xrpt b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_xst.xrpt new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/chn5_mem_spi_joint_xst.xrpt (revision 224) @@ -0,0 +1,241 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+
+
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + + + +
+
+
+
+
+ + + +
+ + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/data_header_ram.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/data_header_ram.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/data_header_ram.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/data_header_ram.vhd (revision 224) @@ -0,0 +1,59 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:19:27 05/17/2016 +-- Design Name: +-- Module Name: data_header_ram - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity data_header_ram is +GENERIC( + DATA_MUX_SZ: INTEGER := 16 +); +PORT( + --Senhales desde las dos fuentes de datos + data_header_in: IN std_logic_vector((DATA_MUX_SZ-1) downto 0); + data_ram_in: IN std_logic_vector((DATA_MUX_SZ-1) downto 0); + + --Senhal de seleccion + sel_header_ram: IN std_logic; + + --Senhal hacia el spi controller + data_tospi: OUT std_logic_vector((DATA_MUX_SZ-1) downto 0) +); +end data_header_ram; + +architecture Behavioral of data_header_ram is + +begin + +WITH sel_header_ram SELECT +data_tospi <= data_header_in WHEN '0', + --data_ram_in WHEN '0', + data_ram_in WHEN '1', + (OTHERS => '0') WHEN OTHERS; +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/fuse.xmsgs =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/fuse.xmsgs b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/fuse.xmsgs new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/fuse.xmsgs (revision 224) @@ -0,0 +1,9 @@ + + + + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/fuseRelaunch.cmd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/fuseRelaunch.cmd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/fuseRelaunch.cmd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/fuseRelaunch.cmd (revision 224) @@ -0,0 +1 @@ +-intstyle "ise" -incremental -lib "secureip" -o "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/testbench_isim_translate.exe" -prj "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/testbench_translate.prj" "work.testbench" Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/header_memory.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/header_memory.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/header_memory.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/header_memory.vhd (revision 224) @@ -0,0 +1,153 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 13:52:36 05/17/2016 +-- Design Name: +-- Module Name: header_memory - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity header_memory is +GENERIC( + COUNT_HEADER_SZ: INTEGER := 3; + HEADER_SZ: INTEGER :=8; + DATA_HEADER_SZ: INTEGER := 16 +); +PORT( + + --Senhal reloj principal + clk_main: IN std_logic; + + --Dato de salida con datos de cabecera + data_header: OUT std_logic_vector((DATA_HEADER_SZ-1) downto 0); + + --Reset de puntero + rst_ptr: IN std_logic; + + --Senhales de carga y acknowledge de carga + req_header: IN std_logic; + ld_header: OUT std_logic +); +end header_memory; + +architecture Behavioral of header_memory is + +TYPE header_states IS (idle, + pres, + proc, + aum + ); +SIGNAL header_cur_state: header_states := idle; +SIGNAL header_next_state: header_states := idle; + +SIGNAL ptr_mem: std_logic_vector((COUNT_HEADER_SZ-1) downto 0) := (OTHERS => '0'); + +TYPE t_header_mem IS ARRAY (0 TO (HEADER_SZ-1)) OF std_logic_vector ((DATA_HEADER_SZ-1) downto 0); + +CONSTANT a_header_mem: t_header_mem :=( + "0010010001010010", --$R + "0100111101001010", --JO + "0101100101011001", --YY + "0100110101001101", --MM + "0100010001000100", --DD + "0110100001101000", --hh + "0110110101101101", --mm + "0111001101110011" --ss + + --"0000000100000010", + --"0000001100000100", + --"0000010100000110", + --"0000011100001000", + --"0000100100001010", + --"0000101100001100", + --"0000110100001110", + --"0000111100010000" + ); +begin + +--------------------------------------------------- + +data_header <= a_header_mem(to_integer(unsigned(ptr_mem))); + +ptr_mem_process: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_ptr = '1') THEN + ptr_mem <= (OTHERS => '0'); + ELSE + IF (header_cur_state = aum) THEN + ptr_mem <= std_logic_vector(unsigned(ptr_mem)+1); + END IF; + END IF; + END IF; + END PROCESS; + +cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_ptr = '1') THEN + header_cur_state <= idle; + ELSE + header_cur_state <= header_next_state; + END IF; + END IF; + END PROCESS; + +salidas_estados: PROCESS(header_cur_state, req_header) + BEGIN + CASE header_cur_state IS + WHEN idle => + ld_header <= '0'; + header_next_state <= pres; + + WHEN pres => + ld_header <= '0'; + IF (req_header = '1') THEN + header_next_state <= proc; + ELSE + header_next_state <= pres; + END IF; + + WHEN proc => + ld_header <= '1'; + IF (req_header = '0') THEN + header_next_state <= aum; + ELSE + header_next_state <= proc; + END IF; + + WHEN aum => + ld_header <= '0'; + header_next_state <= idle; + + WHEN OTHERS => + ld_header <= '1'; + header_next_state <= idle; + + END CASE; + END PROCESS; + +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/header_spi_interface.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/header_spi_interface.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/header_spi_interface.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/header_spi_interface.vhd (revision 224) @@ -0,0 +1,359 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:10:43 05/17/2016 +-- Design Name: +-- Module Name: header_spi_interface - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity header_spi_interface is +GENERIC( + + --Tamanho de palabra de datos desde RAM + DATA_MUX_SZ: INTEGER := 16; + + --Constantes de bloque de memoria con datos de cabecera + COUNT_HEADER_SZ: INTEGER := 3; + HEADER_SZ: INTEGER :=8; + DATA_HEADER_SZ: INTEGER := 16; + + --Constantes del bloque de controlador SPI + WORD_IN_SZ: INTEGER := 16; + WORD_INT_SZ: INTEGER := 16; + WORD_OUT_SZ: INTEGER := 8; + WORD_SPIIN_SZ: INTEGER := 8; + + POS_WORD1: INTEGER := 16; + POS_WORD2: INTEGER := 8; + + --Constantes del bloque spi esclavo + SPI_DATA_WIDTH: INTEGER :=8; + SPI_BIT_COUNT_WIDTH: INTEGER :=3 + +); +PORT( + + --Senhal de reset general + rst_bar: IN std_logic; + + --Senhal de reloj principal + clk_main: IN std_logic; + + --Senhales interfaz SPI esclavo + MOSI : IN std_logic; + SCK : IN std_logic; + CS : IN std_logic; + MISO : OUT std_logic; + + --Senhales del bloque de memoria con el controlador SPI + --Senhal request hacia bloque controlador RAM + req_ram: OUT std_logic; + + --Senhal desde bloque controlador RAM + ld_ram: IN std_logic; + + --Dato desde la RAM + data_ram_in: IN std_logic_vector((DATA_MUX_SZ-1) downto 0) + +); +end header_spi_interface; + +architecture Behavioral of header_spi_interface is + +COMPONENT SPI_SLAVE is +GENERIC( + SPI_DATA_WIDTH: INTEGER :=8; + SPI_BIT_COUNT_WIDTH: INTEGER :=3 +); + +PORT ( + + --Senhal de reset general + rst_bar : IN std_logic; + + --Senhal de reloj principal + clk_main : IN std_logic; + + --Senhales interfaz SPI esclavo + MOSI : IN std_logic; + SCK : IN std_logic; + CS : IN std_logic; + MISO : OUT std_logic; + + --Palabras de datos desde hacia SPI esclavo + --Dato hacia el SPI esclavo + data_in : IN std_logic_vector (SPI_DATA_WIDTH-1 downto 0); + --Dato desde el SPI esclavo + data_out : OUT std_logic_vector (SPI_DATA_WIDTH-1 downto 0); + + + --Senhales con el SPI interface + --Senhal indicadora de carga + spi_ready : OUT std_logic; + --Senhal de estado de interfaz + spi_free: OUT std_logic + + +); +end COMPONENT; + +COMPONENT spi_controller is +GENERIC( + + WORD_IN_SZ: INTEGER :=16; + WORD_INT_SZ: INTEGER :=16; + WORD_OUT_SZ: INTEGER :=8; + WORD_SPIIN_SZ: INTEGER :=8; + + POS_WORD1: INTEGER :=16; + POS_WORD2: INTEGER :=8 + +); +PORT( + --Senhal de reset general + rst_bar: IN std_logic; + + --Senhal de reloj principal + clk_main: IN std_logic; + + --Senhal request hacia memoria de cabecera + req_header: OUT std_logic; + + --Senhal request hacia memoria de cabecera + ld_header: IN std_logic; + + --Senhal request hacia bloque controlador RAM + req_ram: OUT std_logic; + + --Senhal desde bloque controlador RAM + ld_ram: IN std_logic; + + --Senhal hacia RAM interna de cabecera y futura fecha-hora + rst_headerdate: OUT std_logic; + + --Senhal de seleccion + sel_headerdate_ram: OUT std_logic; + + --Senhal con splitter de 24(32) a 16 + word_in: IN STD_LOGIC_VECTOR((WORD_IN_SZ-1)downto 0); + + --Senhales con SPI Slave + word_out: OUT STD_LOGIC_VECTOR((WORD_OUT_SZ-1) downto 0); + fromspi_in: IN STD_LOGIC_VECTOR((WORD_SPIIN_SZ-1) downto 0); + rdy_8_in: IN STD_LOGIC; + spi_free: IN STD_LOGIC + +); +end COMPONENT; + +COMPONENT header_memory is +GENERIC( + COUNT_HEADER_SZ: INTEGER := 3; + HEADER_SZ: INTEGER :=8; + DATA_HEADER_SZ: INTEGER := 16 +); + +PORT( + + --Senhal reloj principal + clk_main: IN std_logic; + + --Dato de salida con datos de cabecera + data_header: OUT std_logic_vector((DATA_HEADER_SZ-1) downto 0); + + --Reset de puntero + rst_ptr: IN std_logic; + + --Senhales de carga y acknowledge de carga + req_header: IN std_logic; + ld_header: OUT std_logic +); +end COMPONENT; + +COMPONENT data_header_ram is +GENERIC( + DATA_MUX_SZ: INTEGER := 16 +); +PORT( + --Senhales desde las dos fuentes de datos + data_header_in: IN std_logic_vector((DATA_MUX_SZ-1) downto 0); + data_ram_in: IN std_logic_vector((DATA_MUX_SZ-1) downto 0); + + --Senhal de seleccion + sel_header_ram: IN std_logic; + + --Senhal hacia el spi controller + data_tospi: OUT std_logic_vector((DATA_MUX_SZ-1) downto 0) +); +end COMPONENT; + +--Senhales enlace entre SPI eslcavo y SPI controller +--Senhales de datos +SIGNAL from_spi: std_logic_vector (SPI_DATA_WIDTH-1 downto 0) := (OTHERS => '0'); +SIGNAL to_spi: std_logic_vector (SPI_DATA_WIDTH-1 downto 0) := (OTHERS => '0'); + +--Senhales de control SPI esclavo y SPI controlador +SIGNAL spi_ready: std_logic := '0'; +SIGNAL spi_free: std_logic := '0'; + +--Senhales de control con la memoria cabecera +SIGNAL req_header: std_logic := '0'; +SIGNAL ld_header: std_logic := '0'; + +--Senhal de seleccion del dato proveniente del multiplexor +SIGNAL sel_headerdate_ram: std_logic := '0'; + +--Senhal de reset de la direccion de la memoria de cabecera +SIGNAL rst_headerdate: std_logic := '0'; + +--Dato proveniente sobre multiplexor +SIGNAL data_mux: std_logic_vector((DATA_MUX_SZ-1) downto 0) := (OTHERS => '0'); +SIGNAL data_mux_header: std_logic_vector((DATA_MUX_SZ-1) downto 0) := (OTHERS => '0'); + + +begin + +controlador_spi_esclavo: SPI_SLAVE +GENERIC MAP( + SPI_DATA_WIDTH => SPI_DATA_WIDTH, + SPI_BIT_COUNT_WIDTH => SPI_BIT_COUNT_WIDTH +) +PORT MAP( + --Senhal de reset general + rst_bar => rst_bar, + + --Senhal de reloj principal + clk_main => clk_main, + + --Senhales interfaz SPI esclavo + MOSI => MOSI, + SCK => SCK, + CS => CS, + MISO => MISO, + + --Palabras de datos desde hacia SPI esclavo + --Dato hacia el SPI esclavo + data_in => to_spi, + --Dato desde el SPI esclavo + data_out => from_spi, + + --Senhales con el SPI interface + --Senhal indicadora de carga + spi_ready => spi_ready, + --Senhal de estado de interfaz + spi_free => spi_free + +); + +controlador_spi_principal : spi_controller +GENERIC MAP( + + WORD_IN_SZ => WORD_IN_SZ, + WORD_INT_SZ => WORD_INT_SZ, + WORD_OUT_SZ => WORD_OUT_SZ, + WORD_SPIIN_SZ => WORD_SPIIN_SZ, + + POS_WORD1 => POS_WORD1, + POS_WORD2 => POS_WORD2 + +) +PORT MAP( + --Senhal de reset general + rst_bar => rst_bar, + + --Senhal de reloj principal + clk_main => clk_main, + + --Senhal request hacia memoria de cabecera + req_header => req_header, + + --Senhal request hacia memoria de cabecera + ld_header => ld_header, + + --Senhal request hacia bloque controlador RAM + req_ram => req_ram, + + --Senhal desde bloque controlador RAM + ld_ram => ld_ram, + + --Senhal hacia RAM interna de cabecera y futura fecha-hora + rst_headerdate => rst_headerdate, + + --Senhal de seleccion + sel_headerdate_ram => sel_headerdate_ram, + + --Senhal con splitter de 24(32) a 16 + word_in => data_mux, + + --Senhales con SPI Slave + word_out => to_spi, + fromspi_in => from_spi, + + rdy_8_in => spi_ready, + spi_free => spi_free + +); + +cabecera_memoria : header_memory +GENERIC MAP( + COUNT_HEADER_SZ => COUNT_HEADER_SZ, + HEADER_SZ => HEADER_SZ, + DATA_HEADER_SZ => DATA_HEADER_SZ +) +PORT MAP( + + --Senhal reloj principal + clk_main => clk_main, + + --Dato de salida con datos de cabecera + data_header => data_mux_header, + + --Reset de puntero + rst_ptr => rst_headerdate, + + --Senhales de carga y acknowledge de carga + req_header => req_header, + ld_header => ld_header +); + +multiplexor_ram_header: data_header_ram +GENERIC MAP( + DATA_MUX_SZ => DATA_MUX_SZ +) +PORT MAP( + --Senhales desde las dos fuentes de datos + data_header_in => data_mux_header, + data_ram_in => data_ram_in, + + --Senhal de seleccion + sel_header_ram => sel_headerdate_ram, + + --Senhal hacia el spi controller + data_tospi => data_mux +); + +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/i2c_inst.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/i2c_inst.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/i2c_inst.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/i2c_inst.vhd (revision 224) @@ -0,0 +1,102 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 00:26:16 02/17/2016 +-- Design Name: +-- Module Name: i2c_inst - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.Numeric_STD.ALL; +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity i2c_inst is +PORT( + clk_main: IN std_logic; + rst_bar: IN std_logic; + SDA: INOUT std_logic; + SCL: INOUT std_logic +); +end i2c_inst; + +architecture Behavioral of i2c_inst is + +SIGNAL SCLi: std_logic :='0'; +SIGNAL SDAi: std_logic :='0'; +SIGNAL SCLoe: std_logic :='0'; +SIGNAL SDAoe: std_logic :='0'; +SIGNAL bit_counter: std_logic_vector(2 downto 0) :=(OTHERS => '0'); +SIGNAL flanco_SCL: std_logic_vector(1 downto 0) := (OTHERS => '0'); +SIGNAL inc_count: std_logic := '0'; +begin + + SCL <= '0' WHEN (SCLOe ='0') else 'Z'; + SDA <= '0' WHEN (SDAOe ='0') else 'Z'; + + SCLi <= SCL; + SDAi <= SDA; + + +incremento_contador : PROCESS(clk_main) +BEGIN + IF(rising_edge(clk_main))THEN + IF(rst_bar = '0') THEN + bit_counter <= "100"; + + ELSE + IF(inc_count = '1') THEN + IF(bit_counter = "100") THEN + bit_counter <= (OTHERS=>'0'); + IF (SDAi = '0') THEN + SDAOe <= '1'; + ELSE + SDAOe <= '0'; + END IF; + SCLOe <= '1'; + + ELSE + bit_counter <= std_logic_vector(unsigned(bit_counter) + 1); + END IF; + + END IF; + END IF; + END IF; +END PROCESS; + +flancos_scl: PROCESS(clk_main) +BEGIN + IF(rising_edge(clk_main))THEN + IF(rst_bar = '0') THEN + flanco_SCL <= (OTHERS => '0'); + + ELSE + flanco_SCL <= flanco_SCL(0) & SCLi; + + IF (flanco_SCL(1 downto 0) = "01") THEN + inc_count <= '1'; + ELSE + inc_count <= '0'; + END IF; + END IF; + END IF; +END PROCESS; +end Behavioral; Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.projectmgr =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.projectmgr b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.projectmgr new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.projectmgr (revision 224) @@ -0,0 +1,216 @@ + + + + + + + + + 2 + + + controlador_spi_esclavo - SPI_SLAVE - Behavioral (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/SPI_SLAVE.vhd) + + 11 + 0 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000244000000020000000000000000000000000200000064ffffffff000000810000000300000002000002440000000100000003000000000000000100000003 + true + controlador_spi_esclavo - SPI_SLAVE - Behavioral (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/SPI_SLAVE.vhd) + + + + 1 + Design Utilities + Implement Design/Place & Route/Back-annotate Pin Locations + Implement Design/Place & Route/Generate IBIS Model + Implement Design/Place & Route/Generate Post-Place & Route Static Timing + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff0000000000000001000000000000000001000000000000000000000000000000000000028e000000040101000100000000000000000000000064ffffffff000000810000000000000004000000ba00000001000000000000009d0000000100000000000000660000000100000000000000d10000000100000000 + false + chn5_mem_spi_joint.ucf + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 + false + work + + + + 2 + /chn5_mem_spi_joint - Behavioral C:|Users|Francisco|Documents|Francisco_ROJ|ProcessingEngine|Projects|chn5_mem_spi_joint|chn5_mem_spi_joint.vhd + /testbench - behavior C:|Users|Francisco|Documents|Francisco_ROJ|ProcessingEngine|Projects|chn5_mem_spi_joint|tb_ch5_mem_spi_joint.vhd + /testbench - behavior C:|Users|Francisco|Documents|Francisco_ROJ|ProcessingEngine|Projects|chn5_mem_spi_joint|tb_chn5_mem_spi_joint.vhd/uut - chn5_mem_spi_joint - Behavioral + + + testbench - behavior (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd) + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001b1000000020000000000000000000000000200000064ffffffff000000810000000300000002000001b10000000100000003000000000000000100000003 + false + testbench - behavior (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd) + + + + 1 + Design Utilities + ISim Simulator + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + + + + + 1 + + + Simulate Behavioral Model + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + Simulate Behavioral Model + + 000000ff00000000000000020000011b0000011b01000000050100000002 + Implementation + + + 1 + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + + + + + 1 + Design Utilities/Compile HDL Simulation Libraries + + + Design Utilities + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + Design Utilities + + + + 2 + /testbench - behavior C:|Users|Francisco|Documents|Francisco_ROJ|ProcessingEngine|Projects|chn5_mem_spi_joint|tb_chn5_mem_spi_joint.vhd + + + testbench - behavior (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd) + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000014f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000014f0000000100000003000000000000000100000003 + false + testbench - behavior (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd) + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + + + + + 1 + + + Simulate Post-Place & Route Model + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + Simulate Post-Place & Route Model + + + + 2 + /testbench - behavior C:|Users|Francisco|Documents|Francisco_ROJ|ProcessingEngine|Projects|chn5_mem_spi_joint|tb_chn5_mem_spi_joint.vhd + + + testbench - behavior (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd) + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000014f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000014f0000000100000003000000000000000100000003 + false + testbench - behavior (C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd) + + + + 1 + Design Utilities/Compile HDL Simulation Libraries + + + Update All Schematic Files + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + Update All Schematic Files + + + + 1 + + + Simulate Post-Translate Model + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000001d8000000010000000100000000000000000000000064ffffffff000000810000000000000001000001d80000000100000000 + false + Simulate Post-Translate Model + + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.xreport =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.xreport b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.xreport new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.xreport (revision 224) @@ -0,0 +1,215 @@ + + +
+ 2016-05-24T08:10:18 + chn5_mem_spi_joint + 2016-05-20T11:13:02 + C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/iseconfig/chn5_mem_spi_joint.xreport + C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint\ + 2016-05-18T09:45:49 + false +
+ + + + + + + + + + + + + + + + + + + + + + + +
Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/mux_np.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/mux_np.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/mux_np.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/mux_np.vhd (revision 224) @@ -0,0 +1,123 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 10:04:18 05/16/2016 +-- Design Name: +-- Module Name: mux_np - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity mux_np is +GENERIC( + CHAN_NUM: INTEGER :=5; + HEADER_CONST_SZ: INTEGER :=4; + HEADER_CH_SZ: INTEGER :=4; + RESUL_NP_SZ: INTEGER :=24; + WORD_INT_MUX_SZ: INTEGER := 32; + WORD_OUT_MUX_SZ: INTEGER := 16 + ); +PORT( + --Palabras desde "Nibble/packet channels" + DATA_CH1 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + DATA_CH2 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + DATA_CH3 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + DATA_CH4 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + DATA_CH5 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + + --Selector de dato de canal a presentar + oe_ch_vect : IN std_logic_vector((CHAN_NUM-1) downto 0); + + --Selector de palabra de 16 bits en + hb_lbbar: IN std_logic; + + --Dato a escribir en memoria + DATA_OUT : OUT std_logic_vector((WORD_OUT_MUX_SZ-1) downto 0) +); +end mux_np; + +architecture Behavioral of mux_np is + +CONSTANT cabecera_const: STD_LOGIC_VECTOR((HEADER_CONST_SZ-1)downto 0):= "0110"; +CONSTANT cabecera_ch1: STD_LOGIC_VECTOR((HEADER_CH_SZ-1)downto 0):= "0001"; +CONSTANT cabecera_ch2: STD_LOGIC_VECTOR((HEADER_CH_SZ-1)downto 0):= "0010"; +CONSTANT cabecera_ch3: STD_LOGIC_VECTOR((HEADER_CH_SZ-1)downto 0):= "0011"; +CONSTANT cabecera_ch4: STD_LOGIC_VECTOR((HEADER_CH_SZ-1)downto 0):= "0100"; +CONSTANT cabecera_ch5: STD_LOGIC_VECTOR((HEADER_CH_SZ-1)downto 0):= "0101"; + +SIGNAL word_int: STD_LOGIC_VECTOR((WORD_INT_MUX_SZ-1)downto 0) := (OTHERS=>'0'); + +begin + +selector_wordin: PROCESS(oe_ch_vect,DATA_CH5,DATA_CH4,DATA_CH3,DATA_CH2,DATA_CH1) + BEGIN + CASE oe_ch_vect IS + WHEN "10000" => + word_int <= cabecera_const & cabecera_ch5 & DATA_CH5; + --word_int <= (OTHERS => '0'); + --word_int <= "01100110011001100110011001100110"; + WHEN "01000" => + word_int <= cabecera_const & cabecera_ch4 & DATA_CH4; + --word_int <= (OTHERS => '0'); + --word_int <= "01100110011001100110011001100110"; + --word_int <= "10101010010101011010101001010101"; + WHEN "00100" => + word_int <= cabecera_const & cabecera_ch3 & DATA_CH3; + --word_int <= (OTHERS => '0'); + --word_int <= "10101010010101011010101001010101"; + --word_int <= "01100110011001100110011001100110"; + WHEN "00010" => + word_int <= cabecera_const & cabecera_ch2 & DATA_CH2; --DATA_CH2(23 downto 16) + --word_int <= cabecera_const & cabecera_ch2 & "10000001" & "1010101001010101"; --& DATA_CH2(15 downto 0); + --word_int <= "01100010"&"11111101"&"11111110"&"11111110"; + --word_int <= "01100110011001100110011001100110"; + --word_int <= "10101010010101011010101001010101"; + WHEN "00001" => + word_int <= cabecera_const & cabecera_ch1 & DATA_CH1; + --word_int <= (OTHERS => '0'); + --word_int <= "10101010010101011010101001010101"; + --word_int <= "01100110011001100110011001100110"; + --WHEN "00000" => + --word_int <= (OTHERS => '0'); + --word_int <= "01100110011001100110011001100110"; + --word_int <= "10101010010101011010101001010101"; + WHEN OTHERS => + word_int <= (OTHERS => '0'); + --word_int <= "10101010010101011010101001010101"; + END CASE; + END PROCESS; + +selector_byte: PROCESS(hb_lbbar,word_int) + BEGIN + IF(hb_lbbar = '1') THEN + DATA_OUT <= word_int(31 downto 16); + ELSIF(hb_lbbar = '0') THEN + DATA_OUT <= word_int(15 downto 0); + ELSE + DATA_OUT <= (OTHERS => '0'); + END IF; + END PROCESS; + +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/nibble_pack.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/nibble_pack.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/nibble_pack.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/nibble_pack.vhd (revision 224) @@ -0,0 +1,259 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 13:24:28 05/06/2016 +-- Design Name: +-- Module Name: nibble_pack - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.Numeric_STD.ALL; + +entity nibble_pack is +GENERIC( + NIBBLE_SZ: INTEGER :=4; + RESUL_NP_SZ: INTEGER :=24; + SPI_NIBL_COUNT_WIDTH: INTEGER := 3 + ); + +PORT( + + --Reset del sistema + rst_bar: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Senhales hacia el sistema + resul_mem: OUT STD_LOGIC_VECTOR((RESUL_NP_SZ-1) downto 0); --Salida al espacio de memoria para almacenar datos crudos + rdy_mem: OUT STD_LOGIC; --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + libre_24: IN STD_LOGIC --Senhal para indicar que el dato presentado fue leido o no + +); +end nibble_pack; + +architecture Behavioral of nibble_pack is +SIGNAL clk_acq_r : std_logic_vector (2 downto 0) :=(OTHERS=>'1'); +SIGNAL chn_bits_acq_bit0 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); +SIGNAL chn_bits_acq_bit1 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); +SIGNAL chn_bits_acq_bit2 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); +SIGNAL chn_bits_acq_bit3 : std_logic_vector (1 downto 0) :=(OTHERS=>'0'); + +SIGNAL clk_acq_rise : std_logic :='0'; +SIGNAL clk_acq_fall: std_logic :='0'; +SIGNAL rdy_mem_aux: std_logic :='0'; + +SIGNAL start_count: std_logic :='0'; + +SIGNAL nibble_counter : std_logic_vector (2 downto 0) :=(OTHERS=>'0'); +SIGNAL resul_mem_internal: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS=>'0'); +SIGNAL resul_mem_internal_aux: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS=>'0'); +CONSTANT TOP_COUNT: std_logic_vector((SPI_NIBL_COUNT_WIDTH-1) downto 0) :="101"; +CONSTANT BASE_COUNT: std_logic_vector((SPI_NIBL_COUNT_WIDTH-1) downto 0) :=(OTHERS=>'0'); + +TYPE data_states IS (idle, + data_pres, data_proc + ); +SIGNAL data_cur_state: data_states := idle; +SIGNAL data_next_state: data_states := idle; + +begin + +sync_data: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + chn_bits_acq_bit0 <= (OTHERS=>'0'); + chn_bits_acq_bit1 <= (OTHERS=>'0'); + chn_bits_acq_bit2 <= (OTHERS=>'0'); + chn_bits_acq_bit3 <= (OTHERS=>'0'); + ELSE + chn_bits_acq_bit0 <= chn_bits_acq_bit0(0) & chn_bits_acq(0); + chn_bits_acq_bit1 <= chn_bits_acq_bit1(0) & chn_bits_acq(1); + chn_bits_acq_bit2 <= chn_bits_acq_bit2(0) & chn_bits_acq(2); + chn_bits_acq_bit3 <= chn_bits_acq_bit3(0) & chn_bits_acq(3); + END IF; + END IF; + END PROCESS; + +edge_det: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + clk_acq_r <=(OTHERS =>'1'); + clk_acq_rise <= '0'; + clk_acq_fall <= '0'; + ELSE + clk_acq_r <= clk_acq_r(1 downto 0) & clk_acq; + IF (clk_acq_r(2 downto 1) = "01") THEN + clk_acq_rise <= '1'; + ELSE + clk_acq_rise <= '0'; + END IF; + + IF (clk_acq_r(2 downto 1) = "10") THEN + clk_acq_fall <= '1'; + ELSE + clk_acq_fall <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + +start_save: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + --IF(nibble_counter = "000") THEN + start_count <= '0'; + --END IF; + ELSE + IF (clk_acq_fall = '1' AND (nibble_counter = BASE_COUNT)) THEN + start_count <= '1'; + ELSIF(clk_acq_rise = '1' AND(nibble_counter = TOP_COUNT)) THEN + start_count <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + +save_bits: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + --IF(nibble_counter = "000") THEN + nibble_counter <=(OTHERS => '0') ; + resul_mem_internal <=(OTHERS => '0') ; + --END IF; + ELSE + IF (start_count = '1') THEN + IF(clk_acq_rise = '1') THEN + nibble_counter <=std_logic_vector(unsigned(nibble_counter)+1); + CASE nibble_counter IS + WHEN "000" => + resul_mem_internal(23 downto 20) <= chn_bits_acq_bit3(1) & chn_bits_acq_bit2(1) & chn_bits_acq_bit1(1) & chn_bits_acq_bit0(1); + WHEN "001" => + resul_mem_internal(19 downto 16) <= chn_bits_acq_bit3(1) & chn_bits_acq_bit2(1) & chn_bits_acq_bit1(1) & chn_bits_acq_bit0(1); + WHEN "010" => + resul_mem_internal(15 downto 12) <= chn_bits_acq_bit3(1) & chn_bits_acq_bit2(1) & chn_bits_acq_bit1(1) & chn_bits_acq_bit0(1); + WHEN "011" => + resul_mem_internal(11 downto 8) <= chn_bits_acq_bit3(1) & chn_bits_acq_bit2(1) & chn_bits_acq_bit1(1) & chn_bits_acq_bit0(1); + WHEN "100" => + resul_mem_internal(7 downto 4) <= chn_bits_acq_bit3(1) & chn_bits_acq_bit2(0) & chn_bits_acq_bit1(1) & chn_bits_acq_bit0(1); + WHEN "101" => + resul_mem_internal(3 downto 0) <= chn_bits_acq_bit3(1) & chn_bits_acq_bit2(0) & chn_bits_acq_bit1(1) & chn_bits_acq_bit0(1); + nibble_counter <=(OTHERS => '0'); + WHEN OTHERS => + nibble_counter <=(OTHERS => '0'); + END CASE; + END IF; + END IF; + END IF; + END IF; + END PROCESS; + +guardar_dato: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(data_cur_state = idle) THEN + IF(rdy_mem_aux = '1') THEN + resul_mem_internal_aux((RESUL_NP_SZ-1)downto 0) <=resul_mem_internal; + END IF; + END IF; + END IF; + END PROCESS; + +output_rdy_mem: PROCESS(clk_main) + BEGIN + IF(rising_edge(clk_main)) THEN + IF (rst_bar = '0') THEN + rdy_mem_aux <= '0'; + ELSE + IF((nibble_counter = TOP_COUNT)) THEN + IF((clk_acq_rise = '1')) THEN + rdy_mem_aux <= '1'; + END IF; + ELSE + rdy_mem_aux <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + +--resul_mem <= resul_mem_internal; + + + +cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + data_cur_state <= idle; + ELSE + data_cur_state <= data_next_state; + END IF; + END IF; + END PROCESS; + +salidas_estados: PROCESS(resul_mem_internal_aux,data_cur_state,libre_24,rdy_mem_aux) + BEGIN + CASE data_cur_state IS + WHEN idle => + rdy_mem <= '0'; + resul_mem <= (OTHERS => '0'); + --resul_mem <= "100000000000100001110000"; + IF (rdy_mem_aux = '1') THEN + data_next_state <= data_pres; + ELSE + data_next_state <= idle; + END IF; + + WHEN data_pres => + rdy_mem <= '1'; + resul_mem <= resul_mem_internal_aux; + --resul_mem <= "100000000000100001110000"; --1000 0000 0000 1000 0111 0000 --800870 + --resul_mem <= "111111011111111011111110"; + IF (libre_24 = '1') THEN + data_next_state <= data_proc; + ELSE + data_next_state <= data_pres; + END IF; + + WHEN data_proc => + rdy_mem <= '1'; + resul_mem <= resul_mem_internal_aux; + --resul_mem <= "100000000000100001110000"; + --resul_mem <= "111111011111111011111110"; + IF (libre_24 = '0') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + data_next_state <= idle; + ELSE + data_next_state <= data_proc; + END IF; + + WHEN OTHERS => + rdy_mem <= '0'; + resul_mem <= (OTHERS => '0'); + data_next_state <= idle; + + END CASE; + END PROCESS; + + +end Behavioral; \ No newline at end of file Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/pa.fromHdl.tcl =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/pa.fromHdl.tcl b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/pa.fromHdl.tcl new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/pa.fromHdl.tcl (revision 224) @@ -0,0 +1,49 @@ + +# PlanAhead Launch Script for Pre-Synthesis Floorplanning, created by Project Navigator + +create_project -name chn5_mem_spi_joint -dir "C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/planAhead_run_2" -part xc6slx9tqg144-3 +set_param project.pinAheadLayout yes +set srcset [get_property srcset [current_run -impl]] +set_property target_constrs_file "chn5_mem_spi_joint.ucf" [current_fileset -constrset] +set hdlfile [add_files [list {W_R_RAM_CONTROLLER.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {SPI_SLAVE.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {spi_controller.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {SEL_WRbar.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {nibble_pack.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {mux_np.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {header_memory.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {data_header_ram.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {u_np_mux_memcon_seliobar.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {i2c_inst.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {header_spi_interface.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {DCM_fwd_int.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set hdlfile [add_files [list {chn5_mem_spi_joint.vhd}]] +set_property file_type VHDL $hdlfile +set_property library work $hdlfile +set_property top chn5_mem_spi_joint $srcset +add_files [list {chn5_mem_spi_joint.ucf}] -fileset [get_property constrset [current_run]] +open_rtl_design -part xc6slx9tqg144-3 Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/par_usage_statistics.html =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/par_usage_statistics.html b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/par_usage_statistics.html new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/par_usage_statistics.html (revision 224) @@ -0,0 +1,32 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Par Statistics
Total Non-vccgnd Signals=1102
Total Non-vccgnd Design Pins=4110
Total Non-vccgnd Conns=4110
Total Non-vccgnd Timing Constrained Conns=3847
Phase 1 CPU=5.8 sec
Phase 2 CPU=6.3 sec
Phase 3 CPU=8.7 sec
Phase 4 CPU=9.8 sec
Phase 5 CPU=13.3 sec
Phase 6 CPU=14.2 sec
Phase 7 CPU=26.3 sec
Phase 8 CPU=26.3 sec
Phase 9 CPU=26.3 sec
Phase 10 CPU=26.5 sec
AvgWirelenPerPin Fanout 1=5.0
AvgWirelenPerPin Fanout 2=2.0
AvgWirelenPerPin Fanout 3=1.3
AvgWirelenPerPin Fanout 4=4.0
AvgWirelenPerPin Fanout 10=3.3
AvgWirelenPerPin Fanout 50=2.4
AvgWirelenPerPin Fanout 100=6.9
AvgWirelenPerPin Fanout 500=5.4
AvgWirelenPerPin Fanout 5000=0.0
AvgWirelenPerPin Fanout 20000=0.0
AvgWirelenPerPin Fanout 50000=0.0
AvgWirelenPerPin Fanout 100000=0.0
IRR Gamma=1.6620
Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/pepExtractor.prj =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/pepExtractor.prj b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/pepExtractor.prj new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/pepExtractor.prj (revision 224) @@ -0,0 +1,13 @@ +work "DCM_fwd_int.vhd" +work "SEL_WRbar.vhd" +work "SPI_SLAVE.vhd" +work "W_R_RAM_CONTROLLER.vhd" +work "chn5_mem_spi_joint.vhd" +work "data_header_ram.vhd" +work "header_memory.vhd" +work "header_spi_interface.vhd" +work "i2c_inst.vhd" +work "mux_np.vhd" +work "nibble_pack.vhd" +work "spi_controller.vhd" +work "u_np_mux_memcon_seliobar.vhd" Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid5356.debug =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid5356.debug b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid5356.debug new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid5356.debug (revision 224) @@ -0,0 +1,73 @@ +#------------------------------------------------------------------------------- +# PlanAhead v14.7 (64-bit) +# Build 321239 by xbuild on Fri Sep 27 19:29:51 MDT 2013 +# Current time: 5/18/16 11:46:27 AM +# Process ID: 5356 +# Platform: Windows +# +# This file is an indication that an internal application error occurred. +# This information is useful for debugging. Please open a case with Xilinx +# Technical Support with this file and a testcase attached. +#------------------------------------------------------------------------------- +5/18/16 11:46:27 AM +ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup() +HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR +HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR +HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR +HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR + + at ui.h.e.CF(SourceFile:217) + at ui.h.I.CF(SourceFile:702) + at ui.frmwork.HTclEventBroker.a(SourceFile:368) + at ui.frmwork.HTclEventBroker.bb(SourceFile:354) + at ui.project.a.een(SourceFile:759) + at ui.project.a.cleanup(SourceFile:608) + at ui.project.r.cleanup(SourceFile:631) + at ui.PlanAhead.aJj(SourceFile:335) + at ui.PlanAhead.a(SourceFile:1192) + at ui.frmwork.a.i.c(SourceFile:35) + at ui.frmwork.HTclEventBroker.a(SourceFile:233) + at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325) + at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method) + at ui.e.gY(SourceFile:195) + at ui.bl.run(SourceFile:882) + at ui.cd.run(SourceFile:1821) + at ui.views.F.aw.a(SourceFile:341) + at ui.cd.b(SourceFile:1809) + at ui.cd.a(SourceFile:1784) + at ui.PlanAhead.a(SourceFile:778) + at ui.aL.c(SourceFile:885) + at ui.aL.aHs(SourceFile:824) + at ui.bk.windowClosing(SourceFile:503) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.Window.processWindowEvent(Window.java:2051) + at javax.swing.JFrame.processWindowEvent(JFrame.java:296) + at java.awt.Window.processEvent(Window.java:2009) + at ui.aL.processEvent(SourceFile:1214) + at java.awt.Component.dispatchEventImpl(Component.java:4861) + at java.awt.Container.dispatchEventImpl(Container.java:2287) + at java.awt.Window.dispatchEventImpl(Window.java:2719) + at java.awt.Component.dispatchEvent(Component.java:4687) + at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729) + at java.awt.EventQueue.access$200(EventQueue.java:103) + at java.awt.EventQueue$3.run(EventQueue.java:688) + at java.awt.EventQueue$3.run(EventQueue.java:686) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87) + at java.awt.EventQueue$4.run(EventQueue.java:702) + at java.awt.EventQueue$4.run(EventQueue.java:700) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.awt.EventQueue.dispatchEvent(EventQueue.java:699) + at ui.frmwork.a.e.dispatchEvent(SourceFile:73) + at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242) + at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161) + at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138) + at java.awt.EventDispatchThread.run(EventDispatchThread.java:91) + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid6960.debug =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid6960.debug b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid6960.debug new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid6960.debug (revision 224) @@ -0,0 +1,72 @@ +#------------------------------------------------------------------------------- +# PlanAhead v14.7 (64-bit) +# Build 321239 by xbuild on Fri Sep 27 19:29:51 MDT 2013 +# Current time: 5/18/16 4:02:05 PM +# Process ID: 6960 +# Platform: Windows +# +# This file is an indication that an internal application error occurred. +# This information is useful for debugging. Please open a case with Xilinx +# Technical Support with this file and a testcase attached. +#------------------------------------------------------------------------------- +5/18/16 4:02:05 PM +ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup() +HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR +HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR +HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR +HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR + + at ui.h.e.CF(SourceFile:217) + at ui.h.I.CF(SourceFile:702) + at ui.frmwork.HTclEventBroker.a(SourceFile:368) + at ui.frmwork.HTclEventBroker.bb(SourceFile:354) + at ui.project.a.een(SourceFile:759) + at ui.project.a.cleanup(SourceFile:608) + at ui.project.r.cleanup(SourceFile:631) + at ui.PlanAhead.aJj(SourceFile:335) + at ui.PlanAhead.a(SourceFile:1192) + at ui.frmwork.a.i.c(SourceFile:35) + at ui.frmwork.HTclEventBroker.a(SourceFile:233) + at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325) + at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method) + at ui.e.gY(SourceFile:195) + at ui.bl.run(SourceFile:882) + at ui.cd.run(SourceFile:1821) + at ui.views.F.aw.a(SourceFile:341) + at ui.cd.b(SourceFile:1809) + at ui.cd.a(SourceFile:1784) + at ui.PlanAhead.a(SourceFile:778) + at ui.aL.c(SourceFile:885) + at ui.aL.aHs(SourceFile:824) + at ui.bk.windowClosing(SourceFile:503) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.Window.processWindowEvent(Window.java:2051) + at javax.swing.JFrame.processWindowEvent(JFrame.java:296) + at java.awt.Window.processEvent(Window.java:2009) + at ui.aL.processEvent(SourceFile:1214) + at java.awt.Component.dispatchEventImpl(Component.java:4861) + at java.awt.Container.dispatchEventImpl(Container.java:2287) + at java.awt.Window.dispatchEventImpl(Window.java:2719) + at java.awt.Component.dispatchEvent(Component.java:4687) + at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729) + at java.awt.EventQueue.access$200(EventQueue.java:103) + at java.awt.EventQueue$3.run(EventQueue.java:688) + at java.awt.EventQueue$3.run(EventQueue.java:686) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87) + at java.awt.EventQueue$4.run(EventQueue.java:702) + at java.awt.EventQueue$4.run(EventQueue.java:700) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.awt.EventQueue.dispatchEvent(EventQueue.java:699) + at ui.frmwork.a.e.dispatchEvent(SourceFile:73) + at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242) + at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161) + at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138) + at java.awt.EventDispatchThread.run(EventDispatchThread.java:91) + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid7604.debug =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid7604.debug b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid7604.debug new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid7604.debug (revision 224) @@ -0,0 +1,72 @@ +#------------------------------------------------------------------------------- +# PlanAhead v14.7 (64-bit) +# Build 321239 by xbuild on Fri Sep 27 19:29:51 MDT 2013 +# Current time: 5/18/16 4:00:35 PM +# Process ID: 7604 +# Platform: Windows +# +# This file is an indication that an internal application error occurred. +# This information is useful for debugging. Please open a case with Xilinx +# Technical Support with this file and a testcase attached. +#------------------------------------------------------------------------------- +5/18/16 4:00:35 PM +ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup() +HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR +HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR +HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR +HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR + + at ui.h.e.CF(SourceFile:217) + at ui.h.I.CF(SourceFile:702) + at ui.frmwork.HTclEventBroker.a(SourceFile:368) + at ui.frmwork.HTclEventBroker.bb(SourceFile:354) + at ui.project.a.een(SourceFile:759) + at ui.project.a.cleanup(SourceFile:608) + at ui.project.r.cleanup(SourceFile:631) + at ui.PlanAhead.aJj(SourceFile:335) + at ui.PlanAhead.a(SourceFile:1192) + at ui.frmwork.a.i.c(SourceFile:35) + at ui.frmwork.HTclEventBroker.a(SourceFile:233) + at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325) + at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method) + at ui.e.gY(SourceFile:195) + at ui.bl.run(SourceFile:882) + at ui.cd.run(SourceFile:1821) + at ui.views.F.aw.a(SourceFile:341) + at ui.cd.b(SourceFile:1809) + at ui.cd.a(SourceFile:1784) + at ui.PlanAhead.a(SourceFile:778) + at ui.aL.c(SourceFile:885) + at ui.aL.aHs(SourceFile:824) + at ui.bk.windowClosing(SourceFile:503) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.Window.processWindowEvent(Window.java:2051) + at javax.swing.JFrame.processWindowEvent(JFrame.java:296) + at java.awt.Window.processEvent(Window.java:2009) + at ui.aL.processEvent(SourceFile:1214) + at java.awt.Component.dispatchEventImpl(Component.java:4861) + at java.awt.Container.dispatchEventImpl(Container.java:2287) + at java.awt.Window.dispatchEventImpl(Window.java:2719) + at java.awt.Component.dispatchEvent(Component.java:4687) + at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729) + at java.awt.EventQueue.access$200(EventQueue.java:103) + at java.awt.EventQueue$3.run(EventQueue.java:688) + at java.awt.EventQueue$3.run(EventQueue.java:686) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87) + at java.awt.EventQueue$4.run(EventQueue.java:702) + at java.awt.EventQueue$4.run(EventQueue.java:700) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.awt.EventQueue.dispatchEvent(EventQueue.java:699) + at ui.frmwork.a.e.dispatchEvent(SourceFile:73) + at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242) + at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161) + at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138) + at java.awt.EventDispatchThread.run(EventDispatchThread.java:91) + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid8656.debug =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid8656.debug b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid8656.debug new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/planAhead_pid8656.debug (revision 224) @@ -0,0 +1,72 @@ +#------------------------------------------------------------------------------- +# PlanAhead v14.7 (64-bit) +# Build 321239 by xbuild on Fri Sep 27 19:29:51 MDT 2013 +# Current time: 5/18/16 11:47:10 AM +# Process ID: 8656 +# Platform: Windows +# +# This file is an indication that an internal application error occurred. +# This information is useful for debugging. Please open a case with Xilinx +# Technical Support with this file and a testcase attached. +#------------------------------------------------------------------------------- +5/18/16 11:47:10 AM +ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup() +HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR +HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR +HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR +HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR + + at ui.h.e.CF(SourceFile:217) + at ui.h.I.CF(SourceFile:702) + at ui.frmwork.HTclEventBroker.a(SourceFile:368) + at ui.frmwork.HTclEventBroker.bb(SourceFile:354) + at ui.project.a.een(SourceFile:759) + at ui.project.a.cleanup(SourceFile:608) + at ui.project.r.cleanup(SourceFile:631) + at ui.PlanAhead.aJj(SourceFile:335) + at ui.PlanAhead.a(SourceFile:1192) + at ui.frmwork.a.i.c(SourceFile:35) + at ui.frmwork.HTclEventBroker.a(SourceFile:233) + at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325) + at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method) + at ui.e.gY(SourceFile:195) + at ui.bl.run(SourceFile:882) + at ui.cd.run(SourceFile:1821) + at ui.views.F.aw.a(SourceFile:341) + at ui.cd.b(SourceFile:1809) + at ui.cd.a(SourceFile:1784) + at ui.PlanAhead.a(SourceFile:778) + at ui.aL.c(SourceFile:885) + at ui.aL.aHs(SourceFile:824) + at ui.bk.windowClosing(SourceFile:503) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349) + at java.awt.Window.processWindowEvent(Window.java:2051) + at javax.swing.JFrame.processWindowEvent(JFrame.java:296) + at java.awt.Window.processEvent(Window.java:2009) + at ui.aL.processEvent(SourceFile:1214) + at java.awt.Component.dispatchEventImpl(Component.java:4861) + at java.awt.Container.dispatchEventImpl(Container.java:2287) + at java.awt.Window.dispatchEventImpl(Window.java:2719) + at java.awt.Component.dispatchEvent(Component.java:4687) + at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729) + at java.awt.EventQueue.access$200(EventQueue.java:103) + at java.awt.EventQueue$3.run(EventQueue.java:688) + at java.awt.EventQueue$3.run(EventQueue.java:686) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87) + at java.awt.EventQueue$4.run(EventQueue.java:702) + at java.awt.EventQueue$4.run(EventQueue.java:700) + at java.security.AccessController.doPrivileged(Native Method) + at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76) + at java.awt.EventQueue.dispatchEvent(EventQueue.java:699) + at ui.frmwork.a.e.dispatchEvent(SourceFile:73) + at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242) + at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161) + at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146) + at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138) + at java.awt.EventDispatchThread.run(EventDispatchThread.java:91) + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/spi_controller.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/spi_controller.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/spi_controller.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/spi_controller.vhd (revision 224) @@ -0,0 +1,451 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:57:52 05/16/2016 +-- Design Name: +-- Module Name: spi_controller - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity spi_controller is +GENERIC( + + WORD_IN_SZ: INTEGER :=16; + WORD_INT_SZ: INTEGER :=16; + WORD_OUT_SZ: INTEGER :=8; + WORD_SPIIN_SZ: INTEGER :=8; + + POS_WORD1: INTEGER :=16; + POS_WORD2: INTEGER :=8 + +); +PORT( + --Senhal de reset general + rst_bar: IN std_logic; + + --Senhal de reloj principal + clk_main: IN std_logic; + + --Senhal request hacia memoria de cabecera + req_header: OUT std_logic; + + --Senhal request hacia memoria de cabecera + ld_header: IN std_logic; + + --Senhal request hacia bloque controlador RAM + req_ram: OUT std_logic; + + --Senhal desde bloque controlador RAM + ld_ram: IN std_logic; + + --Senhal hacia RAM interna de cabecera y futura fecha-hora + rst_headerdate: OUT std_logic; + + --Senhal de seleccion + sel_headerdate_ram: OUT std_logic; + + --Senhal con splitter de 24(32) a 16 + word_in: IN STD_LOGIC_VECTOR((WORD_IN_SZ-1)downto 0); + + --Senhales con SPI Slave + word_out: OUT STD_LOGIC_VECTOR((WORD_OUT_SZ-1) downto 0); + fromspi_in: IN STD_LOGIC_VECTOR((WORD_SPIIN_SZ-1) downto 0); + rdy_8_in: IN STD_LOGIC; + --req_8_in: IN STD_LOGIC; + spi_free: IN STD_LOGIC + +); +end spi_controller; + +architecture Behavioral of spi_controller is + +--Estado para control de solicitud de request a memoria ram o header +TYPE spi_contrl_states IS (idle, + pide_dato_header, pide_dato_ram, + w1_pres_header,w1_proc_header,w1_espera_header, + w2_pres_header,w2_proc_header,w2_espera_header, + w1_pres_ram,w1_proc_ram,w1_espera_ram, + w2_pres_ram,w2_proc_ram,w2_espera_ram, + w2_aum_header, w2_aum_ram + ); +SIGNAL spi_contrl_cur_state: spi_contrl_states := idle; +SIGNAL spi_contrl_next_state: spi_contrl_states := idle; + +--Estado segun comando +TYPE cmd_states IS (stop,start); +SIGNAL cmd_cur_state: cmd_states := stop; +SIGNAL cmd_next_state: cmd_states := stop; + +--Palabra interior de tamanho de la ram +SIGNAL word_int: STD_LOGIC_VECTOR((WORD_INT_SZ-1)downto 0) := (OTHERS=>'0'); + +--Palabra leida desde el spi esclavo +SIGNAL word_fromspi_int: STD_LOGIC_VECTOR((WORD_SPIIN_SZ-1)downto 0) := (OTHERS=>'0'); + + +--Constantes de estados +CONSTANT CMD_STR: std_logic_vector (7 downto 0) := "01100011"; +CONSTANT CMD_STP: std_logic_vector (7 downto 0) := "01101100"; + +--Constante de límites de cambio de estados de header a ram +CONSTANT DIR_HEADER: std_logic_vector (11 downto 0) := "000000000111"; --7 +CONSTANT DIR_RAM: std_logic_vector (11 downto 0) := "100111001011"; --2507 + +--Contador de paquetes +SIGNAL count_pac: std_logic_vector (11 downto 0) := (OTHERS => '0'); --"1001110000111"; + +begin + +guardar_dato_16: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + word_int <=(OTHERS=>'0'); + ELSE + IF(spi_contrl_cur_state = pide_dato_header OR spi_contrl_cur_state = pide_dato_ram) THEN + --IF(ld_header = '1' OR ld_ram = '1') THEN + word_int((WORD_INT_SZ-1)downto 0) <=word_in; + --END IF; + END IF; + END IF; + END IF; + END PROCESS; + +guardar_dato_8: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + word_fromspi_int((WORD_SPIIN_SZ-1)downto 0) <= (OTHERS=>'0'); + ELSE + --IF(spi_contrl_cur_state = w1_pres OR spi_contrl_cur_state = w2_pres) THEN + IF(rdy_8_in = '1') THEN + word_fromspi_int((WORD_SPIIN_SZ-1)downto 0) <= fromspi_in; + END IF; + --END IF; + END IF; + END IF; + END PROCESS; + +--Cambio de estado del estado segun comando recibido +cmd_cambio_estado:PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + cmd_cur_state <= stop; + ELSE + cmd_cur_state <= cmd_next_state; + END IF; + END IF; + END PROCESS; + +--Revisar si los estados de set, act y proc se pueden unificar. Al parecer los de set sí. +cmd_salidas_estados: PROCESS(cmd_cur_state,word_fromspi_int) + BEGIN + + CASE cmd_cur_state IS + WHEN stop => + IF (word_fromspi_int = CMD_STR) THEN + cmd_next_state <= start; + ELSE + cmd_next_state <= stop; + END IF; + + WHEN start => + IF (word_fromspi_int = CMD_STP) THEN + cmd_next_state <= stop; + ELSE + cmd_next_state <= start; + END IF; + + WHEN OTHERS => + cmd_next_state <= stop; + + END CASE; + + END PROCESS; + +--word_fromspi_out <= word_fromspi_int; + +--- +count_pac_proc: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + CASE spi_contrl_cur_state IS + WHEN idle => + count_pac <= (OTHERS => '0'); + + WHEN w2_aum_ram | w2_aum_header => + count_pac <= std_logic_vector(unsigned(count_pac)+1); + + WHEN OTHERS => + count_pac <= count_pac; + END CASE; + END IF; + END PROCESS; + +ctrl_cambio_estados: PROCESS(clk_main) + BEGIN + IF (rising_edge(clk_main)) THEN + IF(rst_bar = '0') THEN + spi_contrl_cur_state <= idle; + ELSE + spi_contrl_cur_state <= spi_contrl_next_state; + END IF; + END IF; + END PROCESS; + +ctrl_salidas_estados: PROCESS(ld_header, ld_ram, + word_int, + spi_contrl_cur_state, + cmd_cur_state, count_pac, + --req_8_in, + spi_free) + BEGIN + CASE spi_contrl_cur_state IS + WHEN idle => + req_header <= '0'; + req_ram <= '0'; + word_out <= (OTHERS => '0'); + rst_headerdate <= '1'; + sel_headerdate_ram <= '0'; + IF (cmd_cur_state = START) THEN + spi_contrl_next_state <= pide_dato_header; + ELSIF (cmd_cur_state = STOP) THEN + spi_contrl_next_state <= idle; + ELSE + spi_contrl_next_state <= idle; + END IF; + + WHEN pide_dato_header => + req_header <= '1'; + req_ram <= '1'; + word_out <= (OTHERS => '0'); + rst_headerdate <= '0'; + sel_headerdate_ram <= '0'; + IF (ld_header = '1') THEN + spi_contrl_next_state <= w1_pres_header; + ELSE + spi_contrl_next_state <= pide_dato_header; + END IF; + + WHEN w1_pres_header => + req_header <= '0'; + req_ram <= '1'; + word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + rst_headerdate <= '0'; + sel_headerdate_ram <= '0'; + IF (spi_free = '1') THEN + spi_contrl_next_state <= w1_espera_header; + ELSE + spi_contrl_next_state <= w1_pres_header; + END IF; + + WHEN w1_espera_header => + req_header <= '0'; + req_ram <= '1'; + word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + rst_headerdate <= '0'; + sel_headerdate_ram <= '0'; + IF (spi_free = '0') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= w1_proc_header; + ELSE + spi_contrl_next_state <= w1_espera_header; + END IF; + + WHEN w1_proc_header => + req_header <= '0'; + req_ram <= '1'; + word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + rst_headerdate <= '0'; + sel_headerdate_ram <= '0'; + IF (spi_free = '1') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= w2_pres_header; + ELSE + spi_contrl_next_state <= w1_proc_header; + END IF; + + WHEN w2_pres_header => + req_header <= '0'; + req_ram <= '1'; + word_out <= word_int((POS_WORD2-1) downto 0); + rst_headerdate <= '0'; + sel_headerdate_ram <= '0'; + IF (spi_free = '1') THEN + spi_contrl_next_state <= w2_espera_header; + ELSE + spi_contrl_next_state <= w2_pres_header; + END IF; + + WHEN w2_espera_header => + req_header <= '0'; + req_ram <= '1'; + word_out <= word_int((POS_WORD2-1) downto 0); + rst_headerdate <= '0'; + sel_headerdate_ram <= '0'; + IF (spi_free = '0') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= w2_proc_header; + ELSE + spi_contrl_next_state <= w2_espera_header; + END IF; + + WHEN w2_proc_header => + req_header <= '0'; + req_ram <= '1'; + word_out <= word_int((POS_WORD2-1) downto 0);--word_int((POS_WORD1-1) downto (POS_WORD2)); + rst_headerdate <= '0'; + sel_headerdate_ram <= '0'; + IF (spi_free = '1') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= w2_aum_header; + ELSE + spi_contrl_next_state <= w2_proc_header; + END IF; + + WHEN w2_aum_header => + req_header <= '0'; + req_ram <= '1'; + word_out <= (OTHERS => '0'); + rst_headerdate <= '0'; + sel_headerdate_ram <= '0'; + IF (count_pac = DIR_HEADER) THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= pide_dato_ram; + ELSE + spi_contrl_next_state <= pide_dato_header; + END IF; + + WHEN pide_dato_ram => + req_header <= '0'; + req_ram <= '1'; + word_out <= (OTHERS => '0'); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + IF (ld_ram = '1') THEN + spi_contrl_next_state <= w1_pres_ram; + ELSE + spi_contrl_next_state <= pide_dato_ram; + END IF; + + WHEN w1_pres_ram => + req_header <= '0'; + req_ram <= '0'; + --word_out <= word_int((POS_WORD2-1) downto 0); + word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + IF (spi_free = '1') THEN + spi_contrl_next_state <= w1_espera_ram; + ELSE + spi_contrl_next_state <= w1_pres_ram; + END IF; + + WHEN w1_espera_ram => + req_header <= '0'; + req_ram <= '0'; + --word_out <= word_int((POS_WORD2-1) downto 0); + word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + IF (spi_free = '0') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= w1_proc_ram; + ELSE + spi_contrl_next_state <= w1_espera_ram; + END IF; + + + WHEN w1_proc_ram => + req_header <= '0'; + req_ram <= '0'; + --word_out <= word_int((POS_WORD2-1) downto 0); + word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + IF (spi_free = '1') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= w2_pres_ram; + ELSE + spi_contrl_next_state <= w1_proc_ram; + END IF; + + WHEN w2_pres_ram => + req_header <= '0'; + req_ram <= '0'; + --word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + word_out <= word_int((POS_WORD2-1) downto 0); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + IF (spi_free = '1') THEN + spi_contrl_next_state <= w2_espera_ram; + ELSE + spi_contrl_next_state <= w2_pres_ram; + END IF; + + WHEN w2_espera_ram => + req_header <= '0'; + req_ram <= '0'; + --word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + word_out <= word_int((POS_WORD2-1) downto 0); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + IF (spi_free = '0') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= w2_proc_ram; + ELSE + spi_contrl_next_state <= w2_espera_ram; + END IF; + + WHEN w2_proc_ram => + req_header <= '0'; + req_ram <= '0'; + --word_out <= word_int((POS_WORD1-1) downto (POS_WORD2)); + word_out <= word_int((POS_WORD2-1) downto 0);--word_int((POS_WORD1-1) downto (POS_WORD2)); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + IF (spi_free = '1') THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= w2_aum_ram; + ELSE + spi_contrl_next_state <= w2_proc_ram; + END IF; + + WHEN w2_aum_ram => + req_header <= '0'; + req_ram <= '0'; + word_out <= (OTHERS => '0'); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + IF (count_pac = DIR_RAM) THEN --Si ya está ocupado con el dato antes presentado entonces ya puedo ir pidiendo otro dato + spi_contrl_next_state <= idle; + ELSE + spi_contrl_next_state <= pide_dato_ram; + END IF; + + WHEN OTHERS => + req_header <= '0'; + req_ram <= '0'; + word_out <= (OTHERS => '0'); + rst_headerdate <= '0'; + sel_headerdate_ram <= '1'; + spi_contrl_next_state <= idle; + + END CASE; + END PROCESS; +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/tb_ch5_mem_spi_joint.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/tb_ch5_mem_spi_joint.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/tb_ch5_mem_spi_joint.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/tb_ch5_mem_spi_joint.vhd (revision 224) @@ -0,0 +1,46 @@ +-- TestBench Template + + LIBRARY ieee; + USE ieee.std_logic_1164.ALL; + USE ieee.numeric_std.ALL; + + ENTITY testbench IS + END testbench; + + ARCHITECTURE behavior OF testbench IS + + -- Component Declaration + COMPONENT + PORT( + : IN std_logic; + : IN std_logic_vector(3 downto 0); + : OUT std_logic_vector(3 downto 0) + ); + END COMPONENT; + + SIGNAL : std_logic; + SIGNAL : std_logic_vector(3 downto 0); + + + BEGIN + + -- Component Instantiation + uut: PORT MAP( + => , + => + ); + + + -- Test Bench Statements + tb : PROCESS + BEGIN + + wait for 100 ns; -- wait until global set/reset completes + + -- Add user defined stimulus here + + wait; -- will wait forever + END PROCESS tb; + -- End Test Bench + + END; Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/tb_chn5_mem_spi_joint.vhd (revision 224) @@ -0,0 +1,4085 @@ +-- TestBench Template + + LIBRARY ieee; + USE ieee.std_logic_1164.ALL; + USE ieee.numeric_std.ALL; + + ENTITY testbench IS +GENERIC( + --Tamanho de palabras de entradas y resultado hacia el multipllexor + NIBBLE_SZ: INTEGER :=4; + RESUL_NP_SZ: INTEGER :=24; + RESUL_MUX_SZ: INTEGER :=16; + + --Tamanho de la palabra hacia o desde la RAM + RESUL_RAM_SZ: INTEGER :=16; + CHAN_NUM: INTEGER :=5; + ADDR_LENGTH: INTEGER :=19; + SPI_NIBL_COUNT_WIDTH: INTEGER := 3; + + --Constantes del multiplexor + HEADER_CONST_SZ: INTEGER :=4; + HEADER_CH_SZ: INTEGER :=4; + WORD_INT_MUX_SZ: INTEGER := 32; + WORD_OUT_MUX_SZ: INTEGER := 16; + + --Constantes hacia el bloque selector de entrada salida + RESUL_IOSEL_SZ: INTEGER := 16; + + --Tamanho de palabra de datos desde RAM + DATA_MUX_SZ: INTEGER := 16; + + --Constantes de bloque de memoria con datos de cabecera + COUNT_HEADER_SZ: INTEGER := 3; + HEADER_SZ: INTEGER :=8; + DATA_HEADER_SZ: INTEGER := 16; + + --Constantes del bloque de controlador SPI + WORD_IN_SZ: INTEGER := 16; + WORD_INT_SZ: INTEGER := 16; + WORD_OUT_SZ: INTEGER := 8; + WORD_SPIIN_SZ: INTEGER := 8; + + POS_WORD1: INTEGER := 16; + POS_WORD2: INTEGER := 8; + + --Constantes del bloque spi esclavo + SPI_DATA_WIDTH: INTEGER :=8; + SPI_BIT_COUNT_WIDTH: INTEGER :=3 +); + END testbench; + + ARCHITECTURE behavior OF testbench IS + +COMPONENT chn5_mem_spi_joint is +--GENERIC( + --Tamanho de palabras de entradas y resultado hacia el multipllexor +-- NIBBLE_SZ: INTEGER :=4; +-- RESUL_NP_SZ: INTEGER :=24; +-- RESUL_MUX_SZ: INTEGER :=16; + + --Tamanho de la palabra hacia o desde la RAM +-- RESUL_RAM_SZ: INTEGER :=16; +-- CHAN_NUM: INTEGER :=5; +-- ADDR_LENGTH: INTEGER :=19; +-- SPI_NIBL_COUNT_WIDTH: INTEGER := 3; + + --Constantes del multiplexor +-- HEADER_CONST_SZ: INTEGER :=4; +-- HEADER_CH_SZ: INTEGER :=4; +-- WORD_INT_MUX_SZ: INTEGER := 32; +-- WORD_OUT_MUX_SZ: INTEGER := 16; + + --Constantes hacia el bloque selector de entrada salida +-- RESUL_IOSEL_SZ: INTEGER := 16; + + --Tamanho de palabra de datos desde RAM +-- DATA_MUX_SZ: INTEGER := 16; + + --Constantes de bloque de memoria con datos de cabecera +-- COUNT_HEADER_SZ: INTEGER := 3; +-- HEADER_SZ: INTEGER :=8; +-- DATA_HEADER_SZ: INTEGER := 16; + + --Constantes del bloque de controlador SPI +-- WORD_IN_SZ: INTEGER := 16; +-- WORD_INT_SZ: INTEGER := 16; +-- WORD_OUT_SZ: INTEGER := 8; +-- WORD_SPIIN_SZ: INTEGER := 8; + +-- POS_WORD1: INTEGER := 16; +-- POS_WORD2: INTEGER := 8; + + --Constantes del bloque spi esclavo +-- SPI_DATA_WIDTH: INTEGER :=8; +-- SPI_BIT_COUNT_WIDTH: INTEGER :=3 + +--); +PORT( + --Reset del sistema + lock: IN STD_LOGIC; + + --Pulso por segundo + pps: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq_ch1: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch1: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + clk_acq_ch2: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch2: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch3: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch3: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch4: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch4: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch5: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch5: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + + --Senhales de control de memoria RAM + we_bar: OUT std_logic; + ub_bar: OUT std_logic; + lb_bar: OUT std_logic; + oe_ram_bar: OUT std_logic; + + --Direccion de escritura/lectura + addr: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); + + --Dato desde/hacia la memoria RAM externa + DATA_INOUT: INOUT std_logic_vector((RESUL_RAM_SZ-1) downto 0); + + --Senhales interfaz SPI esclavo + MOSI : IN std_logic; + SCK : IN std_logic; + CS : IN std_logic; + MISO : OUT std_logic; + + --Senhal de reloj hacia el bloque de adquisicion + clk_to_acq: OUT std_logic; + + --Pines para siguiente implementacion de I2C + scl_rpi: INOUT std_logic; + sda_rpi: INOUT std_logic + +); +end COMPONENT; + +--Reset del sistema +SIGNAL lock: STD_LOGIC :='0'; + + --Pulso por segundo +SIGNAL pps: STD_LOGIC :='0'; + + --Reloj principal +SIGNAL clk_main: STD_LOGIC :='0'; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador +SIGNAL clk_acq_ch1: STD_LOGIC :='0'; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición +SIGNAL chn_bits_acq_ch1: STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0) := (OTHERS => '0'); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición +SIGNAL clk_acq_ch2: STD_LOGIC :='0'; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición +SIGNAL chn_bits_acq_ch2: STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0) := (OTHERS => '0'); --Nibble de datos provenientes del XMEGA por canal +SIGNAL clk_acq_ch3: STD_LOGIC :='0'; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición +SIGNAL chn_bits_acq_ch3: STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0) := (OTHERS => '0'); --Nibble de datos provenientes del XMEGA por canal +SIGNAL clk_acq_ch4: STD_LOGIC :='0'; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición +SIGNAL chn_bits_acq_ch4: STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0) := (OTHERS => '0'); --Nibble de datos provenientes del XMEGA por canal +SIGNAL clk_acq_ch5: STD_LOGIC :='0'; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición +SIGNAL chn_bits_acq_ch5: STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0) := (OTHERS => '0'); --Nibble de datos provenientes del XMEGA por canal + + --Senhales de control de memoria RAM +SIGNAL we_bar: std_logic; +SIGNAL ub_bar: std_logic; +SIGNAL lb_bar: std_logic; + +SIGNAL oe_ram_bar: std_logic; + + --Direccion de escritura/lectura +SIGNAL addr: std_logic_vector((ADDR_LENGTH-1) downto 0); + + --Dato desde/hacia la memoria RAM externa +SIGNAL DATA_INOUT: std_logic_vector((RESUL_RAM_SZ-1) downto 0); + + --Senhales interfaz SPI esclavo +SIGNAL MOSI : std_logic :='0'; +SIGNAL SCK : std_logic :='0'; +SIGNAL CS : std_logic :='0'; +SIGNAL MISO : std_logic; --output + + --Senhal de reloj hacia el bloque de adquisicion +SIGNAL clk_to_acq: std_logic; + + --Pines para siguiente implementacion de I2C +SIGNAL scl_rpi: std_logic :='0'; +SIGNAL sda_rpi: std_logic :='0'; + +constant clk_main_period : time := 16.667 ns; + + BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: chn5_mem_spi_joint +-- GENERIC MAP( + --Tamanho de palabras de entradas y resultado hacia el multipllexor +-- NIBBLE_SZ => NIBBLE_SZ, +-- RESUL_NP_SZ => RESUL_NP_SZ, +-- RESUL_MUX_SZ => RESUL_MUX_SZ, + + --Tamanho de la palabra hacia o desde la RAM +-- RESUL_RAM_SZ => RESUL_RAM_SZ, +-- CHAN_NUM => CHAN_NUM, +-- ADDR_LENGTH => ADDR_LENGTH, +-- SPI_NIBL_COUNT_WIDTH => SPI_NIBL_COUNT_WIDTH, +-- + --Constantes del multiplexor +-- HEADER_CONST_SZ => HEADER_CONST_SZ, +-- HEADER_CH_SZ => HEADER_CH_SZ, +-- WORD_INT_MUX_SZ => WORD_INT_MUX_SZ, +-- WORD_OUT_MUX_SZ => WORD_OUT_MUX_SZ, + + --Constantes hacia el bloque selector de entrada salida +-- RESUL_IOSEL_SZ => RESUL_IOSEL_SZ, + + --Tamanho de palabra de datos desde RAM +-- DATA_MUX_SZ => DATA_MUX_SZ, + + --Constantes de bloque de memoria con datos de cabecera +-- COUNT_HEADER_SZ => COUNT_HEADER_SZ, +-- HEADER_SZ => HEADER_SZ, +-- DATA_HEADER_SZ => DATA_HEADER_SZ, + + --Constantes del bloque de controlador SPI +-- WORD_IN_SZ => WORD_IN_SZ, +-- WORD_INT_SZ => WORD_INT_SZ, +-- WORD_OUT_SZ => WORD_OUT_SZ, +-- WORD_SPIIN_SZ => WORD_SPIIN_SZ, + +-- POS_WORD1 => POS_WORD1, +-- POS_WORD2 => POS_WORD2, + + --Constantes del bloque spi esclavo +-- SPI_DATA_WIDTH => SPI_DATA_WIDTH, +-- SPI_BIT_COUNT_WIDTH => SPI_BIT_COUNT_WIDTH + +--) +PORT MAP( + --Reset del sistema + lock => lock, + + --Pulso por segundo + pps => pps, + + --Reloj principal + clk_main => clk_main, --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq_ch1 => clk_acq_ch1, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch1 => chn_bits_acq_ch1,--Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + clk_acq_ch2 => clk_acq_ch2,--Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch2 => chn_bits_acq_ch2, --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch3 => clk_acq_ch3, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch3 => chn_bits_acq_ch3, --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch4 => clk_acq_ch4, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch4 => chn_bits_acq_ch4, --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch5 => clk_acq_ch5, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch5 => chn_bits_acq_ch5, --Nibble de datos provenientes del XMEGA por canal + + --Senhales de control de memoria RAM + we_bar => we_bar, + ub_bar => ub_bar, + lb_bar => lb_bar, + --cs1_bar: OUT std_logic; + --cs2: OUT std_logic; + oe_ram_bar => oe_ram_bar, + + --Direccion de escritura/lectura + addr => addr, + + --Dato desde/hacia la memoria RAM externa + DATA_INOUT => DATA_INOUT, + + --Senhales interfaz SPI esclavo + MOSI => MOSI, + SCK => SCK, + CS => CS, + MISO => MISO, + + --Senhal de reloj hacia el bloque de adquisicion + clk_to_acq => clk_to_acq, + + --Pines para siguiente implementacion de I2C + scl_rpi => scl_rpi, + sda_rpi => sda_rpi + +); + + -- Clock process definitions + clk_main_process :process + begin + clk_main <= '0'; + wait for clk_main_period/2; + clk_main <= '1'; + wait for clk_main_period/2; + end process; + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 50 ns; + + wait for clk_main_period*10; + MOSI <= '1'; + lock <= '0'; + wait for 2 us; + SCK <= '1'; + wait for clk_main_period*10; + --Comportamiento con reset activo y sin seleccionar el chip + CS <= '1'; + lock <= '0'; + wait for clk_main_period*10; + --Comportamiento con reset activo y seleccionando el chip + CS <= '0'; + lock <= '0'; + wait for clk_main_period*10; + --Comportamiento con reset apagado y seleccionando el chip + --pero no tengo entradas MISO ni SCLK + CS <= '1'; + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + wait for clk_main_period*10; + + pps <= '1'; + + wait for clk_main_period*40; + + pps <= '0'; + wait for clk_main_period*40; + wait for 700us; + + pps <= '1'; + + wait for clk_main_period*40; + + pps <= '0'; + wait for clk_main_period*40;--Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + wait for 350 us; + CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + --clk_acq_ch1 <= '1'; + + --wait for clk_main_period*4; + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1110"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1010"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0110"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0010"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0111"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1011"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1110"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1010"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0110"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0010"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0111"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1011"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + ----------------------------------- + ----------------------------------- + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + + + ------------------------------------ + ------------------------------------ + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + ----------------------------------------------------------- + ----------------------------------------------------------- + + --Caso reloj continuo + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + --clk_acq_ch1 <= '1'; + + --wait for clk_main_period*4; + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1110"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1010"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0110"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0010"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0111"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1011"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1110"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1010"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0110"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0010"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "0111"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + --clk_acq_ch1 <= '0'; + --chn_bits_acq_ch1 <= "1011"; + --wait for clk_main_period*4; + --clk_acq_ch1 <= '1'; + --wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + ----------------------------------- + ----------------------------------- + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + + + ------------------------------------ + ------------------------------------ + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait for clk_main_period*10; + CS <= '0'; + lock <= '1'; + + wait for clk_main_period*10; + + + --Comportamiento con reset apagado y seleccionando el chip + --Genero señal SCLK + + --CS <= '1'; + --data_in <= "10101010"; + SCK <= '1'; + wait for clk_main_period*10; + + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + ------------------------------- + --En este espacio se va cargar el dato + clk_acq_ch1 <= '1'; + + wait for clk_main_period*4; + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0110"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0010"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "0111"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + clk_acq_ch1 <= '0'; + chn_bits_acq_ch1 <= "1011"; + wait for clk_main_period*4; + clk_acq_ch1 <= '1'; + wait for clk_main_period*4; + + wait for clk_main_period*15; + ------------------------------- + --BIT 7 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT6 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT5 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT4 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT3 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT2 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT1 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --BIT0 + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --------------------------- + --BYTE 1: MOSI 10100101 + --------------------------- + --MOSI: + --CS <= '1'; + --data_in <= "01010101"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + wait for clk_main_period*10; + + --BIT 7 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + SCK <= '0'; + MOSI <='1'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + -------------------------------- + --SEGUNDO BYTE DE PRUEBA + --Valor: 01101001 + -------------------------------- + + --CS <= '1'; + --data_in <= "01001000"; + SCK <= '1'; + wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*10; + + --MOSI: BIT 7 + MOSI <='0'; + --data_in <= "01001000"; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + -------------------------------- + --TERCER BYTE DE PRUEBA + --Valor: 10100101 + -------------------------------- + --MOSI: BIT 7 + --CS <= '1'; + --data_in <= "01111110"; + SCK <= '1'; + --wait for clk_main_period*10; + --CS <= '0'; + SCK <= '1'; + wait for clk_main_period*8; + + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 6 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 5 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 4 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 3 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 2 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 1 + MOSI <='0'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + --MOSI: BIT 0 + MOSI <='1'; + SCK <= '0'; + wait for clk_main_period*3; + SCK <= '1'; + wait for clk_main_period*3; + + wait; + end process; + END; Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/u_np_mux_memcon_seliobar.vhd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/u_np_mux_memcon_seliobar.vhd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/u_np_mux_memcon_seliobar.vhd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/u_np_mux_memcon_seliobar.vhd (revision 224) @@ -0,0 +1,464 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 11:08:23 05/16/2016 +-- Design Name: +-- Module Name: u_np_mux_memcon_seliobar - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity u_np_mux_memcon_seliobar is +GENERIC( + --Tamanho de palabras de entradas y resultado hacia el multipllexor + NIBBLE_SZ: INTEGER :=4; + RESUL_NP_SZ: INTEGER :=24; + RESUL_MUX_SZ: INTEGER :=16; + + --Tamanho de la palabra hacia o desde la RAM + RESUL_RAM_SZ: INTEGER :=16; + CHAN_NUM: INTEGER :=5; + ADDR_LENGTH: INTEGER :=19; + SPI_NIBL_COUNT_WIDTH: INTEGER := 3; + + --Constantes del multiplexor + HEADER_CONST_SZ: INTEGER :=4; + HEADER_CH_SZ: INTEGER :=4; + WORD_INT_MUX_SZ: INTEGER := 32; + WORD_OUT_MUX_SZ: INTEGER := 16; + + --Constantes hacia el bloque selector de entrada salida + RESUL_IOSEL_SZ: INTEGER := 16 + +); +PORT( + --Reset del sistema + rst_bar_int: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq_ch1: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch1: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + clk_acq_ch2: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch2: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch3: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch3: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch4: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch4: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + clk_acq_ch5: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq_ch5: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal + + --Senhal de requerimiento de lectura de dato + --Desde el SPI_Controller + rd_req: IN std_logic; + --Hacia el SPI_Controller + ld_ram: OUT std_logic; + + --Senhales de control de memoria RAM + we_bar: OUT std_logic; + ub_bar: OUT std_logic; + lb_bar: OUT std_logic; + cs1_bar: OUT std_logic; + cs2: OUT std_logic; + oe_ram_bar: OUT std_logic; + + --Direccion de escritura/lectura + addr: OUT std_logic_vector((ADDR_LENGTH-1) downto 0); + + --Dato desde/hacia la memoria RAM externa + DATA_INOUT: INOUT std_logic_vector((RESUL_RAM_SZ-1) downto 0); + + --Dato leído de la RAM hacia el SPI Controller o cache + DATA_R : OUT std_logic_vector((RESUL_RAM_SZ-1) downto 0) +); + +end u_np_mux_memcon_seliobar; + +architecture Behavioral of u_np_mux_memcon_seliobar is + +COMPONENT nibble_pack is +GENERIC( + NIBBLE_SZ: INTEGER :=4; + RESUL_NP_SZ: INTEGER :=24; + SPI_NIBL_COUNT_WIDTH: INTEGER := 3 + ); + +PORT( + + --Reset del sistema + rst_bar: IN STD_LOGIC; + + --Reloj principal + clk_main: IN STD_LOGIC; --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq: IN STD_LOGIC; --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq: IN STD_LOGIC_VECTOR((NIBBLE_SZ-1) downto 0); --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Senhales hacia el sistema + resul_mem: OUT STD_LOGIC_VECTOR((RESUL_NP_SZ-1) downto 0); --Salida al espacio de memoria para almacenar datos crudos + rdy_mem: OUT STD_LOGIC; --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + libre_24: IN STD_LOGIC --Senhal para indicar que el dato presentado fue leido o no + +); +end COMPONENT; + +COMPONENT W_R_RAM_CONTROLLER IS +GENERIC( + ADDR_LENGTH: INTEGER := 19; + CHAN_NUM: INTEGER := 5 + +); + +PORT( + --Reset general + rst_bar: IN std_logic; + + --Entrada de reloj principal + clk_main: IN std_logic; + + --Entradas para indicar dato preparado por leer + --Desde los nibble to packets + wr_ch_vector: IN std_logic_vector((CHAN_NUM-1) downto 0); + + --Senhal para que indica que termino con una peticion + --Hacia los nibble to packets + ack_ch_vector: OUT std_logic_vector((CHAN_NUM-1) downto 0); + + --Senhal de requerimiento de lectura de dato + --Desde el SPI_Controller + rd_req: IN std_logic; + --Hacia el SPI_Controller + ld_ram: OUT std_logic; + + --Senhales de control de memoria RAM + we_bar: OUT std_logic; + ub_bar: OUT std_logic; + lb_bar: OUT std_logic; + cs1_bar: OUT std_logic; + cs2: OUT std_logic; + oe_ram_bar: OUT std_logic; + + --Selector de canal de salida hacia RAM + --Hacia el multiplexor + oe_ch_vector: OUT std_logic_vector((CHAN_NUM-1) downto 0); + hb_lbbar: OUT std_logic; + + --Direccion de escritura/lectura + addr: OUT std_logic_vector((ADDR_LENGTH-1) downto 0) + +); +end COMPONENT; + +COMPONENT mux_np is +GENERIC( + CHAN_NUM: INTEGER :=5; + HEADER_CONST_SZ: INTEGER :=4; + HEADER_CH_SZ: INTEGER :=4; + RESUL_NP_SZ: INTEGER :=24; + WORD_INT_MUX_SZ: INTEGER := 32; + WORD_OUT_MUX_SZ: INTEGER := 16 + ); +PORT( + --Palabras desde "Nibble/packet channels" + DATA_CH1 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + DATA_CH2 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + DATA_CH3 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + DATA_CH4 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + DATA_CH5 : IN std_logic_vector((RESUL_NP_SZ-1) downto 0); + + --Selector de dato de canal a presentar + oe_ch_vect : IN std_logic_vector((CHAN_NUM-1) downto 0); + + --Selector de palabra de 16 bits en + hb_lbbar: IN std_logic; + + --Dato a escribir en memoria + DATA_OUT : OUT std_logic_vector((WORD_OUT_MUX_SZ-1) downto 0) +); +end COMPONENT; + +COMPONENT SEL_WRbar is +GENERIC( + RESUL_IOSEL_SZ: INTEGER :=16 + ); + +PORT( + DATA_W : IN std_logic_vector((RESUL_IOSEL_SZ-1) downto 0); + DATA_R : OUT std_logic_vector((RESUL_IOSEL_SZ-1) downto 0); + OEbar: IN std_logic; + DATA_INOUT: INOUT std_logic_vector((RESUL_IOSEL_SZ-1) downto 0) +); +end COMPONENT; + +--Senhales desde paquetes de datos del nibble/packet hacia multiplexor +SIGNAL pack_ch1: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS => '0'); +SIGNAL pack_ch2: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS => '0'); +SIGNAL pack_ch3: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS => '0'); +SIGNAL pack_ch4: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS => '0'); +SIGNAL pack_ch5: std_logic_vector((RESUL_NP_SZ-1) downto 0) :=(OTHERS => '0'); + +--Senhales de union entre el controlador de memoria y la multiplexor +SIGNAL oe_ch_vect: std_logic_vector((CHAN_NUM-1) downto 0) :=(OTHERS => '0'); +SIGNAL hb_lbbar: std_logic := '0'; + +--Senhales desde paquetes de datos del nibble/packet con el mem_controller +SIGNAL rdy_ch_vect: std_logic_vector((CHAN_NUM-1) downto 0) :=(OTHERS => '0'); +SIGNAL ack_ch_vector: std_logic_vector((CHAN_NUM-1) downto 0) :=(OTHERS => '0'); + +--Dato desde el multiplexor al selector de entrada salida +SIGNAL data_mux: std_logic_vector((RESUL_MUX_SZ-1) downto 0) :=(OTHERS => '0'); + +--Senhal de habilitacion de salida hacia el selector de IObar +SIGNAL OEbar: std_logic := '1'; + +--Registro para detección de flanco +SIGNAL pps_r: std_logic_vector(1 downto 0) :="00"; +SIGNAL pps_rst: std_logic :='0'; + +--Senhal de reset interna formada de rst_bar y pps_r +--SIGNAL rst_bar_int: std_logic :='0'; + +begin + +nibble_pack_ch1: nibble_pack +GENERIC MAP( + NIBBLE_SZ => NIBBLE_SZ, + RESUL_NP_SZ => RESUL_NP_SZ, + SPI_NIBL_COUNT_WIDTH => SPI_NIBL_COUNT_WIDTH + ) + +PORT MAP( + --Reset del sistema + rst_bar => rst_bar_int, + + --Reloj principal + clk_main => clk_main, --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq => clk_acq_ch1, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq => chn_bits_acq_ch1, --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Senhales hacia el sistema + resul_mem => pack_ch1, --Salida al espacio de memoria para almacenar datos crudos + rdy_mem => rdy_ch_vect(0), --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + libre_24 => ack_ch_vector(0) --Senhal para indicar que el dato presentado fue leido o no + +); + +nibble_pack_ch2: nibble_pack +GENERIC MAP( + NIBBLE_SZ => NIBBLE_SZ, + RESUL_NP_SZ => RESUL_NP_SZ, + SPI_NIBL_COUNT_WIDTH => SPI_NIBL_COUNT_WIDTH + ) + +PORT MAP( + --Reset del sistema + rst_bar => rst_bar_int, + + --Reloj principal + clk_main => clk_main, --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq => clk_acq_ch2, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq => chn_bits_acq_ch2, --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Senhales hacia el sistema + resul_mem => pack_ch2, --Salida al espacio de memoria para almacenar datos crudos + rdy_mem => rdy_ch_vect(1), --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + libre_24 => ack_ch_vector(1) --Senhal para indicar que el dato presentado fue leido o no + +); + +nibble_pack_ch3: nibble_pack +GENERIC MAP( + NIBBLE_SZ => NIBBLE_SZ, + RESUL_NP_SZ => RESUL_NP_SZ, + SPI_NIBL_COUNT_WIDTH => SPI_NIBL_COUNT_WIDTH + ) + +PORT MAP( + --Reset del sistema + rst_bar => rst_bar_int, + + --Reloj principal + clk_main => clk_main, --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq => clk_acq_ch3, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq => chn_bits_acq_ch3, --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Senhales hacia el sistema + resul_mem => pack_ch3, --Salida al espacio de memoria para almacenar datos crudos + rdy_mem => rdy_ch_vect(2), --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + libre_24 => ack_ch_vector(2) --Senhal para indicar que el dato presentado fue leido o no + +); + +nibble_pack_ch4: nibble_pack +GENERIC MAP( + NIBBLE_SZ => NIBBLE_SZ, + RESUL_NP_SZ => RESUL_NP_SZ, + SPI_NIBL_COUNT_WIDTH => SPI_NIBL_COUNT_WIDTH + ) + +PORT MAP( + --Reset del sistema + rst_bar => rst_bar_int, + + --Reloj principal + clk_main => clk_main, --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq => clk_acq_ch4, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq => chn_bits_acq_ch4, --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Senhales hacia el sistema + resul_mem => pack_ch4, --Salida al espacio de memoria para almacenar datos crudos + rdy_mem => rdy_ch_vect(3), --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + libre_24 => ack_ch_vector(3) --Senhal para indicar que el dato presentado fue leido o no + +); + +nibble_pack_ch5: nibble_pack +GENERIC MAP( + NIBBLE_SZ => NIBBLE_SZ, + RESUL_NP_SZ => RESUL_NP_SZ, + SPI_NIBL_COUNT_WIDTH => SPI_NIBL_COUNT_WIDTH + ) + +PORT MAP( + --Reset del sistema + rst_bar => rst_bar_int, + + --Reloj principal + clk_main => clk_main, --Reloj externo de por lo menos 32MHz proveniente del módulo de sincronización + + --Senhales con el microcontrolador + clk_acq => clk_acq_ch5, --Senhal de "reloj" proveniente del XMEGA por canal del módulo de adquisición + chn_bits_acq => chn_bits_acq_ch5, --Nibble de datos provenientes del XMEGA por canal del módulo de adquisición + + --Senhales hacia el sistema + resul_mem => pack_ch5, --Salida al espacio de memoria para almacenar datos crudos + rdy_mem => rdy_ch_vect(4), --Senhal para indicar que el dato fue obtenido satisfactoriamente y esta listo para ser almacenado en memoria + libre_24 => ack_ch_vector(4) --Senhal para indicar que el dato presentado fue leido o no + +); + + + +ram_control: W_R_RAM_CONTROLLER +GENERIC MAP( + ADDR_LENGTH => ADDR_LENGTH, + CHAN_NUM => CHAN_NUM + +) + +PORT MAP( + --Reset general + rst_bar => rst_bar_int, + + --Entrada de reloj principal + clk_main => clk_main, + + --Entradas para indicar dato preparado por leer + --Desde los nibble to packets + wr_ch_vector => rdy_ch_vect, + + --Senhal para que indica que termino con una peticion + --Hacia los nibble to packets + ack_ch_vector => ack_ch_vector, + + --Senhal de requerimiento de lectura de dato + --Desde el SPI_Controller + rd_req => rd_req, + --Hacia el SPI_Controller + ld_ram => ld_ram, + + --Senhales de control de memoria RAM + we_bar => we_bar, + ub_bar => ub_bar, + lb_bar => lb_bar, + cs1_bar => cs1_bar, + cs2 => cs2, + oe_ram_bar => OEbar, + + --Selector de canal de salida hacia RAM + --Hacia el multiplexor + oe_ch_vector => oe_ch_vect, + hb_lbbar => hb_lbbar, + + --Direccion de escritura/lectura + addr => addr + +); + +oe_ram_bar <= OEbar; + +mux_data: mux_np +GENERIC MAP( + CHAN_NUM => CHAN_NUM, + HEADER_CONST_SZ => HEADER_CONST_SZ, + HEADER_CH_SZ => HEADER_CH_SZ, + RESUL_NP_SZ => RESUL_NP_SZ, + WORD_INT_MUX_SZ => WORD_INT_MUX_SZ, + WORD_OUT_MUX_SZ => WORD_OUT_MUX_SZ + ) +PORT MAP( + --Palabras desde "Nibble/packet channels" + DATA_CH1 => pack_ch1, + DATA_CH2 => pack_ch2, + DATA_CH3 => pack_ch3, + DATA_CH4 => pack_ch4, + DATA_CH5 => pack_ch5, + + --Selector de dato de canal a presentar + oe_ch_vect => oe_ch_vect, + + --Selector de palabra de 16 bits en + hb_lbbar => hb_lbbar, + + --Dato a escribir en memoria + DATA_OUT => data_mux +); + + + +io_sel: SEL_WRbar +GENERIC MAP( + RESUL_IOSEL_SZ => RESUL_IOSEL_SZ + ) + +PORT MAP( + DATA_W => data_mux, + DATA_R => DATA_R, + OEbar => OEbar, + DATA_INOUT => DATA_INOUT +); + +end Behavioral; + Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/usage_statistics_webtalk.html =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/usage_statistics_webtalk.html b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/usage_statistics_webtalk.html new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/usage_statistics_webtalk.html (revision 224) @@ -0,0 +1,1322 @@ +Device Usage Statistics Report + +

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Software Version and Target Device
Product Version:ISE:14.7 (WebPack) - P.20131013Target Family:Spartan6
OS Platform:NT64Target Device:xc6slx9
Project ID (random number)5402c7000f65437cb4136d1c7067b320.09E7CA3DCC8644B38A16D7AF4C01A33A.72Target Package:tqg144
Registration ID211109259_0_0_038Target Speed:-3
Date Generated2016-05-23T12:39:06Tool FlowISE

+ + + + + + + + + + + + + + + + + + + + + + + + + + +
User Environment
OS NameMicrosoft , 64-bitOS Releasemajor release (build 9200)
CPU NameIntel(R) Core(TM) i5-4210U CPU @ 1.70GHzCPU Speed2394 MHz
OS NameMicrosoft , 64-bitOS Releasemajor release (build 9200)
CPU NameIntel(R) Core(TM) i5-4210U CPU @ 1.70GHzCPU Speed2394 MHz

+ + + + + + + + + + + + +
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
+Adders/Subtractors=10 +
    +
  • 12-bit adder=2
  • +
  • 19-bit adder=1
  • +
  • 3-bit adder=1
  • +
  • 4-bit adder=1
  • +
  • 9-bit adder=5
  • +
+
+Counters=9 +
    +
  • 12-bit up counter=1
  • +
  • 16-bit up counter=1
  • +
  • 3-bit up counter=7
  • +
+
+FSMs=10 + +Multiplexers=84 +
    +
  • 1-bit 2-to-1 multiplexer=2
  • +
  • 12-bit 2-to-1 multiplexer=2
  • +
  • 16-bit 2-to-1 multiplexer=3
  • +
  • 19-bit 2-to-1 multiplexer=23
  • +
  • 24-bit 2-to-1 multiplexer=30
  • +
  • 3-bit 2-to-1 multiplexer=2
  • +
  • 32-bit 2-to-1 multiplexer=5
  • +
  • 4-bit 2-to-1 multiplexer=4
  • +
  • 8-bit 2-to-1 multiplexer=3
  • +
  • 9-bit 2-to-1 multiplexer=10
  • +
+
+RAMs=1 +
    +
  • 8x16-bit single-port distributed Read Only RAM=1
  • +
+
+Registers=450 +
    +
  • Flip-Flops=450
  • +
+
+
+MiscellaneousStatistics +
    +
  • AGG_BONDED_IO=74
  • +
  • AGG_IO=74
  • +
  • AGG_LOCED_IO=74
  • +
  • AGG_SLICE=337
  • +
  • NUM_BONDED_IOB=74
  • +
  • NUM_BSFULL=364
  • +
  • NUM_BSLUTONLY=375
  • +
  • NUM_BSREGONLY=111
  • +
  • NUM_BSUSED=850
  • +
  • NUM_BUFG=4
  • +
  • NUM_BUFIO2=2
  • +
  • NUM_BUFIO2FB=2
  • +
  • NUM_DCM=2
  • +
  • NUM_IOB_FF=1
  • +
  • NUM_LOCED_IOB=74
  • +
  • NUM_LOGIC_O5ANDO6=161
  • +
  • NUM_LOGIC_O5ONLY=34
  • +
  • NUM_LOGIC_O6ONLY=536
  • +
  • NUM_LUT_RT_DRIVES_CARRY4=3
  • +
  • NUM_LUT_RT_DRIVES_FLOP=4
  • +
  • NUM_LUT_RT_EXO5=4
  • +
  • NUM_LUT_RT_EXO6=3
  • +
  • NUM_LUT_RT_O5=1
  • +
  • NUM_LUT_RT_O6=34
  • +
  • NUM_OLOGIC2=1
  • +
  • NUM_SLICEL=24
  • +
  • NUM_SLICEM=1
  • +
  • NUM_SLICEX=312
  • +
  • NUM_SLICE_CARRY4=16
  • +
  • NUM_SLICE_CONTROLSET=28
  • +
  • NUM_SLICE_CYINIT=939
  • +
  • NUM_SLICE_F7MUX=8
  • +
  • NUM_SLICE_FF=564
  • +
  • NUM_SLICE_UNUSEDCTRL=111
  • +
  • NUM_SRL_O6ONLY=1
  • +
  • NUM_UNUSABLE_FF_BELS=59
  • +
+
+
+NetStatistics +
    +
  • NumNets_Active=1176
  • +
  • NumNets_Gnd=1
  • +
  • NumNets_Vcc=1
  • +
  • NumNodesOfType_Active_BOUNCEACROSS=48
  • +
  • NumNodesOfType_Active_BOUNCEIN=178
  • +
  • NumNodesOfType_Active_BUFGOUT=4
  • +
  • NumNodesOfType_Active_BUFHINP2OUT=11
  • +
  • NumNodesOfType_Active_BUFIOINP=4
  • +
  • NumNodesOfType_Active_CLKPIN=228
  • +
  • NumNodesOfType_Active_CLKPINFEED=27
  • +
  • NumNodesOfType_Active_CNTRLPIN=127
  • +
  • NumNodesOfType_Active_DOUBLE=1755
  • +
  • NumNodesOfType_Active_GENERIC=157
  • +
  • NumNodesOfType_Active_GLOBAL=101
  • +
  • NumNodesOfType_Active_INPUT=20
  • +
  • NumNodesOfType_Active_IOBIN2OUT=101
  • +
  • NumNodesOfType_Active_IOBOUTPUT=102
  • +
  • NumNodesOfType_Active_LUTINPUT=3511
  • +
  • NumNodesOfType_Active_OUTBOUND=1137
  • +
  • NumNodesOfType_Active_OUTPUT=1096
  • +
  • NumNodesOfType_Active_PADINPUT=52
  • +
  • NumNodesOfType_Active_PADOUTPUT=49
  • +
  • NumNodesOfType_Active_PINBOUNCE=683
  • +
  • NumNodesOfType_Active_PINFEED=3841
  • +
  • NumNodesOfType_Active_PINFEED1=1
  • +
  • NumNodesOfType_Active_PINFEED2=4
  • +
  • NumNodesOfType_Active_QUAD=1503
  • +
  • NumNodesOfType_Active_REGINPUT=168
  • +
  • NumNodesOfType_Active_SINGLE=2111
  • +
  • NumNodesOfType_Vcc_CLKPIN=2
  • +
  • NumNodesOfType_Vcc_CNTRLPIN=1
  • +
  • NumNodesOfType_Vcc_HVCCOUT=108
  • +
  • NumNodesOfType_Vcc_IOBINPUT=1
  • +
  • NumNodesOfType_Vcc_KVCCOUT=3
  • +
  • NumNodesOfType_Vcc_LUTINPUT=198
  • +
  • NumNodesOfType_Vcc_PINFEED=202
  • +
+
+SiteStatistics +
    +
  • BUFG-BUFGMUX=4
  • +
  • IOB-IOBM=36
  • +
  • IOB-IOBS=38
  • +
  • SLICEL-SLICEM=18
  • +
  • SLICEX-SLICEL=90
  • +
  • SLICEX-SLICEM=73
  • +
+
+
+SiteSummary +
    +
  • BUFG=4
  • +
  • BUFG_BUFG=4
  • +
  • BUFIO2=2
  • +
  • BUFIO2FB=2
  • +
  • BUFIO2FB_BUFIO2FB=2
  • +
  • BUFIO2_BUFIO2=2
  • +
  • CARRY4=16
  • +
  • DCM=2
  • +
  • DCM_DCM=2
  • +
  • FF_SR=90
  • +
  • HARD0=4
  • +
  • INVERTER=1
  • +
  • IOB=74
  • +
  • IOB_IMUX=49
  • +
  • IOB_INBUF=49
  • +
  • IOB_OUTBUF=43
  • +
  • LUT5=200
  • +
  • LUT6=734
  • +
  • LUT_OR_MEM6=1
  • +
  • OLOGIC2=1
  • +
  • OLOGIC2_OUTFF=1
  • +
  • PAD=74
  • +
  • REG_SR=474
  • +
  • SELMUX2_1=8
  • +
  • SLICEL=24
  • +
  • SLICEM=1
  • +
  • SLICEX=312
  • +
+
+

+ + + + + + + +
Configuration Data
+BUFIO2FB_BUFIO2FB +
    +
  • DIVIDE_BYPASS=[TRUE:2]
  • +
  • INVERT_INPUTS=[FALSE:2]
  • +
+
+BUFIO2_BUFIO2 +
    +
  • DIVIDE=[1:2]
  • +
  • DIVIDE_BYPASS=[TRUE:2]
  • +
  • I_INVERT=[FALSE:2]
  • +
+
+DCM +
    +
  • PSCLK=[PSCLK_INV:0] [PSCLK:2]
  • +
  • PSEN=[PSEN_INV:0] [PSEN:2]
  • +
  • PSINCDEC=[PSINCDEC:2] [PSINCDEC_INV:0]
  • +
  • RST=[RST:2] [RST_INV:0]
  • +
+
+DCM_DCM +
    +
  • CLKDV_DIVIDE=[2.0:2]
  • +
  • CLKIN_DIVIDE_BY_2=[FALSE:2]
  • +
  • CLKOUT_PHASE_SHIFT=[NONE:2]
  • +
  • CLK_FEEDBACK=[1X:2]
  • +
  • DESKEW_ADJUST=[5:2]
  • +
  • DFS_FREQUENCY_MODE=[LOW:2]
  • +
  • DLL_FREQUENCY_MODE=[LOW:2]
  • +
  • DSS_MODE=[NONE:2]
  • +
  • DUTY_CYCLE_CORRECTION=[TRUE:2]
  • +
  • PSCLK=[PSCLK_INV:0] [PSCLK:2]
  • +
  • PSEN=[PSEN_INV:0] [PSEN:2]
  • +
  • PSINCDEC=[PSINCDEC:2] [PSINCDEC_INV:0]
  • +
  • RST=[RST:2] [RST_INV:0]
  • +
  • STARTUP_WAIT=[FALSE:2]
  • +
  • VERY_HIGH_FREQUENCY=[FALSE:2]
  • +
+
+ +FF_SR +
    +
  • CK=[CK:90] [CK_INV:0]
  • +
  • SRINIT=[SRINIT0:83] [SRINIT1:7]
  • +
  • SYNC_ATTR=[ASYNC:79] [SYNC:11]
  • +
+
+IOB_OUTBUF +
    +
  • DRIVEATTRBOX=[12:41]
  • +
  • SLEW=[SLOW:41]
  • +
  • SUSPEND=[3STATE:43]
  • +
+
+LUT_OR_MEM5 +
    +
  • LUT_OR_MEM=[LUT:1]
  • +
+
+LUT_OR_MEM6 +
    +
  • CLK=[CLK:1] [CLK_INV:0]
  • +
  • LUT_OR_MEM=[RAM:1]
  • +
  • RAMMODE=[SRL16:1]
  • +
+
+OLOGIC2 +
    +
  • CLK0=[CLK0_INV:0] [CLK0:1]
  • +
  • CLK1=[CLK1:0] [CLK1_INV:1]
  • +
+
+ +OLOGIC2_OUTFF +
    +
  • CK0=[CK0_INV:0] [CK0:1]
  • +
  • CK1=[CK1_INV:1] [CK1:0]
  • +
  • DDR_ALIGNMENT=[NONE:1]
  • +
  • OUTFFTYPE=[DDR:1]
  • +
  • SRINIT_OQ=[0:1]
  • +
  • SRTYPE_OQ=[SYNC:1]
  • +
+
+REG_SR +
    +
  • CK=[CK:474] [CK_INV:0]
  • +
  • LATCH_OR_FF=[FF:474]
  • +
  • SRINIT=[SRINIT0:464] [SRINIT1:10]
  • +
  • SYNC_ATTR=[ASYNC:396] [SYNC:78]
  • +
+
+SLICEL +
    +
  • CLK=[CLK:7] [CLK_INV:0]
  • +
+
+SLICEM +
    +
  • CLK=[CLK:1] [CLK_INV:0]
  • +
+
+ +SLICEX +
    +
  • CLK=[CLK:218] [CLK_INV:0]
  • +
+
+

+ + + + + + + +
Pin Data
+BUFG +
    +
  • I0=4
  • +
  • O=4
  • +
+
+BUFG_BUFG +
    +
  • I0=4
  • +
  • O=4
  • +
+
+BUFIO2 +
    +
  • DIVCLK=2
  • +
  • I=2
  • +
+
+BUFIO2FB +
    +
  • I=2
  • +
  • O=2
  • +
+
+BUFIO2FB_BUFIO2FB +
    +
  • I=2
  • +
  • O=2
  • +
+
+BUFIO2_BUFIO2 +
    +
  • DIVCLK=2
  • +
  • I=2
  • +
+
+CARRY4 +
    +
  • CIN=11
  • +
  • CO3=11
  • +
  • CYINIT=5
  • +
  • DI0=16
  • +
  • DI1=16
  • +
  • DI2=16
  • +
  • DI3=11
  • +
  • O0=16
  • +
  • O1=16
  • +
  • O2=16
  • +
  • O3=16
  • +
  • S0=16
  • +
  • S1=16
  • +
  • S2=16
  • +
  • S3=16
  • +
+
+DCM +
    +
  • CLK0=2
  • +
  • CLKFB=2
  • +
  • CLKFX=2
  • +
  • CLKIN=2
  • +
  • PSCLK=2
  • +
  • PSEN=2
  • +
  • PSINCDEC=2
  • +
  • RST=2
  • +
+
+DCM_DCM +
    +
  • CLK0=2
  • +
  • CLKFB=2
  • +
  • CLKFX=2
  • +
  • CLKIN=2
  • +
  • PSCLK=2
  • +
  • PSEN=2
  • +
  • PSINCDEC=2
  • +
  • RST=2
  • +
+
+FF_SR +
    +
  • CE=31
  • +
  • CK=90
  • +
  • D=90
  • +
  • Q=90
  • +
  • SR=11
  • +
+
+HARD0 +
    +
  • 0=4
  • +
+
+INVERTER +
    +
  • IN=1
  • +
  • OUT=1
  • +
+
+IOB +
    +
  • I=49
  • +
  • O=43
  • +
  • PAD=74
  • +
  • T=18
  • +
+
+ +IOB_IMUX +
    +
  • I=48
  • +
  • I_B=1
  • +
  • OUT=49
  • +
+
+IOB_INBUF +
    +
  • OUT=49
  • +
  • PAD=49
  • +
+
+IOB_OUTBUF +
    +
  • IN=43
  • +
  • OUT=43
  • +
  • TRI=18
  • +
+
+LUT5 +
    +
  • A1=61
  • +
  • A2=123
  • +
  • A3=139
  • +
  • A4=99
  • +
  • A5=80
  • +
  • O5=200
  • +
+
+LUT6 +
    +
  • A1=286
  • +
  • A2=529
  • +
  • A3=627
  • +
  • A4=691
  • +
  • A5=707
  • +
  • A6=725
  • +
  • O6=734
  • +
+
+LUT_OR_MEM5 +
    +
  • A1=1
  • +
  • A2=1
  • +
  • A3=1
  • +
  • A4=1
  • +
  • A5=1
  • +
  • O5=1
  • +
+
+LUT_OR_MEM6 +
    +
  • A1=1
  • +
  • A2=1
  • +
  • A3=1
  • +
  • A4=1
  • +
  • A5=1
  • +
  • A6=1
  • +
  • CLK=1
  • +
  • DI2=1
  • +
  • O6=1
  • +
  • WE=1
  • +
+
+OLOGIC2 +
    +
  • CLK0=1
  • +
  • CLK1=1
  • +
  • D1=1
  • +
  • D2=1
  • +
  • OCE=1
  • +
  • OQ=1
  • +
  • SR=1
  • +
+
+OLOGIC2_OUTFF +
    +
  • CE=1
  • +
  • CK0=1
  • +
  • CK1=1
  • +
  • D1=1
  • +
  • D2=1
  • +
  • Q=1
  • +
  • SR=1
  • +
+
+PAD +
    +
  • PAD=74
  • +
+
+ +REG_SR +
    +
  • CE=291
  • +
  • CK=474
  • +
  • D=474
  • +
  • Q=474
  • +
  • SR=78
  • +
+
+SELMUX2_1 +
    +
  • 0=8
  • +
  • 1=8
  • +
  • OUT=8
  • +
  • S0=8
  • +
+
+SLICEL +
    +
  • A1=3
  • +
  • A2=3
  • +
  • A3=3
  • +
  • A4=10
  • +
  • A5=12
  • +
  • A6=16
  • +
  • AMUX=12
  • +
  • AQ=7
  • +
  • AX=7
  • +
  • B=1
  • +
  • B1=3
  • +
  • B2=4
  • +
  • B3=4
  • +
  • B4=11
  • +
  • B5=13
  • +
  • B6=17
  • +
  • BMUX=13
  • +
  • BQ=5
  • +
  • BX=3
  • +
  • C1=7
  • +
  • C2=8
  • +
  • C3=10
  • +
  • C4=18
  • +
  • C5=20
  • +
  • C6=24
  • +
  • CE=6
  • +
  • CIN=11
  • +
  • CLK=7
  • +
  • CMUX=20
  • +
  • COUT=11
  • +
  • CQ=5
  • +
  • CX=10
  • +
  • D1=9
  • +
  • D2=10
  • +
  • D3=10
  • +
  • D4=16
  • +
  • D5=17
  • +
  • D6=22
  • +
  • DMUX=12
  • +
  • DQ=5
  • +
  • DX=3
  • +
  • SR=1
  • +
+
+SLICEM +
    +
  • A1=1
  • +
  • A2=1
  • +
  • A3=1
  • +
  • A4=1
  • +
  • A5=1
  • +
  • A6=1
  • +
  • AI=1
  • +
  • AQ=1
  • +
  • CE=1
  • +
  • CLK=1
  • +
+
+ +SLICEX +
    +
  • A=92
  • +
  • A1=90
  • +
  • A2=178
  • +
  • A3=181
  • +
  • A4=193
  • +
  • A5=188
  • +
  • A6=187
  • +
  • AMUX=31
  • +
  • AQ=142
  • +
  • AX=40
  • +
  • B=94
  • +
  • B1=83
  • +
  • B2=123
  • +
  • B3=143
  • +
  • B4=146
  • +
  • B5=154
  • +
  • B6=153
  • +
  • BMUX=31
  • +
  • BQ=97
  • +
  • BX=38
  • +
  • C=56
  • +
  • C1=61
  • +
  • C2=133
  • +
  • C3=134
  • +
  • C4=137
  • +
  • C5=141
  • +
  • C6=140
  • +
  • CE=78
  • +
  • CLK=218
  • +
  • CMUX=40
  • +
  • CQ=118
  • +
  • CX=33
  • +
  • D=107
  • +
  • D1=81
  • +
  • D2=144
  • +
  • D3=155
  • +
  • D4=160
  • +
  • D5=166
  • +
  • D6=166
  • +
  • DMUX=46
  • +
  • DQ=94
  • +
  • DX=34
  • +
  • SR=41
  • +
+
+

+ + +
Tool Usage
Command Line History
    +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
_impact5500000
bitgen24424400000
map37835400000
netgen414100000
ngc2edif6600000
ngdbuild41141100000
par35135100000
trce35535500000
xst93192800000
+ + 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Project Statistics
PROP_Enable_Message_Filtering=falsePROP_FitterReportFormat=HTML
PROP_LastAppliedGoal=BalancedPROP_LastAppliedStrategy=Xilinx Default (unlocked)
PROP_ManualCompileOrderImp=falsePROP_PropSpecInProjFile=Store all values
PROP_SelectedInstanceHierarchicalPath=/testbenchPROP_Simulator=ISim (VHDL/Verilog)
PROP_SynthTopFile=changedPROP_Top_Level_Module_Type=HDL
PROP_UseSmartGuide=falsePROP_UserConstraintEditorPreference=Text Editor
PROP_intProjectCreationTimestamp=2016-05-18T09:44:41PROP_intWbtProjectID=09E7CA3DCC8644B38A16D7AF4C01A33A
PROP_intWbtProjectIteration=72PROP_intWorkingDirLocWRTProjDir=Same
PROP_intWorkingDirUsed=NoPROP_lockPinsUcfFile=changed
PROP_selectedSimRootSourceNode_behav=work.testbenchPROP_selectedSimRootSourceNode_par=work.testbench
PROP_selectedSimRootSourceNode_translate=work.testbenchPROP_selectedSimSourceNode=uut
PROP_xilxBitgStart_IntDone=truePROP_AutoTop=true
PROP_DevFamily=Spartan6PROP_DevDevice=xc6slx9
PROP_DevFamilyPMName=spartan6PROP_DevPackage=tqg144
PROP_Synthesis_Tool=XST (VHDL/Verilog)PROP_DevSpeed=-3
PROP_PreferredLanguage=VHDLFILE_UCF=1
FILE_VHDL=14

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Unisim Statistics
XST_UNISIM_SUMMARY
XST_NUM_IBUFG=1XST_NUM_ODDR2=1
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=4NGDBUILD_NUM_DCM_SP=2NGDBUILD_NUM_FD=216NGDBUILD_NUM_FDE=259
NGDBUILD_NUM_FDR=26NGDBUILD_NUM_FDRE=63NGDBUILD_NUM_GND=1NGDBUILD_NUM_IBUF=30
NGDBUILD_NUM_IBUFG=1NGDBUILD_NUM_INV=13NGDBUILD_NUM_IOBUF=18NGDBUILD_NUM_LUT1=37
NGDBUILD_NUM_LUT2=57NGDBUILD_NUM_LUT3=161NGDBUILD_NUM_LUT4=108NGDBUILD_NUM_LUT5=235
NGDBUILD_NUM_LUT6=269NGDBUILD_NUM_MUXCY=59NGDBUILD_NUM_MUXF7=8NGDBUILD_NUM_OBUF=25
NGDBUILD_NUM_ODDR2=1NGDBUILD_NUM_SRLC16E=1NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=63
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=4NGDBUILD_NUM_DCM_SP=2NGDBUILD_NUM_FD=216NGDBUILD_NUM_FDE=259
NGDBUILD_NUM_FDR=26NGDBUILD_NUM_FDRE=63NGDBUILD_NUM_GND=1NGDBUILD_NUM_IBUF=48
NGDBUILD_NUM_IBUFG=1NGDBUILD_NUM_INV=13NGDBUILD_NUM_LUT1=37NGDBUILD_NUM_LUT2=57
NGDBUILD_NUM_LUT3=161NGDBUILD_NUM_LUT4=108NGDBUILD_NUM_LUT5=235NGDBUILD_NUM_LUT6=269
NGDBUILD_NUM_MUXCY=59NGDBUILD_NUM_MUXF7=8NGDBUILD_NUM_OBUF=25NGDBUILD_NUM_OBUFT=18
NGDBUILD_NUM_ODDR2=1NGDBUILD_NUM_SRLC16E=1NGDBUILD_NUM_TS_TIMESPEC=1NGDBUILD_NUM_VCC=1
NGDBUILD_NUM_XORCY=63

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj-ofn=<design_top>-ofmt=NGC-p=xc6slx9-3-tqg144
-top=<design_top>-opt_mode=Speed-opt_level=1-power=NO
-iuc=NO-keep_hierarchy=No-netlist_hierarchy=As_Optimized-rtlview=Yes
-glob_opt=AllClockNets-read_cores=YES-write_timing_constraints=NO-cross_clock_analysis=NO
-bus_delimiter=<>-slice_utilization_ratio=100-bram_utilization_ratio=100-dsp_utilization_ratio=100
-reduce_control_sets=Auto-fsm_extract=YES-fsm_encoding=Auto-safe_implementation=No
-fsm_style=LUT-ram_extract=Yes-ram_style=Auto-rom_extract=Yes
-shreg_extract=YES-rom_style=Auto-auto_bram_packing=NO-resource_sharing=YES
-async_to_sync=NO-use_dsp48=Auto-iobuf=YES-max_fanout=100000
-bufg=16-register_duplication=YES-register_balancing=No-optimize_primitives=NO
-use_clock_enable=Auto-use_sync_set=Auto-use_sync_reset=Auto-iob=Auto
-equivalent_register_removal=YES-slice_utilization_ratio_maxmargin=5

Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/webtalk.log =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/webtalk.log b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/webtalk.log new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/webtalk.log (revision 224) @@ -0,0 +1,16 @@ +Release 14.7 - WebTalk (P.20131013) +Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. + +Project Information +-------------------- +ProjectID=09E7CA3DCC8644B38A16D7AF4C01A33A +ProjectIteration=72 + +WebTalk Summary +---------------- +INFO:WebTalk:1 - WebTalk is enabled because you are using a WebPACK license. + +INFO:WebTalk:8 - WebTalk Install setting is ON. +INFO:WebTalk:6 - WebTalk User setting is ON. + +INFO:WebTalk:5 - C:/Users/Francisco/Documents/Francisco_ROJ/ProcessingEngine/Projects/chn5_mem_spi_joint/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/14.7/ISE_DS/ISE/data/reports/webtalk_introduction.html Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/webtalk_pn.xml =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/webtalk_pn.xml b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/webtalk_pn.xml new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/webtalk_pn.xml (revision 224) @@ -0,0 +1,49 @@ + + + + +
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
Index: trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/xlnx_auto_0_xdb/cst.xbcd =================================================================== diff --git a/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/xlnx_auto_0_xdb/cst.xbcd b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/xlnx_auto_0_xdb/cst.xbcd new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Processing/chn5_mem_spi_joint/xlnx_auto_0_xdb/cst.xbcd (revision 224) @@ -0,0 +1,110 @@ +XlxV37EB fa00 11d4xÚÅ‹sÛVvÆÓn§w¶ít7uk›Y›³ivážs.®·2E9Üèµ¢œ·N1EŬ)Q!©¬½Fÿâ$À'âu¿dÆŠ8÷|ß½çøáRº÷Þ{ï5F•½açuïÇîÏüŸþïoÞ›ü÷ÿ¯óúF»×ÝkwtÛsÿwл~×¹ú•äx0®´ï:îhtu×ï¿«¼Ëîeåew$yÑ8ø¹ÿ¿Ó³“ÓæÙùK÷xï¨ù/þ­g/ÜýæáÞK÷›½ÃÍößûvÿÈ=yöûfã|öÄëbw]y·ùíéÞñ~s?îX÷¹Û»ç7ÏßúoýÖû­3ßË?ø¯Îšͳæq£¼Ý>yqÖh~0/·=ì¸ß`èù Ücïº{/èÞIûÜWÙ;Ûÿ×yÜy÷íØ= ¯½ÉÐø¸zðtؽê½ý+ÿÝ{ÁàïUƒ1nžW~í]^ÿ[9ßýº2O^ù²røMãè¤ÍüäïýÀ¨oÁë(ÞÉ1AÛ/”ó´º­mFNV×G1ù]ÖÒºœ.8³S8³ 8³Ó:³WéÎtg:­3½êLR8“Î$­3YuÆ)œqgœÖ¯:£Ψ€3JëŒV©ÎTg*­3µêÌJáÌ*àÌJëÌzúxÉY}£±¿‹ŒÕ¿«åõUŸ¨m·U_qålwåäwå¤t嬸ªmwUË殺ÒUmÅ•½Ý•ߕҕ½âJow¥ó»Ò)]éW²Ý•äw%)]ÉŠ+ÞîŠó»â”®xÅmwEù]QJW´âJmw¥ò»R)]©WÖvWV~WVJWÖS+råǺ½ñÈõ:?¸×*áûÅô~h5¼‘Ãíjÿœ²R¤‰kß ÊÖ *§”£”Ð •­ªœ^¨½P ½°²õÂ*§VŽ^l® ÊVTN]PŽº „º luAåÔå¨ J¨ ÊVTN]PŽº „º luAåÔå¨ J¨ ÎV\N]pŽºà„ºàluÁåÔç¨ N¨ ÎV\N]pŽºà„ºàluÁåÔç¨ N¨ ÉVRN]HŽº„ºlu!åÔ…ä¨ I¨ ÉVRN]HŽº„ºlu!åÔ…ä¨ I¨ ­.t9u¡sÔ…N¨ ­.t9u¡sÔ…N¨ ­.t9u¡sÔ…N¨ ­.t9u¡sÔEÐæ·Q/ö÷Î÷ÜÖñÉ‹ó¤§ÿ<[Š|šÃûoþÉÖæË±+.)K*æ’2¸¤—œÎ%sÉ\rŒKIçRй” .%Æ¥NçRs©3¸ÔÕE—×^ï&iPãäô´­¦1þfÑÙxÞäí^èmõ»œî¦­“Æß4ôaä°ÑÞä쯃ݨmÊá¨Ñ~¸­U£ æ£é ”{á \ No newline at end of file +7ù˜mØ÷ñBÂëSežlcÌ£(¦‘¤lþFØ9ÆhÚòѶ–Ó°gŽ7›ü[„ƒã’Çßîã­^ ‰“£Vû$ÉIp<“ ÝV'QÐÔÉI»•èÄ?žË‰ßn»?hV탮;ô®“Ι ÚçQyª}ÞzkµÏCggýííh“µ`º˜sxò›U¶5 c&.Ú¯“\ø‡ó¸ð›muáÇ̪ûnkußå®î»tÕ=›8úsw›£iDGÓ–[M²i6ÿøárµoùŽÚ‡'|r?î¤_ ˜}ÂŒ.=wxÛ[éV‹“Í]áÑ<›»Â¦[7w…qÁ©å«Î}uúI¾¦Gsùš6Ýîkw?îbaq$ã‡'àóøÞ½õ‹ÿ˜zªÕ$Òÿÿ¯â2†QŽ5r¬û±7a˜Rj²x/îÇ^ÿÏkQ`í~ì%xHÿbñê#<^¯MÕk¬~þ†µÉ%ÉiíýåOècvŒÞ_>k£üN˜ßyù“#:^×ÿ-îÔŽyêAÿriE †5ç—KK]¤ CýÑZmDà°üÑÚi…„YýÁêJFØSö‡«ëJx\Báî–Ã@‡”éÁ†96#-J(6 ›(0ì%ëHTŽ9;6¶(0œ_®?ØÀ \ No newline at end of file +£á +D¬phœ¨˜ttê‡Q±qí0Ð~¸‰ËG‘á@*y¸é™Ã¬îÂHz¸éyʬ”ÃHõpÓ³¢(2";DjazêÓs1vÌÕÂì8Ó8§š¸_rf”¢5‡ª‰ÛDg \ No newline at end of file +$j ÕÄݱ³:j «‰›‚g \ No newline at end of file +ì¨]MÜÛ6kàD \ No newline at end of file +œjâ–¾YƒzÔ ^MÜÉ8›x+Zp­jâÎYƒhÍ'UM܇4k \ No newline at end of file ++I5qûÕ¬A4JdWwÍDŸ.T«&n¶‹pÔV;k;ßgÅ?B;kÑgAÑç•ðÎÚÎþYP$'+r‹ž$š±vÖ¾O13M/×wÖ¾ +2Ë \ No newline at end of file +¸È‡kßb‰‚¦¥¤>\ûòM@Óúhí;CQ@¸úhíËNQH¸ªÐÊ.®Q~*ÐÊø-®N®c$;ëß’‹ÖÙp‰ggý;~QLxõ µõo(F1áê*öÎú÷+£˜p©½³þíÐ(&ô,«žÇ8Ô¢U­ÅÕ>ô{á$ gFt¶2ý{,˜‹®MÂ|ºö8qWH«v'n…‰ÂÃÏO]œ¸ÿ'º Ï![=NÜô…‡ç“½qA—¥âä¨8¾`»ÁmÖ ª–jâ¾¾YƒhåáZ5q;ã¬A´ ³<Ôv½q÷²rñ®2ÿJø¨Ò¼ìÃÊ'o;ö¨ÿ¶þÙø‡ïýjþŒÿ£òY…,eïZzW9ÁƒˆB NrsΛï_íî7ŽÜöéä«ïî„è~}ðí×e¥œ¦ î²Î\d¯ßÔ„Gü‘¿ù¤ôNµŽKíTë8¸I?mžµNöƒvƒ¶s©Ú«‚í©`{.Ø^ +¶×ÛÛÛ× +¶w +¶¯ghÿåÖ¤< TÑT4M Ed9 õêsº´ \ No newline at end of file +ëqØÒ6¶7/«©[®­Ÿi{z—±§zõ\Ú†«¿ +°}wþ*ÀJKUNûÞÍÞë®wYyÞ½é'·¶­“Jg~kÄ¥«|º1ßíëw£^Çë/†º)k\påå¦g'_XO |Åýž ?ïfMeHS%h’!MJÐdCšü´½é9ÒÚ|f"%a>ÅÐ|JÂ|Š¡ù”„ùCó) ó© \ No newline at end of file +ͧN˜Omh>uÂ|jCó©æSšÏ ïîò´å«¾ø{êè‹wîípЩåÛ†ëGãÜM]+Sõ÷¯Ç.YÖÑWq­òR•èŠÊKÅ奒òRéòRÙ奪•—Ê)/Uý«òNQ«Ä\ªÄ\Tb..1—”˜K¿Ì‘Ëÿ×^y ÞzµÛñ.ºÿ8 {Þ«ÝÛñpÒÂ2™\™Lžk­ëQÇí ®o_íúŸ…þ«»@,ß +ŸÊ:(”êªïÝtn»qèªÒ2YB™z7i÷þ'Gš›‹ÉÁ ýj÷¦wqÑﺷ^çMp«>{#<2® Œ+Xß—®à¯ wýIÀ¤6n¼¾ëݽu‰qR„“R8)Ü\©:NÊÁIÕpR6NJã¤'…[-nµP¸ÕBYW(©:LÉ)Õ`J6LIÔ¦Ä0%‚))˜’ÕA(cd#£02˜¹QuŒŒƒ‘©adlŒŒÆÈF³ +(Ì* 0«€².2uˆŠQ©ATlˆŠ†¨D…!*QQ«dúGÆ &'˜dœ`Ž`Ž`Ž`Ž`Ž`Ž`Ž`Ž`Ž`Ž`Ž`Ž`Ž`Ž`Œ`Œ`Œ`Œ`Œ`Œ`Œ`Œ`Œ`Œ`†`†`†`†`†`†`†`†`†`†`†`†`†`†`„`„`„`„`„`„`„`„`„`„`²q‚ÉÆ &'˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜Œ#˜ #˜ #˜ #˜ #˜ #˜ #˜ #˜ #˜ #˜ #˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜Œ!˜ !˜ !˜ !˜ !˜ !˜ !˜ !˜ !˜ !˜ !˜bœ`Šq‚)Æ ¦à¦à¦à¦à¦à¦à¦à¦à¦à¦à¦à¦à¦à¦à¦À¦À¦À¦À¦À¦À¦À¦À¦À¦À¦`¦`¦`¦`¦`¦`¦`¦`¦`¦`¦`¦`¦`¦`¦@¦@¦@¦@¦@¦@¦@¦@¦@¦@¦6N0µq‚©L#˜G05Ž`jÁÔ8‚©qSã¦ÆL#˜G05Ž`jÁÔ8‚©qSæ†L \ No newline at end of file +#˜F05Œ`jÁÔ0‚©aSæ†L!˜C05†`j ÁÔ‚©1Sc¦ÆL!˜C05†`j ÁÔ‚©1SC¦†L \ No newline at end of file +!˜B05„`jÁÔ‚©!SCf¼Jñ;¸àb¯†ƒþÂnçnèŽÆÞ¸ë´ÜƒƒK \ No newline at end of file +S*N{Ò*) 'Å8)“ÂÍáæŠpsE6LŠqÈõëâ.nªã]†“˜î¨Ó÷~¼Ú \ No newline at end of file +~X?/Í .þQ8é úc]š¨a×»|p„ ƒý¹»ºò»Ò»Éw]—Yņ¨hˆŠ@T¢BQÉEv²© îÆn \ No newline at end of file +#ccd4FF02Œ‘!ŒŒÂÈäÚÑ‘Ræè¤Ýʹß"“‚õcé +·ÃÞM§wëõÃ˧àp?æ*ê'S¦ŸL™2eùÉ”uפòäÚ2À®R(!×±±BuŽÒ©tlŽéH‡A:ÒQ \\4½Îõå|Q}cRéσá¥{5\ïû­ÜVÎÆÊi¬œ`å+GX9…•3û‰?‘›ôJƒt¤Ã é(Ž"§SÇÈ8™FÆÆÈhŒŒ`d#C…‘±þ3‡L§ÿƽóÓ \ No newline at end of file +:î³Ïó¤èQÇ¿›¿¾}µÛnºèÇkô9Ávãë¡«L X_äè:oÜáhì^xÃ^qƒÞE·ÓzAÐ`Øó^í¾îz—ݸ§ÂH1Uð|Üßt»¥ÿÍ×KoìÅx}Yþ—½|79ìݽýSéɃÊ÷:?¸W^¿o.û°7*{5drÈè Ñ`Ô °É`£3ÀFg@P3 &g@ŒÎ€šmr´ÑÐË3€ÛãE®ÑK¶ÉX–ìy¡«Ÿ¥½aå_œø#> ÊÿÜ5—œM&“ÉõRr¯üK¶×7®ÎŒ&õê¿°\…±^š»ø,å¼ÿØ®ñqXlxV37EB fa00 13c1xÚíxÕÇ3¡÷®Ø¥*$Û’@i:e“lh!š Ø»Ø(ŠôŽ€€téÅÞ{÷_ïÍw'É5›pùŸóž™/ïK¾oavsrïïwföì´{7==;œʘ̘àI‹ËÈž eL æ=#cbbâ2&ä‚SÂS‚ùS''åNÌ)ˆë5bPɽ’M¿ËI/z!#7§ -.gbú™E¿ñ 9Áô‰ùE=¨oÐãD'˜xœ0ñ8aâsÂÄuïéÄGÝÄØIüÈèwòó›Ð+Ù¸G²qV°×‰ìuÂÄã„‰Ç Ÿ&Ñ/>'ʇωòá“,>Éòá“,>'ʇωòás¢|øœ(>'ʇωòáw¢|ø(~Éòá—,~Éòáw¢|ø(~'ʇ߉òáw¢|ø('ÊGÀ‰ò,Éò,'ÊGÀ‰òp¢|œ('ʇ±“øñgÛI^¨x)/7;âI0£0/˜_*SRûSR2½çºêâXW~o–S]ùë)Á±žœ[Qs]ùë*Á¹®œ[W^çÖ•×¹uåMt¬+Ÿs ôÇgFµ«Œ Eˆô…£~) 3T’ïÈëTG>§:ò;ÕQàŒ9´ÑùêÇ3:ºï×pvpjn¾Á Š ÆK5ï—mÞ'Û¼W¶yOqóC£» åªFó³g=Ží¥y¤œÛyö;Ö“×¹^w“œ³ò&9·K뜕'Q¶Â{d+¼G¶Â{d+¼G¶Â;Wˆ<‚pîÀÒçÜÞçÜÑžÏÁuås®«€C;Äþ´¨öÊÌÌ æeC…3‹ß²áö£¼SY¾ý.²Í'É6Ÿ(Û|‚lóÙæý²Íûd›÷Ê6ï‘m>þš +4Ÿ›19˜—_LåIï‡d›÷Ë6ï•ÝÍ È6ï—mÞ+»“mÞ/Û¼Wê „OªáxÙã½€ló~Ùæ½²‡“Ùæý²Í{esŸ$Û|‚ìGH’ló ²«6I¶ùÙO¨$Ùæd?B’d›O}[ Ÿ>ôɾ­e›÷ɾ­e›÷ɾ­e›÷ɾ­e›÷£<Î å‡#Î4Éw?Vº‹.â=$‰÷(ÞC‚xñüâ=øÄ{ðŠ÷àï!>£=¨G8/+4Û~É>-j>”™›WÎÏÈMÏM‹ëŸ?!/<>Ø35/X¡óàÌnŠÚoG¶Ÿ=9Xœš—›ÑX…ÚϦ„rÆÇe= ýûÌî]<ÝFZÜÀääÁöÇT~A\Ïøè5åI9«¦JÔ‚× \ No newline at end of file +MéÝ™“ž2™¥`Ék \ No newline at end of file +ÔkCRƒ¥©lVúBd\-õrr¯”Cû \ No newline at end of file +QK1?Z11vs}Ó ³‚ÉáìЬà°Pva¸®ýÚÀÔ‚PNf(/³êYÕ³ªg²Ïì7fÏÜ)SÂ9‹î2UWÏR³Ã3ôÿœØšêÿ~¹¡‚pÕRÕÒÿçRÄ´šý1; ÙÿìÈAἉ¹™¦%ûs7¢û³²òÃæPú{îÒYý±³KlÔ³ ŒVf+ÏÒ?CÊùŸ¶Aÿ& ôŪݪgUϪvk«vk«–ª–èÝÚÒÖªÖªÖªV—wX-õ¬î<+Æþ}¬zØÏ#ôkVÉrLµrAú—+y^ö÷±úûC¯†zÔ,9RÕZòOmõOm_òjµ’GQ=êéh;(©äÊÿXFËŠxb£ÔWûüvCõhS|º‰z4Uf§ãÙÔÍKÈ[D4¥{ª‰ö†Š>'IÕÕAEëB%ãÜrì-˵jà¯Æà?óŸOñ¿©¢/ ø)þ \ No newline at end of file +üÕ0uÿE˜ÿbŠÿ-} Å£â¿ÔÀ_ó×`ð·Âü­)þ·Uôeÿ`Er¹¿æ¯ÉàoƒùÛRüï¨èvªmo௉ùk1ø;`þŽÿ»*ú +Šˆâ¿ÒÀ_ ó×fðwÂü)þ÷TtÅ?TñÇøkcþ: ~æ÷Rüï«hÅ?Lñû \ No newline at end of file +üu0]ó'Pü¨èDŠxâϰòüu1=Ìß•âÿPEw£øG(þ« üõ0}ÿÕ˜ÿŠÿ#}-Å?Rñw7ð×Çü \ No newline at end of file +ü=0ÿuÿÇ*º'Å?Jñ'ø`þ† þ^˜?…âÿDE÷¦øG+þ>þ†˜¿ƒ¿/濞âÿTEß@ñ§)þ~þF˜¿1ƒ¿?æ@ñ¦¢Rücÿ cÌß„Á#æLñ®¢S)þ±Šˆ¿ æoÊàŠù‡Qü_¨èáPñ0ð7ÅüÍü#1ÿ(ŠÿK=šâ§øÓ üÍ0sÿÌ?–âÿJE)þâgàoŽù[0øC˜?âߤ¢3(þK¦¿æ?‡ÁÆüYÿf=žâ¿TñO0ðŸƒùÏeðOÄü“(þ—UôdŠ¿•âÏ6ðŸ‹ù[2ø§`þŠ‹ŠÎ¥ø[+þ©þ–˜ÿ<ÿ4ÌŸGñoUÑùÿeŠ¿ÀÀæ?ŸÁ_ˆù§SüÛTô ŠÿrÅ?ÓÀ>æ¿€Á? óϦø_QÑ7QümÿÍþ 0ÿ… þ[0ÿ­ÿv=‡âo«øo3ð_ˆù/bðߎùï øw¨è¹;ŧÿ"Ì1ƒÿ.Ì7Å¿SEßCñ·Wüó ücþKüó1ÿ½ÿ«*ú>Š¿ƒâ¿ßÀ æ¿”Áÿæâߥ¢¢ø;*þ‡ \ No newline at end of file +ü—bþV þG0ÿ£ÿnýÅ…âÜÀß +ó·fð?ùŸ¤ø÷¨è§(þ+ÿk̃ÿiÌÿ Å¿WE?KñwRüÏø/Ãü—3øŸÇü )þ}*zÅßYñ/6ð_ŽùÛ0ø—`þ(þý*úEŠ?Nñ/5ð·Áümü/aþeÿ½œâWü+ üm1;ÿJÌ¿ŠâME¯¦ø=Š¿æoÏà_‹ù×QüUôzŠß«ø7øÛcþ þ˜Å¿XEo&ë¿} fàï€ù;2ø·`þ­ÿ½¬ÿö޶¿#æ¿‚Á¿óï ø_PÑ;Éúoï(ø¯ÀüW2øwaþÝÿ‹*zYÿí:ÿ•˜¿ƒæßOñ/UÑÈúo*'Ìß™Áó¢ø_RчÉú¯G ü1ƒÿ(æ?Fñ/SÑÇÉú¯' üq˜?žÁóŸ¢ø—«è×ÉúoߨbàÇüÿ›˜ÿ-Š…Š~›â·/¿cà÷`~/ƒÿ]ÌÿÅ¿RE¿OñÛ×®?0ð{1¿Áÿ!æÿˆâ_¥¢?¦øí‹äŸø}˜ßÏàÿóFñ¯VÑŸSüöUü/ ü~Ì`ð‰ù¿¢ø×¨è¯)~ûƒo üÌŸÀàÿóGñ¯UÑßSüö½?ø0"ƒÿ˜ÿ—ÿ:ý+Šß¾Éâ×þDÌŸÄàÿóÿ†â_¯¢KñÛwüÎÀŸ„ù»0øùÿ@ñQÑ$ë:~ù“¿ æïÊàÿ3æÿ ÅTEÿ•¬?5Jo™äïŠù»1øÿŽùÿAñSÑÿ$ëâÿ—¿濊ÁÿoÌÿŠÿ¸Šþ‰¬?5bN»Ù¹e ࿚Á¯ƒÏÀkü'Tt5‹ª?Š¿ºÿjÌ \ No newline at end of file +ƒ¿æ¯Iñ/Rѵ(~û:omÿ5˜ÿZÌ_—âÿAE×£øÇ«ü×7ð_‹ù»3ø`þ†ÿë*ºÅ•âolàïŽù{”üÚÄÝ$’»xIßMùÓÔ*mæ ½\ziÆë¥¹UÚÌzéÉX-ðº8‡Zߪès©u‘©ÖEKúè‰ù“üçaþó)þCöXŠßg0ð'cþ^ þ‹0ÿÅÿ÷öXŠ?Ë `àï…ùSü­0kŠÿ¤=€âïj0ð§`þÞ þ6˜¿-Åÿ=€âÛc ü½1Ìß‘â?l øýöXÌß—Áß ów¦øOÙc(þnöX_Ì=ƒßƒù½ÿ7öXŠ?à `à¿óßÀà`þŠÿk{,ÅŸn0ð߀ùûEðw±Ìü]ݪŸV àïÏàïæVý±JÿÆös濚âß ¢¯¡øí:m9þîV1 àÈàïù¯£ø7Úc(~»Î'øbþAŒí§—[ÇV àÁŸRŽ¿·UÌßÇ­c±¾êùOê§èn~ ‘ +$ôJ¸Þ­•pƒ–Œ%†0$úY.Õê¯%R±ÄP†ÄË¥S[µÄ,1Œ!1ÈréüÖZb(–ÎìÖA~ª–†%F0$†¸ut8CKLÀ“3-—îᜥ%&b‰É ‰ÙXBîFà›´Ä$,‘͸KÈÝ \ No newline at end of file +|‹–˜Œ%¦0$nÅr·”ÏÑÙX"‡!q–»¯ÿv-1Kä2$îÀrƒ+æj‰,1•!q'–ár—–ÈÅÓwc ¹aF÷h‰©X"!1KÈõš¯%¦a‰|†Ä½XBnÂû´D–(`HÜ%äf=y@Käc‰B†ÄƒXBnꙇ´D–˜ÎxKÈÍÿóˆ–(Ä3b ¹I8ÓÓ±ÄL†ÄãXBn&”'´Ä ,1‹!ñ$–›Žæ)-1KÌfH,Àrs=­%fa‰›Ï` ¹‰9žÕ³±ÄÍ ‰ç°„Üì(Ïk‰›°Ä- ‰…XBnŠšEZâf,q+Cb1–›'h‰–¸KÌaH¼€%ä&|QKÜŠ%ncH,År3¾¤%æ`‰Û˰„Ü´•˵ÄmXâ†Ä +,!7wèJ-q;–˜ËX…%ä&p]­%îÀw2$Ö` ¹Yt×j‰¹Xâ.†Ä:,!7•ñz-q'–¸›!±¸zJITx>éZâ.,qCb–›Ô{³–¸KÌcH¼Œ%äfVߢ%îÁó[‰KÀ”D…§·ß¦%æa‰{¯ã)‰ +ÇÀv-1KÜÇØAÜ BITø‹vj‰{±Äý ‰W‰[…(‰ +ÛÆ.-q–x€!±›¸i‹’¨ðWžìÑ÷c‰{‰Ûç(‰ +ïÌ>-ñ–xˆ!±Ÿ¸‘‘’¨ð—ÿÐb‰‡¯·”Rþ¦ƒZâ!,ñCâ–û¬ÃZâa,ñ(Câ–›KਖxK<Æ8æÖ„ǵģXâq†Ä ,!7«áI-ñ–x‚!q +KÈM-ùº–xK<ÉxKÈÍïù¦–xK<Åx KÈM²ú¶–xK,`H¼ƒ%äfº}WK<…%žfH¼‡%ä¦~_K,ÀÏ0$>Àrs>¨%žÆÏ2$>Âro¬%žÁÏÅœy^Oxóz|j碴—¢¯ µý졟Y%ÛIÑÙBõäs«xBé2ÏG$ó‹3$óK«¸ƒ…1ÿóèËŸ‰ìÑ_Yú,²Zøº"C1‹»Yˆ¥•—^\^za„ô7gþöô-H÷öZúÞ*•Ö_ôSú \ No newline at end of file +­úž-§øƒõ¤,Èi:wïªøîuô5˜Ò/µ~Q.¾N™g}»ÛßS§¶Ø9EŸ`“]6Ù_ò6Ù_YÅ5 —Åëæ×gX7?º1µÔe~QªOÛþ#—D´`Eþ¹_ŠÓ±$ý7¼¤ÿÖ*žÈôòBtzY†{y‘±jçÆ°ÜjåÞEXµeß„ÅàËq:–2Òñ{7ø +¥cNÇKŒtüÁcX¡t¬ÄéXÆHÇÝ8JÇ*œŽåŒtüÉä¥u°ýÙ},´/“ŽÕ8+¢³û¸÷²’‘ô?»1Mh\‹Ó±Š‘Ž¿¸1–M(ëp:V3ÒñW7FÅ ¥c=NÇF:þæÆø:¡tlÀéXËHÇßÝ©'”Ž8ëéø‡cþ„Ò± §c=#ÿtcô P:6ãtl`¤ã_nŒCJÇË8éø·ƒ…Ò±§c#ÿqc€§P:¶âtlf¤ã'7FY +¥cNÇËŒtèóŽŽ×JÇ+8[é°p:dF~ +¥c;NÇVF:bq:dÆ +¥cNÇ6F:ªátÈŒFJÇNœŽW騎Ó!3®U(¯âtlÎY•]¸—Œ¤×ÀI—™‰O(é»q:v2ÒQ§Cf:<¡3{{p:^e¤£N‡ÌœtB[Ç^œŽ]ÑyKîýìf$½6NºÌz¥.]ÎnìR&éûq:ö0ÒQ§Cf";¡mðNÇ^F:êâtÈLN(”Ž×p:öEç-y÷²Ÿ‘ôz8é2³i—º$ÿI·"l­CXÿ@t’|÷òZtz9‚{9ÈX•õc+Õe¤2«ò(Ö?ÄÐo[©.•Ñ?†õ3ôÆVªËDeôcý# ýF±•ê²PýXÿ(C¿ql¥º TFÿ$Ö?ÆÐo[©.û”Ñ?…õ3ô›ÆVªËZìÜnÅ>-Gçq+vQ)v^_pþ§¤]áù¿PT+»®Z9ä°/¹íJ¬jU{È©ÙöÿªZí„ïÉWÔUÞ ôÑ)ü%ŸªT—åÿÅ-W?Å9ùOq.¿K©vÉÑ}ÜÆo™¥T}#2~³Kû#ø5^ޝåÈÍˑǭ;åèžnåÈ$åxÞûr\ç带(G^^Ž|nåØ!Gwu+GF)G7ïËqƒ—㺢ùy9 +¸•c»ÝÉ­¤Ïy_Ž›¼7å(ÈËQÈ­ûäè1nåÈ.årõ5õ[ŽØÁ¿æå¸©(Ga^Ž"nåØ+Gp+G6)ÇHïËq‹—ãkE9Šòrs+Ç9zˆ[9²J9†z_ŽÛ¼·å(ÎËQ­»åènåÈ"åè}9¾á席(Ç3¼%ÝÊqHŽžíVŽÜRŽ9ÞÿUz‡—ãE9Jñr”v+ÇA9zº[9rI9fx_Žoy9î(ÊQÆefÁ­äèÜÊ‘SÊ1ÅûrÜååøVQŽr¼åÝʱ_ŽžàVŽRŽ‰Þ—ã;^Ž»ŠrTàå¨èVŽcrôj×)ÇïËñ=/ÇwŠrTâå¨ìVŽ£rôr×)Ç +ïËñ/Ç÷ŠrTáå¨êVŽ#rôb×)ÇïËñ#/ÇŠrTãå¨îVŽÃrô|×)ÇïËñ/ÇŠr<ËËQí§äèWÝÊQXÊñš÷åø™—ã'E9jòrÔr+ÇI9úE×RŽ—¼/Ç/¼?+ÊQ›—£Ž[9NÈÑ›]7rH9¶x_Ž_y9~Q”£./G=·r—£×»nìrlð¾¿ñrü™ÙÿpËoŠ¢×çEOï6y}SŽÎà6yÝEŠžÑçt£˜õ¿YWYÔýÉqk”á}g¹úáýlð“ëþ}4þcC€)j#€ô-ª1À|Ï&ó›Hk0ߺ9À”ªàQi-æk0‹5­æ­l0ïi[€ysÛÌ»Ü`Þî€df¹ `ªÐ Âì¤4+â€Tæ­˜¥š®€2ÒºÊJë('­ ¼´ž€ +ÒžT”Ö PIZo@ei}U¤õT•Ö`nûÌ"öÀ³ÒjH¨)m0 –´!€ÚÒ†êH¨+m8 ž´€úÒFHh(m4 ‘´1€ÆÒÆšHh*m< ™´ €æÒ&ZH›h)m2 •´­¥M´13,€¶Ò¦ÚI›h/m ƒ´™€ŽÒfÌ ól€™Ižè,m. ‹´y3I?`&ãºK[è!mÀ¬s,˜õŒ%€^Ò–zK[0KEËfIh Ÿ´•€þÒV̬×j€™ÝZdþ 6{Éfâp=ÀLn 3û-ÃÍÞS€™{Ý 0s¬[£¤mŒ–¶ \ No newline at end of file +`¦¯_˜iê—㤽 /퀹`î¸_L2{B“Í‚ÀLfì˜I‹€©f0ÍLÌ|Ѐ™÷Ù ˜i&ß³ÌÀL©˜©³ƒ€¹fÒ \ No newline at end of file +0ÏÜÌÝÁ€¹ 8 +Xhn¶‹Ì€`n°NÌÔIÀR3È,3»gæêq`®4oVJ{ °Êl8˜ Ì;s;ÿ.À\»ÎÖI; 0#–÷fdò>`£Ù +ØdöGÌ ï<À î.¶šd€mf_ÀŒ›?˜ññÇ€—ÍÀ+f?ÀÜz|0·—¯›Àl»û`¶×}0Ûè®v™¥h€Ù©x`v$~0;¯ö™µ2€ÙÜy`6qޘ͚_™9r€Ù{`ö½~0û[¹1€ÙB|`¶ +0[‚¿œ2÷ijëúG€Ù]ýÀì¢þð– ÌFõ_fCúo³ñü?€3Ò~œ•öÀìÿ`öNÿ0;‰ÿ˜}µÿÌ.Ó{€ ùcv úf?žpç&àþ^-€Ù¹˜}<ñfÇ×#³Å%Àì@I0{cÌÞŽGfëÅc³…!1Àì4x`ÖÙŸ˜Uæ'f5 À¬0&˜õµd³º”`ÖVRÌÊBJ€™WO0³ÊOÌœjj€™QL0óiOÌlRZ€™KI03 éæ>:ÀÜEf˜{¨Ls‘`úYæ¦ +àw³ˆøÃ,pþ4“°€¿Ì5ào3yøÇ,lî™É€#çëž#À/O  Z  P`Fý…fÄ_@ ( ¡@1@"â€GJxX $àqR€'Jž(H"PT  ™@y@r +€f/n%€Ùs[`öÖV¤¨ +H#P \ No newline at end of file +ð´@u@ZgéjÌ \ No newline at end of file +ZM€¹«07\µ™ê2 Ôd¨È*PM Àl®m0›hÌfÙÆ³s¬ Àì|j +0;œšÌN¦æ€|-ùZ +´h \ No newline at end of file +($Ð`ö>¶˜=Žíf/c{@1³a°#Àl ì0Ÿ”è (%ÐPZ + Œ@7€Ù‰Ú`vœö˜¥=½žôèè#ÐÐW  Ÿ@_@~€ý  "00[``ŽÀPÀ\a€yÃóFŒ,X$0°X` `‰ÀXÀRq€eãË&VL¬˜X%0°ZàÀ)€µSë¦Ö Ll˜Ø(0pH`à°ÀlÀ9€£sÇæŽ ÌœX8)°pJ`à \ No newline at end of file +Å€ÓKo +,¼%° ð¶ÀrÀ;+ï +¬œX8+°ðžÀÀûkçÖ>X8/°pA`£=ÀØd06Û -öC`«=ÀØf0^´/Ù —í†À+öCàU{€!ðš=ÀxÝ`l·;ì†ÀN{€!°Ë`ì¶{æÇe¯ýÎÉ‹}ö›%/öÛo–¼8`¿Yòâ =>‡ìñ¼8läÅ{| /ŽÚãyqÌÈ‹ãöø@^œ°Çòâ¤=>§ìñA<+¬5>§íñA<+¹5>ˆg%·Æñ¬äÖø@^¼c¢å4³ÇgìñÀY{| ðž=>xßœ³ÇØãóöø@à‚=>¸h>´ÇÙãíñÀ'öø@àS{| ð™=>¸d.ÛWô€œfö]à ûŠ.pž¢ |i_Ñ®ÚWt¯ì+ºÀ5ûŠ.pݾ¢ ܰ¯è7í+ºÀ×ö]à–}E¸m_Ѿ±¯èwì+ºÀ·ö]à®}EøÎ¾¢ |o_ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]ÑÖyj]Ñ1³¹÷¯è˜©öûWô€užZWô€užZWô€užZWô€užZ3šë<µf4ÖyjÍh¬óÔšÑ Xç©5£°ÎSkF3`§ÖŒfÀ:O­Í€užZ3šë<µf4ÖyjÍh¬óÔšÑ Xç©5£)PG  ®@r@=€ú) \ No newline at end of file +RšgÄR ¤4xÐT - ™@:@sô€-Í •@&@kÌ€6Ym²Ú d´Èè `üÈ 0Á䘧ár̳ayfQ#/ «@>@7ü€î= +z +€Lñå¬dèÈ"ÐÕì^dÈ.0C`0 §Ù È%0[` Àp@^³‡O`$ ¿À(@Ñ€‚f: ÀX@aq€"ãEÍŽ:@1‰€â“ìMÉö¦Ù”í²0k†$S¢ƒ—M­¥Ð•a®­®yøÚêÔhçµÕû«ÀÄLL‹Ž3=2Ýþ+EŽ™áÖ 3zšÒ‰Y÷y5ïĪØYÇ?̰‹ÃñbM–&ŒIãV„$üÚ·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·x·xüÿzñ_fññ–Ïšá~°îúd…Ù!Ç' ¢Ì +æ½{÷ÆÜŸÀÒí¬h÷¥Û•K·^®M?¬FIî+?G[#HðÄôò˜…otʬ[cmúVÌ1F6'úÁšýìèÏFÿ3çü¨yÐ#åþ(÷OMõ¯ØcoHR†§b&‰5žÂšš[Ó8XÿäÖh…5·¦w°þÅ­…5·fv°þÍ­ñÖlÜšÝÁú·>¢°æâÖÜÖ{Üš@aÍÇ­ù¬Q>jM¨°âÖÂV·&RX‹qkq«Ÿ[UXKrk)k­O*¬u¸µ®ƒ5·&QXpkCkBnMª°6áÖ¦ÖDÜšLamÁ­-¬rkr…µ \ No newline at end of file +·¶u°>Æ­)ÖÜÚÑÁš˜[S*¬¹µ‹ƒõqnM¥°vçÖÖ'¸õ)…µ·öv°>É­©Ö~ÜÚßÁš„[Ó(¬ƒ¸u°ƒ5)·>­°ãÖáÖdÜšVaÅ­£¬É¹5Â:Ž[Ç;XSpkz…u·Nv°¦äÖ +ëTnæ`MÅ­Ö™Ü:ËÁú·fRXçrë<kjnͬ°.äÖEÖ4ÜšEa]ʭˬOskV…u%·®r°¦åÖl +ëZn]ç`MÇ­ÙÖܺÉÁšž[s(¬[¹u›ƒ5·æTX_æÖW¬¹5—Âú:·nw°fâÖÜ +ë.nÝí`ÍÌ­yÖ}ܺßÁš…[ó*¬‡¸õ°ƒ5+·æSXqëqk6nͯ°žâÖ7¬Ù¹µ€Âú·¾í`ÍÁ­Ö3ÜzÖÁš“[ )¬ç¸õk.n-¬°^äÖ¬¹¹µˆÂú ·~ê`ÍíEÖËÜú¹ƒ5/·SX¿äÖ«Ö|ÜZ\a½Î­7¬ù¹µ„Âz‹[o;X pë3 +ë·Üz×ÁZ[K*¬?pëÖBÜZJaý…[u°æÖÒ +ëïÜú‡ƒµ·–QXÿæÖ¬E¹µ¬ÂêóQ«ßá·$ãÖr +k€[ã;X‹sky…5!·&r°–àÖ + +kbn}ÜÁú ·VŒzøGx'Ñ}„·™å)É-•ˆ%™ÎbæWJqKeESð +¦t¨`in­¢YÕåÖ4Ö2ÜZU³ªË­é¬e¹µšfU—[3;XËqkuͪ.·fw°–çÖg£Âü \ No newline at end of file +³«ºÖ +ÜZC³ªË­ù¬¹µ¦fU—[ ;X+qk-ͪ.·w°VæÖÚ±¬%ö›ÅÂûÉ1X…[ë(¬¥Ã;‡ \ No newline at end of file +VåÖºŠ +—áÖ²!VsþVãÖzšµdn­ä`­Î­õ®ÞUÛà³ÜÚ0êá¿YÙNó-¡ÔÀïC®ÁբܩsÍð:\ êš\ÝX¡®í k”_êZ\ÝD¡®ë k¨_êÚ\ÝT¡®ï k¼ßê:\ÝL¡nÞà°Ôu¹º¹BÝ8¼QU¨ëqu …ºixC«fP×çê– +uóð®- nÀÕ­ê–á \ No newline at end of file +²ZAÝ«[+ԭûJµºW·‰ +óWÔ»·ì_,ߘ«Û*ÔíÃsu€º W·S¨;†wîuS®n¯P?ÞUº3Ô͸ºƒBÝ%¼áfW¨›suG…º[xcÎîP·àêN +u_Xr=¡nÉÕÏ)ÔÏûšìu+®î¬P÷ö…5åÚêÖ\ÝE¡îë k޹Ôm¸º«BÝßÖ¤ú¨Ûru7…z /¬U„AP·ãêî +õ`_XË&C nÏÕ=꡾°Ö‰†AÝ«{*ÔÃ}a-Ç€º#W?¯Pô…µ&7 +êN\ÝK¡í kés ÔÏquo…z¬/¬µÞqPwæê> +õx_X‹Û îÂÕ}ꉾ°Vó'AÝ•«û)Ô“}am_xên\Ý_¡žâ k¿ÆT¨»sõ…zW‡nu®¨PÏàêЯ3¡îÉÕƒêY\ºÅw6ÔÏsõ`…zW‡îiž u/®¢PÏãêÐM¡ó¡îÍÕCê\º v!Ô}¸z˜B½ˆ«C·ý.†º/WW¨—puè>ç¥P÷ãê +õ2®Ý(ºêþ\=R¡^ÁÕ¡;cWB=€«G)Ô«¸:t+ðj¨rõh…z \ No newline at end of file +W‡î}^ õ ®£P¯ãêÐGBÖC=˜«Ç*Ô¸:ô¹Páêq +õ&®}üf3ÔC¹z¼B½…«CŸ7Ú +õ0®ž PoãêЬ^„z8WOT¨_âêÐ'Ê^†zWOR¨_áêÐGè^…z$WOV¨_s™A +Q¿õ(®~A¡ÞÎÕ¡Iî€z4WOQ¨wruèS¡» ÃÕSêÝ.“W!ê=Påêi +õ^—)»õ>¨Çqõt…z¿ËôlˆúÔã¹z†B}ÐeR:D}ê \=S¡>ì2¢>õD®ž¥PuY€QƒzWÏV¨»,»„¨O@=™«ç(Ô']›BÔ§ ~«ç*ÔopuèÇQœ†z +WÏS¨ßäêÐÝ„oA=•«ç+Ôo‡·¥ð¨§qõ…ú]®}öä ÔÓ¹z¡B}–«C¶yê\½H¡~Ÿ«CŸ.:õL®^¬PÀÕ¡S‡zW/Q¨/puèóc¡žÍÕKê¹:ô¹ žÃÕËê¹:ô ÁO žËÕËêO¹:ô‘ÈÏ žÇÕæ#‚¶Ïô’nŸ©ùàÔùܲ2V¯<¤ƒ_†·çÇâÜ»*–÷ÚC¼×ÿíÅ÷1$uÓ÷o÷’ÞI'ÝV°> imTð‡RÝ +9þ¡ä´Ç_Mޜۺ7çŸ5!E,kbéÎCŠô­~kÒÓÔûz軸6ÖòÅþø(¯Å<÷ZR»ºê|ç³æÌˆe]d,K¹e½â=ø^¿G+^ÈIª{‚Okë=XÆsoPäþA¿Á+r¹—óܹÔâ"—{ϽI‘û'ý0r¹WòÜ›¹ÖïÆ{`Êöß19ƒr¯â¹·Dæò¸š[¶*ªó‹~ŸKäÞÕ5<÷6Eî_õ›d"—{-Ïý¢"÷oú6‘˽Žç~I‘û?úí9‘˽žç~Y‘ûwýÞžÈåÞÀs¿¢Èý‡~cPäroä¹_UäþS¿«(r¹7ñܯ)rÿ¥ß’¹Ü›yî×¹ÿÖo*Š\î-<÷vEîôû°"—{+ϽC‘ûž~'Uäroã¹w*rãFR± \ No newline at end of file ++r¹_ä¹w)rûxîÞä~‰çÞ­Èíç¹÷{’ûež{"w<ž{·'¹_á¹÷*rGóÜÛ=Éý*Ͻ/2£ü׸e¿¢:^4žÜ»½ÎsPäŽÏsgöäÞm;Ï}P‘ûž;·'õÞÁsŠÌÙ¸“[+ª“€W'¿ã»Z2ìwµdPuvñÜG¹òÜ•™³q/·WTçQ^DŽgc÷êøb}Oß>žóDdª±Ÿ[NFÆr€[N)jþ˜ß›Y§ šä9ßPäLì÷f–)(ç!žó´"çã~of•‚ræ9ßTä|ÂïÍ,RPÎ#<ç[ŠœOú½™5 +Êy”ç|[‘3‰ß›Y¢ œÇxÎw9“ú½™ +Êyœç|W‘3™ß›Y  œ'xÎ3ŠœÉýÞÌúå<ÉsžUäLá÷f–'(ç)žó=EΔ~ofu‚r¾Ás¾¯È™Ê£Yœ œ§yÎsŠœOy4k”óMžóEÎÔÍÒå|‹ç<¯È™Æ£Y™ œoóœ9Ÿöh&(ç;<çEEδ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#define ARRAY_SIZE 2500 +#define TXRX_N 2 + +#define CMD_START 0x91 +#define CMD_STOP 0x19 + +#define ARRAY_RAWRX_N 5000 //ARRAY_SIZE*TXRX_N +#define ARRAY_CHX_N 1000 //ARRAY_RAWRX/CH_N +#define CH_N 5 +#define SAMP_N 200 +#define BYTES_TX_N 5 //Numero de bytes por paquete de transmision + +static const char *device = "/dev/spidev0.0"; +static uint8_t mode = 3; +static uint8_t bits = 8; +static uint32_t speed = 16000000; +static uint16_t delay; + +volatile uint8_t tx[ARRAY_SIZE]; +volatile uint8_t rx[ARRAY_SIZE] = {0, }; + +volatile int cont_seg=0, cont_min=0; +static int timeset_min = 2; + +static int inicializa_spi(int *fd); +static void transfer(int *fd); +static void pabort(const char *s); + +char station[]="JICAMARCA"; +char magnetometer[]="MAG_VIRT"; +char IAGA[]="JIC"; + +static double ScaleH=2.5; +static double ScaleD=2.5; +static double ScaleZ=2.5; +static double OffsetH=0.0; +static double OffsetD=0.0; +static double OffsetZ=0.0; +static double OffsetTs=0.0; +static double OffsetTc=0.0; +static double LBaseH=25750; +static double LBaseD=-1.4; +static double LBaseZ=350; +static double Hmean=25500; +static double Dmean=-1.4; +static double Zmean=365; +static char pathserver[75] = "test_cwd"; +static char filesminm[255]; +static char filessec[255]; + +static FILE *fp=NULL; +static FILE *fp_mv=NULL; +static FILE *fp_mm=NULL; +static FILE *fzip=NULL; + +double mvX,mvY,mvZ; + +void crear_archivo_sec(FILE** fp,long promedios[CH_N]); +void crear_archivo_minv(FILE** fp,long promedios[CH_N]); +void crear_archivo_minm(FILE** fp); + +void format_time(char *output); +int obtiene_minuto(void); +int obtiene_segundo(void); + + +int open_serial(int *serial_stram); +void* read_uart(void *argv); +int encuentra_fincadena(char *cadena); + +void encuentra_promedios(uint8_t ARRAY[ARRAY_RAWRX_N], long prom[CH_N], int num_ch); + +struct ROJ_GPRMC { + char header[4]; + char gps_time[6]; + char gps_valid; + char gps_lat[9]; + char gps_lathem; + char gps_long[10]; + char gps_longhem; + char gps_spd_grd[5]; + char gps_cour_grd[5]; + char gps_date[6]; + char gps_magvar[5]; + char gps_maghem; +}; + +void encontrar_hora(char *cad_original, struct ROJ_GPRMC *estructura); +void encontrar_fecha(char *cad_original, struct ROJ_GPRMC *estructura); +void encontrar_campo(char *cad_original, char *campo, int pos_campo, int lon_campo); + +void parse_date(char *hora, char *fecha,char *comando); +void parse_anho(char *p_anho, char *fecha); +void parse_mes(char *p_mes, char *fecha); +void parse_hora(char *p_hora, char *hora); + +int main(int argc, char ** argv) { + + pthread_t thread; + int ret, status,i; + errno = 0; + + sleep(1); + + ret = pthread_create(&thread, NULL, read_uart,&i); + + if(ret){ + printf("\npthread_create() failed with errir [%s]\n", strerror(errno)); + return -1; + } + + char c = getchar(); + status = pthread_cancel(thread); + + if (status){ + printf("\npthread_cancel() failed with error [%s]\n", strerror(errno)); + return -1; + } + //----- CLOSE THE UART ----- + return 0; +} + +int open_serial(int *serial_stream){ + int status=0; + + *serial_stream = open("/dev/ttyAMA0", O_RDWR | O_NOCTTY | O_NONBLOCK | O_NDELAY); //Open in non blocking read/write mode + if (*serial_stream == -1){ + printf("Error - Unable to open UART. Ensure it is not in use by another application\n"); + } + + struct termios options; + fcntl(*serial_stream,F_SETFL, O_RDWR); + if(tcgetattr(*serial_stream, &options)<0){ + perror("Getting configuration.\n"); + return -1; + } + printf("Valor de options al leer el valor por defecto:%d\n",(int)options.c_cflag); + cfmakeraw (&options) ; + cfsetispeed(&options, B9600); + cfsetospeed(&options, B9600); + options.c_oflag &= ~OPOST; + options.c_lflag &= ~(ICANON | ECHO | ECHOE | ISIG); + + options.c_cc[VMIN] = 100; //Timeout en décimas de segundo + options.c_cc[VTIME] = 70; // Número de caracteres recibidos + + options.c_cflag &= ~PARENB; + options.c_cflag &= ~CSTOPB; + options.c_cflag &= ~CSIZE; + options.c_cflag |= CS8; + options.c_cflag |= (CLOCAL | CREAD); + + printf("Valor de CS8: %d\n",CS8); + printf("Valor de options: %d.\n",(int)(options.c_cflag)); + + tcflush(*serial_stream, TCIFLUSH); + if(tcsetattr(*serial_stream, TCSANOW | TCSAFLUSH, &options) < 0){ + printf("Error al escribir el uart0_filestream.\n"); + return -1; + } + + ioctl (*serial_stream, TIOCMGET, &status); + + status |= TIOCM_DTR ; + status |= TIOCM_RTS ; + + ioctl (*serial_stream, TIOCMSET, &status); + + usleep (10000) ; // 10mS + + return 0; +} + +void* read_uart(void *argv){ + int length; + int uart0_filestream; + + struct ROJ_GPRMC estr_roj_gprmc_01; + int fd; + if(inicializa_spi(&fd) !=0){ + + printf("Problemas al abrir el archivo de lecctura/escritura SPI.\n"); + }; + + errno = 0; + pthread_setcancelstate(PTHREAD_CANCEL_ENABLE, NULL); + ///////////////////////////////////////// + + if (open_serial(&uart0_filestream) != 0) + { + printf("Problemas al abrir el archivo de lecctura/escritura serial.\n"); + return; + } + + char rx_buffer[4096]; + char arma_buffer[80]; + + char comando_3[42]; + strcpy(comando_3,"sudo date -s "); + + int cont_fin = 0; + for(cont_fin=0; cont_fin<80;cont_fin++){ + arma_buffer[cont_fin]='\0'; + } + + int rx_length_2 = 0; + int rx_length_1 = 0; + int sincronizado = 0; + /////////////////////////////////////////// + + while(1){ + pthread_testcancel(); + + //----- CHECK FOR ANY RX BYTES ----- + if ((uart0_filestream) != -1) + { + + ioctl ((uart0_filestream), FIONREAD, &rx_length_2); + //printf("Datos disponibles a leer por iotctl: %d\n", rx_length_2); + rx_length_1 = read((uart0_filestream), (void *)(rx_buffer),70); //Filestream, buffer to store in, number of bytes to read (max) + //printf("Datos disponibles a leer por read: %d\n", rx_length_1); + + + + + if (rx_length_1 < 0) + { + printf("No data o menor a 0.\n"); + close((uart0_filestream)); + if (open_serial(&uart0_filestream) != 0) + { + printf("Problemas al abrir el archivo de lecctura/escritura serial.\n"); + } + + } + else if (rx_length_1 == 0) + { + printf("No data.\n"); + close((uart0_filestream)); + if (open_serial(&uart0_filestream) != 0) + { + printf("Problemas al abrir el archivo de lectura/escritura serial.\n"); + } + + } + else + { + + //Bytes received + rx_buffer[rx_length_1] = '\0'; + + if(sincronizado == 0) + { + + if(rx_buffer[0] != '$') + { + close((uart0_filestream)); + if (open_serial(&uart0_filestream) != 0) + { + printf("Problemas al abrir el archivo de lectura/escritura serial.\n"); + return; + //return -1; + } + printf("No se recibio el caracter inicial.\n"); + tcflush((uart0_filestream), TCIFLUSH); + usleep (10000) ; // 10mS + continue; + } + printf("Sincronizacion de recepcion OK.\n"); + sincronizado = 1; + + } + + + //printf("%i bytes read : %s\n", rx_length_1, rx_buffer); + if(encuentra_fincadena(rx_buffer)==0){ + //printf("Check state.\n"); + //printf("Check state strlen_1: %d.\n", strlen(arma_buffer)); + arma_buffer[strlen(arma_buffer)] = '\0'; + //printf("Check state 2.\n"); + //printf("Check state strlen_2: %d.\n", strlen(arma_buffer)); + + strcpy((arma_buffer+strlen(arma_buffer)),rx_buffer); + arma_buffer[strlen(arma_buffer)] = '\0'; + + //printf("Cadena recibida final: %s.\n",arma_buffer); + arma_buffer[0] = '\0'; + //printf("************************\n"); + + //printf("%i bytes read : %s\n", rx_length_1, rx_buffer); + //printf("************************\n"); + + //Actualización de hora cada segundo + encontrar_hora(rx_buffer, &estr_roj_gprmc_01); + encontrar_fecha(rx_buffer, &estr_roj_gprmc_01); + //char comando_3[41]; + parse_date(((&estr_roj_gprmc_01)->gps_time), ((&estr_roj_gprmc_01)->gps_date),comando_3); + //printf("***************************\n"); + //printf("Comando_3 generado: %s.\n",comando_3); + //printf("***************************\n"); + system(comando_3); //La marca de hora estará dado por la hora en este punto + transfer(&fd); + } + else{ + strcpy(arma_buffer,rx_buffer); + arma_buffer[strlen(arma_buffer)] = '\0'; + printf("Cadena recibida hasta el momento: %s.\n",arma_buffer); + //printf("Bytes recibidos todavia sin fin de cadena.\n"); + } + //printf("Check state strlen_fin_main: %d.\n", strlen(arma_buffer)); + printf("Hola Luis este es el fin de la iteracion en el programa.\n"); + + + + if(rx_length_1 >= 70) + { + sincronizado = 0; + } + } + } + } + printf("\r\n"); + close(uart0_filestream); + close(fd); +} + +int encuentra_fincadena(char *cadena){ + int i_fin=0, longitud_cadena=0; + longitud_cadena = strlen(cadena); + //printf("\n**************************"); + //printf("\nTamanho de cadena: %d\n", longitud_cadena); + while((i_fin++=longitud_cadena) + { + //printf("No se encuentra el caracter fin de cadena.\n"); + //printf("**************************\n"); + return 1; + }else + { + //printf("Posicion encontrada del fin de cadena = %d\n", (i_fin+1)); + } + //printf("**************************\n"); + + return 0; +} + +void parse_date(char *hora, char *fecha,char *comando){ + char p_dia[3]; + char p_mes[3]; + char p_anho[5]; + char p_hora[9]; + int i=0; + + p_anho[0] = '2'; + p_anho[1] = '0'; + p_anho[4] = '\0'; + + p_dia[2] = '\0'; + p_dia[1] = fecha[1]; + p_dia[0] = fecha[0]; + + p_hora[8] = '\0'; + + parse_anho(p_anho, fecha); + parse_mes(p_mes, fecha); + + parse_hora(p_hora, hora); + + //printf("Dia parseado: %s\n", p_dia); + //printf("Mes parseado: %s\n", p_mes); + //printf("Anho parseado: %s\n", p_anho); + //printf("Hora parseado: %s\n", p_hora); + + comando[0+13] = '"'; + comando[1+13] = p_mes[0]; + comando[2+13] = p_mes[1]; + comando[3+13] = p_mes[2]; + comando[4+13] = ' '; + + comando[5+13] = p_dia[0]; + comando[6+13] = p_dia[1]; + comando[7+13] = ' '; + + for(i=0;igps_time), 2,6); + //printf("Cadena de hora: %s.\n", (estructura->gps_time)); +} + +void encontrar_fecha(char *cad_original, struct ROJ_GPRMC *estructura){ + // + encontrar_campo(cad_original, (estructura->gps_date), 10,6); + //printf("Cadena de tiempo: %s.\n", (estructura->gps_date)); +} + +void encontrar_campo(char *cad_original, char *campo, int pos_campo, int lon_campo){ + int i,i_comas=0,coma_1=0, coma_2=0; + //printf("Cadena detectada: %s", cad_original); + while(i_comas<(pos_campo-1)){ + while(cad_original[coma_1++] !=','){ + }; + i_comas++; + }; + coma_1--; + while(cad_original[coma_1+coma_2+1] !=','){ + coma_2++; + }; + coma_2 +=coma_1; + + //printf("Posicion coma_1: %d\tPosicion coma 2:%d\n",coma_1,coma_2); + if((coma_2-coma_1)>1){ + for(i=0;itm_sec) == 59){ + for(i=0;itm_sec) == 5){ + //Envio archivo min_m: + strcpy(comando_bash,"python /home/pi/Desktop/Scripts/src_c/uart_files_sockets_fus/src_dev/py_aux/SendfileFTP.py "); + strcat(comando_bash,pathserver); + strcat(comando_bash," "); + strcat(comando_bash,filesminm); + strcat(comando_bash," &"); + printf("CMD:%s\n",comando_bash); + fzip = popen(comando_bash,"r"); + while (fgets( buffer_zip, sizeof(buffer_zip), fzip)){ + } + pclose(fzip); + cont_min = 0; + } + } + + int len; + char outzip[255]; + + if((timeinfo->tm_min) == 03){ + if((timeinfo->tm_sec) == 30){ + strcpy(outzip,filessec); + len = strlen(outzip); + outzip[len] = 'z'; + outzip[len+1] = 'i'; + outzip[len+2] = 'p'; + outzip[len+3] = '\0'; + + strcpy(comando_bash,"gzip -c "); + strcat(comando_bash,filessec); + strcat(comando_bash," > "); + strcat(comando_bash,outzip); + strcat(comando_bash," &"); + printf("CMD:%s\n",comando_bash); + fzip = popen(comando_bash,"r"); + while (fgets( buffer_zip, sizeof(buffer_zip), fzip)){ + } + pclose(fzip); + } + + if((timeinfo->tm_sec) == 50){ + //Envio archivo comprimido + strcpy(comando_bash,"python /home/pi/Desktop/Scripts/src_c/uart_files_sockets_fus/src_dev/py_aux/SendfileFTP.py "); + strcat(comando_bash,pathserver); + strcat(comando_bash," "); + strcat(comando_bash,outzip); + strcat(comando_bash," &"); + printf("CMD:%s\n",comando_bash); + fzip = popen(comando_bash,"r"); + while (fgets( buffer_zip, sizeof(buffer_zip), fzip)){ + } + pclose(fzip); + + strcpy(outzip,filessec); + len = strlen(outzip); + outzip[len] = 'z'; + outzip[len+1] = 'i'; + outzip[len+2] = 'p'; + outzip[len+3] = '\0'; + + } + + } + + fflush(stdout); + puts(""); +} + +void encuentra_promedios(uint8_t ARRAY[ARRAY_RAWRX_N], long prom[CH_N], int num_ch){ + char dato_cadena[7]; + memset(dato_cadena,'\0',7); + + int i=0, j=0; + long result=0; + for(j=0; j32767)?(-65536):0); + } + result = result/SAMP_N; + prom[j] = result; + result = 0; + } +} + +void pabort(const char *s){ + perror(s); + abort(); +} + +void format_time(char *output){ + time_t rawtime; + struct tm * timeinfo; + + time ( &rawtime ); + timeinfo = localtime ( &rawtime ); + + sprintf(output, "[%s%03d%02d.%02ds]",IAGA,timeinfo->tm_yday, timeinfo->tm_hour,timeinfo->tm_year-100); +} + +void format_time_minv(char *output){ + time_t rawtime; + struct tm * timeinfo; + //int i= 0; + time ( &rawtime ); + timeinfo = localtime ( &rawtime ); + char buffer[5]; + strftime (buffer,5,"%b",timeinfo); + //for(i=0;buffer[i];i++){ + //buffer[0] = tolower(buffer[0]); + buffer[0] = 32+buffer[0]; + sprintf(output, "[%s%02d%s.%02dv]",IAGA,timeinfo->tm_mday, buffer,timeinfo->tm_year-100); +} + +void format_time_minm(char *output){ + time_t rawtime; + struct tm * timeinfo; + //int i=0; + time ( &rawtime ); + timeinfo = localtime ( &rawtime ); + char buffer[5]; + strftime (buffer,5,"%b",timeinfo); + //for(i=0;buffer[i];i++){ + //buffer[0] = tolower(buffer[0]); + buffer[0] = 32+buffer[0]; + sprintf(output, "[%s%02d%s.%02dm]",IAGA,timeinfo->tm_mday, buffer,timeinfo->tm_year-100); +} + +int obtiene_segundo(void){ + time_t rawtime; + struct tm * timeinfo; + + time ( &rawtime ); + timeinfo = localtime ( &rawtime ); + + return timeinfo->tm_sec; +} + +int obtiene_minuto(void){ + time_t rawtime; + struct tm * timeinfo; + + time ( &rawtime ); + timeinfo = localtime ( &rawtime ); + + return timeinfo->tm_min; +} + +void crear_archivo_sec(FILE** fp,long promedios[CH_N]){ + char cadena_hora[256]; + //static char cadena_hora_2[256]=""; + + time_t rawtime; + struct tm * timeinfo; + + time ( &rawtime ); + timeinfo = localtime ( &rawtime ); + + format_time(cadena_hora); + strcpy(filessec,cadena_hora); + + fflush(stdout); + + *((FILE**)fp) = fopen( cadena_hora,"a"); + /* + if(strcmp(cadena_hora, cadena_hora_2)!=0){ + if(*((FILE**)fp) != NULL) + fclose(*((FILE**)fp)); + strcpy(cadena_hora_2, cadena_hora); + *((FILE**)fp) = fopen( cadena_hora,"a"); + } + */ + fseek(*((FILE**)fp), 0, SEEK_END); + if((ftell(*((FILE**)fp)))==0){ + fprintf(*((FILE**)fp),"%s %s <%d> 1 Sec. Raw data\n\n",station,magnetometer,timeinfo->tm_yday); + fprintf(*((FILE**)fp),"HH MM SS channel(H) channel(D) channel(D) channel(Ts) channel(Tc)\n\n"); + fflush(stdout); + } + + fprintf(*((FILE**)fp),"%02d %02d %02d ",timeinfo->tm_hour,timeinfo->tm_min,timeinfo->tm_sec); + fprintf(*((FILE**)fp),"%+08li %+08li %+08li %+08li %+08li\n",(promedios[0]/16),(promedios[1]/16),(promedios[2]/16),(promedios[3]/16),(promedios[4]/16)); + fflush(stdout); + fclose(*((FILE**)fp)); +} + +void crear_archivo_minv(FILE** fp,long datos[CH_N]){ + char cadena_hora[256]; + //static char cadena_hora_2[256]=""; + double mvT1, mvT2; + + time_t rawtime; + struct tm * timeinfo; + + time ( &rawtime ); + timeinfo = localtime ( &rawtime ); + + format_time_minv(cadena_hora); + fflush(stdout); + + *((FILE**)fp) = fopen( cadena_hora,"a"); + + /* + if(strcmp(cadena_hora, cadena_hora_2)!=0){ + if(*((FILE**)fp) != NULL) + fclose(*((FILE**)fp)); + strcpy(cadena_hora_2, cadena_hora); + *((FILE**)fp) = fopen( cadena_hora,"a"); + } + */ + fseek(*((FILE**)fp), 0, SEEK_END); + + + + if((ftell(*((FILE**)fp)))==0){ + printf("%s\n",cadena_hora); + fflush(stdout); + fprintf(*((FILE**)fp),"%s %s <%03d> 1 Min. Reported data\n\n",station,magnetometer,timeinfo->tm_yday); + fprintf(*((FILE**)fp)," DD MM YYYY HH MM H(mv) D(mv) Z(mv) Ts(mv) Tc(mv)\n\n"); + fflush(stdout); + } + + mvX = (datos[0]/8388607.0)*2500.0 - OffsetH; + mvY = (datos[1]/8388607.0)*2500.0 - OffsetD; + mvZ = (datos[2]/8388607.0)*2500.0 - OffsetZ; + mvT1 = (datos[3]/8388607.0)*2500.0 - OffsetTs; + mvT2 = (datos[4]/8388607.0)*2500.0 - OffsetTc; + + fprintf(*((FILE**)fp),"%02d %02d %04d %02d %02d ",timeinfo->tm_mday, timeinfo->tm_mon + 1, timeinfo->tm_year + 1900,timeinfo->tm_hour,timeinfo->tm_min); + fprintf(*((FILE**)fp),"%+09.3f %+09.3f %+09.3f %+09.3f %+09.3f\n",mvX,mvY,mvZ,mvT1,mvT2); + + fflush(stdout); + fclose(*((FILE**)fp)); +} + +void crear_archivo_minm(FILE** fp){ + char cadena_hora[256]; + //static char cadena_hora_2[256]=""; + double datachannelX,datachannelY,datachannelZ,datachannelI,datachannelF; + + time_t rawtime; + struct tm * timeinfo; + + time ( &rawtime ); + timeinfo = localtime ( &rawtime ); + + format_time_minm(cadena_hora); + strcpy(filesminm,cadena_hora); + + fflush(stdout); + + *((FILE**)fp) = fopen( cadena_hora,"a"); + /* + if(strcmp(cadena_hora, cadena_hora_2)!=0){ + if(*((FILE**)fp) != NULL) + fclose(*((FILE**)fp)); + strcpy(cadena_hora_2, cadena_hora); + *((FILE**)fp) = fopen( cadena_hora,"a"); + } + */ + fseek(*((FILE**)fp), 0, SEEK_END); + if((ftell(*((FILE**)fp)))==0){ + fprintf(*((FILE**)fp),"%s %s <%03d> 1 Min. Reported data\n\n",station,magnetometer,timeinfo->tm_yday); + fprintf(*((FILE**)fp)," DD MM YYYY HH MM D(Deg) H(nt) Z(nt) I(Deg) F(nt)\n\n"); + fflush(stdout); + } + + datachannelX = LBaseH + mvX/ScaleH; + datachannelY = LBaseD + (mvY/ScaleD)*(3438/(Hmean*60)); + datachannelZ = LBaseZ + mvZ/ScaleZ; + datachannelI = atan2(datachannelZ,datachannelX)*((double)1/3.1416); + datachannelF = sqrt(pow(datachannelX,(double)2) + pow(datachannelZ,(double)2)); + + fprintf(*((FILE**)fp),"%02d %02d %04d %02d %02d ",timeinfo->tm_mday, timeinfo->tm_mon + 1, timeinfo->tm_year + 1900,timeinfo->tm_hour,timeinfo->tm_min); + fprintf(*((FILE**)fp),"%+08.4f %+08.1f %+08.1f %+08.4f %+08.1f\n",datachannelY,datachannelX,datachannelZ,datachannelI,datachannelF); + fflush(stdout); + fclose(*((FILE**)fp)); +} + +/* +void crea_archivo(FILE** fp, int dato){ + char cadena_hora[256]; + static char cadena_hora_2[256]=""; + format_time(cadena_hora); + //fflush(stdout); + + if(strcmp(cadena_hora, cadena_hora_2)!=0){ + if(*((FILE**)fp) != NULL) + fclose(*((FILE**)fp)); + strcpy(cadena_hora_2, cadena_hora); + *((FILE**)fp) = fopen( cadena_hora,"a"); + fprintf(*((FILE**)fp), "This is testing for fprintf %s Dato: %02x...\n", cadena_hora,dato); + fflush(stdout); + } + else{ + fprintf((*((FILE**)fp)), "This is testing for fprintf %s Dato: %02x...\n", cadena_hora,dato); + fflush(stdout); + } +} +*/ Index: trunk/firmware/sources/Integration/Storage&Communication/ForTestRX/spidev_test_deterr_v03.c =================================================================== diff --git a/trunk/firmware/sources/Integration/Storage&Communication/ForTestRX/spidev_test_deterr_v03.c b/trunk/firmware/sources/Integration/Storage&Communication/ForTestRX/spidev_test_deterr_v03.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/Storage&Communication/ForTestRX/spidev_test_deterr_v03.c (revision 224) @@ -0,0 +1,294 @@ +/* + * SPI testing utility (using spidev driver) + * + * Copyright (c) 2007 MontaVista Software, Inc. + * Copyright (c) 2007 Anton Vorontsov + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License. + * + * Cross-compile with cross-gcc -I/path/to/cross-kernel/include + */ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#define ARRAY_SIZE(a) (sizeof(a) / sizeof((a)[0])) + +static void pabort(const char *s) +{ + perror(s); + abort(); +} + +static const char *device = "/dev/spidev0.0"; +static uint8_t mode = 3; +static uint8_t bits = 8; +static uint32_t speed = 8000000; +static uint16_t delay; + +static void transfer(int fd) +{ + int ret; + int i; + uint8_t tx[2500]; + uint8_t rx[ARRAY_SIZE(tx)] = {0, }; + struct spi_ioc_transfer tr = { + .tx_buf = (unsigned long)tx, + .rx_buf = (unsigned long)rx, + .len = ARRAY_SIZE(tx), + .delay_usecs = delay, + .speed_hz = speed, + .bits_per_word = bits, + }; + for ( i=0; i < 2500; i++) { + tx[i] = 0x63; + } + int j=0; + long long cont3=0; + long long cont3total=0; + clock_t t1 = clock(); + long long conterrores=0; + for ( i=0; i < 2; ) { + cont3=0; + ret = ioctl(fd, SPI_IOC_MESSAGE(1), &tr); + for(j=0;j<2500;j++){ + if(rx[j]==98){ + if(rx[j+1]!=156){ + printf("%02X\n",rx[j]); + printf("%02X\n",rx[j+1]); + printf("%02X\n",rx[j+2]); + printf("%02X\n",rx[j+3]); + while(1){ + printf("ERROR."); + while(1){ + sleep(1); + } + } + cont3++;} + } + cont3total++; + //if(rx[j]==98||rx[j]==129||rx[j]==130||rx[j]==131||rx[j]==132) printf("%02X\n",rx[j]); + //if(rx[j]==98){ + // if(rx[j+1]!=129){ + // if(rx[j+1]!=127){ + // conterrores++; + // printf("%02X\n",rx[j+1]); + // } + // cont3++; + // } + // + //} + + //if(rx[j]==98||rx[j]==36||rx[j]=='M'||rx[j]=='m'||rx[j]=='Y'||rx[j]=='h'){ + // if(cont3>4){ + // printf("Error en la TX.\n"); + // printf("%02X\n",rx[j-4]); + // printf("%02X\n",rx[j-3]); + // printf("%02X\n",rx[j-2]); + // printf("%02X\n",rx[j-1]); + // } + + // cont3=0; + //cont3total++; + //printf("%02X\n",rx[j]); + + //} + //if(rx[j]!=0){ + // cont3++; + //} + + //if(cont3==5){ + // if(rx[j]!=98){ + // //if(rx[j]==18){ + // printf("Error de TX:\n"); + // printf("%02X\n",rx[j-1]); + // printf("%02X\n",rx[j]); + // conterrores++; + + + // } + + //} + //else{ + // if(cont3>5 && rx[j]!=0) + // printf("%02X\n",rx[j]); + //} + + //else{ + // if(cont3>4) + // printf("Error de envio.\n"); + // cont3=0; + //} + + } + if (cont3!=0) + printf("Numero de paquetes: %lld\n",cont3); + sleep(1); + printf("Fin de espera\n"); + } + + clock_t t2 = clock(); + printf("The time taken is..t1=%G...t2=%G... (t2-t1)=%G...(t2-t1)/CLOCKS_PER_SEC=%G...CLOCKS_PER_SEC=%G...Per byte(us) = %G", (double)(t1), (double)(t2),(double)(t2-t1),(double)(t2-t1)/CLOCKS_PER_SEC,(double)CLOCKS_PER_SEC,(double)(t2-t1)*1000000/(CLOCKS_PER_SEC*(double)(4096*768))); + printf("\ncontador cont3total= %lld",cont3total); + printf("\ncontador de bytes con valores distintos de cero=%lld",cont3); + printf("\nContador errores= %lld",conterrores); + if (ret < 1) + pabort("can't send spi message"); + + //for (ret = 0; ret < ARRAY_SIZE(tx); ret++) { + // if (!(ret % 6)) + // puts(""); + // printf("%.2X ", rx[ret]); + //} + puts(""); +} + +static void print_usage(const char *prog) +{ + printf("Usage: %s [-DsbdlHOLC3]\n", prog); + puts(" -D --device device to use (default /dev/spidev1.1)\n" + " -s --speed max speed (Hz)\n" + " -d --delay delay (usec)\n" + " -b --bpw bits per word \n" + " -l --loop loopback\n" + " -H --cpha clock phase\n" + " -O --cpol clock polarity\n" + " -L --lsb least significant bit first\n" + " -C --cs-high chip select active high\n" + " -3 --3wire SI/SO signals shared\n"); + exit(1); +} + +static void parse_opts(int argc, char *argv[]) +{ + while (1) { + static const struct option lopts[] = { + { "device", 1, 0, 'D' }, + { "speed", 1, 0, 's' }, + { "delay", 1, 0, 'd' }, + { "bpw", 1, 0, 'b' }, + { "loop", 0, 0, 'l' }, + { "cpha", 0, 0, 'H' }, + { "cpol", 0, 0, 'O' }, + { "lsb", 0, 0, 'L' }, + { "cs-high", 0, 0, 'C' }, + { "3wire", 0, 0, '3' }, + { "no-cs", 0, 0, 'N' }, + { "ready", 0, 0, 'R' }, + { NULL, 0, 0, 0 }, + }; + int c; + + c = getopt_long(argc, argv, "D:s:d:b:lHOLC3NR", lopts, NULL); + + if (c == -1) + break; + + switch (c) { + case 'D': + device = optarg; + break; + case 's': + speed = atoi(optarg); + break; + case 'd': + delay = atoi(optarg); + break; + case 'b': + bits = atoi(optarg); + break; + case 'l': + mode |= SPI_LOOP; + break; + case 'H': + mode |= SPI_CPHA; + break; + case 'O': + mode |= SPI_CPOL; + break; + case 'L': + mode |= SPI_LSB_FIRST; + break; + case 'C': + mode |= SPI_CS_HIGH; + break; + case '3': + mode |= SPI_3WIRE; + break; + case 'N': + mode |= SPI_NO_CS; + break; + case 'R': + mode |= SPI_READY; + break; + default: + print_usage(argv[0]); + break; + } + } +} + +int main(int argc, char *argv[]) +{ + int ret = 0; + int fd; + + parse_opts(argc, argv); + + fd = open(device, O_RDWR); + if (fd < 0) + pabort("can't open device"); + + /* + * spi mode + */ + ret = ioctl(fd, SPI_IOC_WR_MODE, &mode); + if (ret == -1) + pabort("can't set spi mode"); + + ret = ioctl(fd, SPI_IOC_RD_MODE, &mode); + if (ret == -1) + pabort("can't get spi mode"); + + /* + * bits per word + */ + ret = ioctl(fd, SPI_IOC_WR_BITS_PER_WORD, &bits); + if (ret == -1) + pabort("can't set bits per word"); + + ret = ioctl(fd, SPI_IOC_RD_BITS_PER_WORD, &bits); + if (ret == -1) + pabort("can't get bits per word"); + + /* + * max speed hz + */ + ret = ioctl(fd, SPI_IOC_WR_MAX_SPEED_HZ, &speed); + if (ret == -1) + pabort("can't set max speed hz"); + + ret = ioctl(fd, SPI_IOC_RD_MAX_SPEED_HZ, &speed); + if (ret == -1) + pabort("can't get max speed hz"); + + printf("spi mode: %d\n", mode); + printf("bits per word: %d\n", bits); + printf("max speed: %d Hz (%d KHz)\n", speed, speed/1000); + + transfer(fd); + + close(fd); + + return ret; +} Index: trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm.atsln =================================================================== diff --git a/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm.atsln b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm.atsln new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm.atsln (revision 224) @@ -0,0 +1,20 @@ + +Microsoft Visual Studio Solution File, Format Version 11.00 +# Atmel Studio Solution File, Format Version 11.00 +Project("{18226A42-8477-4023-8AD2-40C49DA407C9}") = "time_engine_asm", "time_engine_asm\time_engine_asm.asmproj", "{594A91A5-03DC-4F7D-A86A-7F24849F6869}" +EndProject +Global + GlobalSection(SolutionConfigurationPlatforms) = preSolution + Debug|AVR = Debug|AVR + Release|AVR = Release|AVR + EndGlobalSection + GlobalSection(ProjectConfigurationPlatforms) = postSolution + {594A91A5-03DC-4F7D-A86A-7F24849F6869}.Debug|AVR.ActiveCfg = Debug|AVR + {594A91A5-03DC-4F7D-A86A-7F24849F6869}.Debug|AVR.Build.0 = Debug|AVR + {594A91A5-03DC-4F7D-A86A-7F24849F6869}.Release|AVR.ActiveCfg = Release|AVR + {594A91A5-03DC-4F7D-A86A-7F24849F6869}.Release|AVR.Build.0 = Release|AVR + EndGlobalSection + GlobalSection(SolutionProperties) = preSolution + HideSolutionNode = FALSE + EndGlobalSection +EndGlobal =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm.atsuo =================================================================== diff --git a/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm.atsuo b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm.atsuo new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm.atsuo (revision 224) Index: trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/Debug/time_engine_asm.hex =================================================================== diff --git a/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/Debug/time_engine_asm.hex b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/Debug/time_engine_asm.hex new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/Debug/time_engine_asm.hex (revision 224) @@ -0,0 +1,61 @@ +:020000020000FC +:040000000C94AE00AE +:100064000C94C0001FEF0FEF12B901B9000005EFA7 +:1000740010E715B904B900000FEF10E007B918B97B +:1000840000000CEF1CEF1BB90AB900000EEC18ECD1 +:100094001EB90DB900001FEF0FEF11BB00BB00002C +:1000A4001FEF0FEF14BB03BB00001CEF0EEF109308 +:1000B40002010093010100001FEF0FEF10930501EF +:1000C4000093040100001FEF0FEF10930B01009346 +:1000D4000A0100001FEF0FEF1093080100930701BE +:1000E40000001CEF0EEF10930201009301010000C9 +:1000F400089507E610E00093C4001093C50008E1DA +:100104000093C00008E90093C10006E00093C20018 +:10011400089503E310E00093D4001093D50008E1A0 +:100124000093D00008E10093D10006E00093D200D0 +:100134000895AAE4B2E004E20D9307E40D9300E508 +:100144000D9302E50D930DE40D9303E40D930CE27E +:100154000D930DE20D9308950FEF0DBF01E20EBF55 +:1001640081DFC7DFD6DFE5DF0FEF08B9AAE4B2E02D +:10017400C0E0D2E069D07894A895FECF0091C60083 +:100184001D911D3299F0011729F0AAE4B2E0C0E0F4 +:10019400D2E018950C3209F0189508B10F3F19F404 +:1001A400002708B918950FEF08B918951197099306 +:1001B4000D3009F01895AAE4B2E0C0E0D2E001D015 +:1001C40018950ED0C7E0D2E00881013429F408D094 +:1001D4000FEF00930B0108950EEF00930B010895A8 +:1001E40004E20AD002E508D00FE406D00AE404D001 +:1001F4000CE202D008D008951091D00015FFFCCF76 +:100204000093D6000895C0E0D2E009910D3011F0BA +:10021400F3DFFBCF01D00895E0E4F2E0FD1739F4F9 +:10022400EC1729F40DE0E8DF0AE0E6DF08950FE5B6 +:10023400E3DF2196F3CF0FEF1FEF1A9509F0FDCFFF +:100244000A9509F0F9CF0895A89500916000086116 +:10025400009360000EE000936000089508E4CCDF92 +:100264000FE6CADF0CE6C8DF01E6C6DF00E2C4DF42 +:100274000DE4C2DF05E7C0DF0EE6BEDF04E6BCDF47 +:100284000FE6BADF0DE0B8DF0AE0B6DF08E4B4DF5A +:100294000FE6B2DF0CE6B0DF01E6AEDF00E2ACDF72 +:1002A4000DE4AADF05E7A8DF0EE6A6DF04E6A4DF77 +:1002B4000FE6A2DF00E2A0DF0DE09EDF0AE09CDF94 +:1002C40008E49ADF0FE698DF0CE696DF01E694DF98 +:1002D40000E292DF0DE490DF05E78EDF0EE68CDFAF +:1002E40004E68ADF0FE688DF00E286DF0DE084DFC4 +:1002F4000AE082DF08E480DF0FE67EDF0CE67CDFC5 +:1003040001E67ADF00E278DF0DE476DF05E774DFEB +:100314000EE672DF04E670DF0FE66EDF00E26CDFEC +:100324000DE06ADF0AE068DF08E466DF0FE664DFF9 +:100334000CE662DF01E660DF00E25EDF0DE45CDF15 +:1003440005E75ADF0EE658DF04E656DF0FE654DF12 +:1003540000E252DF0DE050DF0AE04EDF08E44CDF3C +:100364000FE64ADF0CE648DF01E646DF00E244DF41 +:100374000DE442DF05E740DF0EE63EDF04E63CDF46 +:100384000FE63ADF00E238DF0DE036DF0AE034DF63 +:1003940008E432DF0FE630DF0CE62EDF01E62CDF67 +:1003A40000E22ADF0DE428DF05E726DF0EE624DF7E +:1003B40004E622DF0FE620DF0DE01EDF0AE01CDF8B +:1003C40008E41ADF0FE618DF0CE616DF01E614DF97 +:1003D40000E212DF0DE410DF05E70EDF0EE60CDFAE +:1003E40004E60ADF0FE608DF0DE006DF0AE004DFBB +:1003F40003E202DF0DE000DF0AE0FEDE1CDF089509 +:00000001FF Index: trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/Debug/time_engine_asm.lss =================================================================== diff --git a/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/Debug/time_engine_asm.lss b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/Debug/time_engine_asm.lss new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/Debug/time_engine_asm.lss (revision 224) @@ -0,0 +1,2654 @@ + +AVRASM ver. 2.1.57 C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm Tue May 24 14:25:38 2016 + +[builtin](2): Including file 'C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR Assembler\Native\2.1.1175\avrassembler\Include\m2560def.inc' + + /* + + ;***** Created: 2011-02-09 12:03 ******* Source: ATmega2560.xml ********** + ;************************************************************************* + ;* A P P L I C A T I O N N O T E F O R T H E A V R F A M I L Y + ;* + ;* Number : AVR000 + ;* File Name : "m2560def.inc" + ;* Title : Register/Bit Definitions for the ATmega2560 + ;* Date : 2011-02-09 + ;* Version : 2.35 + ;* Support E-mail : avr@atmel.com + ;* Target MCU : ATmega2560 + ;* + ;* DESCRIPTION + ;* When including this file in the assembly program file, all I/O register + ;* names and I/O register bit names appearing in the data book can be used. + ;* In addition, the six registers forming the three data pointers X, Y and + ;* Z have been assigned names XL - ZH. Highest RAM address for Internal + ;* SRAM is also defined + ;* + ;* The Register names are represented by their hexadecimal address. + ;* + ;* The Register Bit names are represented by their bit number (0-7). + ;* + ;* Please observe the difference in using the bit names with instructions + ;* such as "sbr"/"cbr" (set/clear bit in register) and "sbrs"/"sbrc" + ;* (skip if bit in register set/cleared). The following example illustrates + ;* this: + ;* + ;* in r16,PORTB ;read PORTB latch + ;* sbr r16,(1< +0000db f009 breq fin_gprmc +0000dc 9518 reti + + fin_gprmc: +0000dd e4aa ldi XL, low(header_rmc) +0000de e0b2 ldi XH, high(header_rmc) +0000df e0c0 ldi YL, low(sgprmc) +0000e0 e0d2 ldi YH, high(sgprmc) +0000e1 d001 rcall eval_lock +0000e2 9518 reti + + eval_lock: +0000e3 d00e rcall envio_uart02 +0000e4 e0c7 ldi YL, low(sgprmc+7) //Posicion 7(LSB0) del dato válido o inválido en la trama GPRMC +0000e5 e0d2 ldi YH, high(sgprmc+7) +0000e6 8108 ld temp_1, Y +0000e7 3401 cpi temp_1, 0x41 //Comparacion A +0000e8 f429 brne deslock +0000e9 d008 rcall envio_uart02 +0000ea ef0f ldi temp_1, 0xFF //Enciende lock +0000eb 9300 010b sts PORTL, temp_1 +0000ed 9508 ret + deslock: +0000ee ef0e ldi temp_1, 0xFE //Apaga lock +0000ef 9300 010b sts PORTL, temp_1 +0000f1 9508 ret + + envio_uart02: + //Envio Cabecera $ROJ +0000f2 e204 ldi temp_1,0x24 //$ +0000f3 d00a rcall wr_uart2 +0000f4 e502 ldi temp_1,0x52 //R +0000f5 d008 rcall wr_uart2 +0000f6 e40f ldi temp_1,0x4F //O +0000f7 d006 rcall wr_uart2 +0000f8 e40a ldi temp_1,0x4A //J +0000f9 d004 rcall wr_uart2 +0000fa e20c ldi temp_1,',' //J +0000fb d002 rcall wr_uart2 +0000fc d008 rcall envio_tabla_gprmc +0000fd 9508 ret + + wr_uart2: +0000fe 9110 00d0 lds temp_2, UCSR2A +000100 ff15 sbrs temp_2, UDRE2 +000101 cffc rjmp wr_uart2 +000102 9300 00d6 sts UDR2, temp_1 +000104 9508 ret + + envio_tabla_gprmc: +000105 e0c0 ldi YL, low(sgprmc) //Posicion 7(LSB0) del dato válido o inválido en la trama GPRMC +000106 e0d2 ldi YH, high(sgprmc) + cont_uart2: +000107 9109 ld temp_1,Y+ +000108 300d cpi temp_1, 0x0D // +000109 f011 breq fin_tabla_gprmc +00010a dff3 rcall wr_uart2 +00010b cffb rjmp cont_uart2 + fin_tabla_gprmc: +00010c d001 rcall completa_uart2 +00010d 9508 ret + + completa_uart2: +00010e e4e0 ldi ZL,low(sgprmc+64) +00010f e0f2 ldi ZH,high(sgprmc+64) + eval_uart2: +000110 17fd cp ZH, YH +000111 f439 brne envia_rell_uart2 +000112 17ec cp ZL, YL +000113 f429 brne envia_rell_uart2 +000114 e00d ldi temp_1,0x0D +000115 dfe8 rcall wr_uart2 +000116 e00a ldi temp_1,0x0A +000117 dfe6 rcall wr_uart2 +000118 9508 ret + envia_rell_uart2: +000119 e50f ldi temp_1, '_' +00011a dfe3 rcall wr_uart2 +00011b 9621 adiw YL,1 +00011c cff3 rjmp eval_uart2 + + delay_intwr: +00011d ef0f ldi temp_1, 255 + recarga_temp_2: +00011e ef1f ldi temp_2, 255 + dec_temp_2: +00011f 951a dec temp_2 +000120 f009 breq dec_temp_1 +000121 cffd rjmp dec_temp_2 + dec_temp_1: +000122 950a dec temp_1 +000123 f009 breq fin_delay_intwr +000124 cff9 rjmp recarga_temp_2 + fin_delay_intwr: +000125 9508 ret + + wdt_ini: +000126 95a8 wdr +000127 9100 0060 lds temp_1, WDTCSR +000129 6108 ori temp_1, (1< + 2.1.57 + "ATmega2560" + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\Debug + + C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR Assembler\Native\2.1.1175\avrassembler\Include + C:\Program Files (x86)\Atmel\AVR Tools\AvrAssembler2\Appnotes + + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm + + C:\Program Files (x86)\Atmel\Atmel Toolchain\AVR Assembler\Native\2.1.1175\avrassembler\Include\m2560def.inc + + + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\Debug/time_engine_asm.obj + + + time_engine_asm.hex + + + time_engine_asm.map + time_engine_asm.lss + + + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm14 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm15 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm186 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm212 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm29 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm119 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm134 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm158 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm349 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm203 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm249 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm226 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm231 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm244 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm256 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm264 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm280 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm275 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm295 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm302 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm305 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm311 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm315 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm318 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm328 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm334 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm336 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm338 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm342 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm346 + C:\Users\Francisco\Documents\Atmel Studio\6.2\MEGA2569_UART\time_engine_asm\time_engine_asm\time_engine_asm.asm357 + + Index: trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/time_engine_asm.asm =================================================================== diff --git a/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/time_engine_asm.asm b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/time_engine_asm.asm new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Integration/TimeEngine/MEGA2569_UART/time_engine_asm/time_engine_asm/time_engine_asm.asm (revision 224) @@ -0,0 +1,758 @@ +/* + * time_engine_asm.asm + * + * Created: 15/03/16 10:13:18 + * Author: Francisco + */ + +.equ f_cpu = 8000000 +.def temp_1 = R16 +.def temp_2 = R17 + +.dseg +.equ size_of_gprmc = 74 +sgprmc: .byte size_of_gprmc +header_rmc: .byte 8 + ;.db 0x24 ;$ + ;.db 0x47 ;G + ;.db 0x50 ;P + ;.db 0x52 ;R + ;.db 0x4D ;M + ;.db 0x43 ;C + ;.db 0x2C ;, + ;.db 0x2D ;- +.cseg +.org 0x0000 + jmp start +.org 0x0032 + jmp rx_subint +pin_conf_1: + + //Salidas al aire Puerto A + ldi temp_2, 0xFF + ldi temp_1, 0xFF + OUT PORTA, temp_2 + OUT DDRA, temp_1 + nop + + //Salidas al aire Puerto B + ldi temp_1, 0b11110101 + ldi temp_2, 0b01110000 + OUT PORTB, temp_2 + OUT DDRB, temp_1 + nop + + //Salidas AUX Puerto C + ldi temp_1, 0xFF + ldi temp_2, 0x00 + OUT DDRC, temp_1 + OUT PORTC,temp_2 + nop + + //Salidas PD1:SDA PD0:SCL Puerto D + ldi temp_1, 0b11111100 + ldi temp_2, 0b11111100 + OUT PORTD, temp_2 + OUT DDRD, temp_1 + nop + + //P7,P6,P3: Al aire -- PE5: bar_INVALID--PE4: PPS_IN--PE2: bar_EN_RS232--PE1:TX_RS232--PE0:RX_RS232 + ldi temp_1, 0b11001110 + ldi temp_2, 0b11001000 + OUT PORTE, temp_2 + OUT DDRE, temp_1 + nop + + //Salidas al aire Puerto F + ldi temp_2, 0xFF + ldi temp_1, 0xFF + OUT PORTF, temp_2 + OUT DDRF, temp_1 + nop + + //Salidas al aire Puerto G + ldi temp_2, 0xFF + ldi temp_1, 0xFF + OUT PORTG, temp_2 + OUT DDRG, temp_1 + nop + + + //Puertos al aire PORTH excepto PH1 Salida-UART2_TX y PH0 Entrada UART2_RX + ldi temp_2, 0b11111100 + ldi temp_1, 0b11111110 + STS PORTH, temp_2 + STS DDRH, temp_1 + nop + + //Salidas al aire Puerto J + ldi temp_2, 0xFF + ldi temp_1, 0xFF + STS PORTJ, temp_2 + STS DDRJ, temp_1 + nop + + //Salidas al aire Puerto L excepto PL7 + ldi temp_2, 0xFF + ldi temp_1, 0xFF + STS PORTL, temp_2 + STS DDRL, temp_1 + nop + + //Salidas Puerto K al GPS ENABLE que irá en tarjeta separada + //Salidas al PLL que está deshabilitado + ldi temp_2, 0xFF + ldi temp_1, 0xFF + STS PORTK, temp_2 + STS DDRK, temp_1 + nop + + //Puertos al aire PORTH excepto PH1 Salida-UART2_TX y PH0 Entrada UART2_RX + ldi temp_2, 0b11111100 + ldi temp_1, 0b11111110 + STS PORTH, temp_2 + STS DDRH, temp_1 + nop + + + ret +uart0_conf: + ldi temp_1,103 + ldi temp_2,0 + sts UBRR0L,temp_1 + sts UBRR0H,temp_2 + ldi temp_1,(0< + breq fin_gprmc + reti + +fin_gprmc: + ldi XL, low(header_rmc) + ldi XH, high(header_rmc) + ldi YL, low(sgprmc) + ldi YH, high(sgprmc) + rcall eval_lock + reti + +eval_lock: + rcall envio_uart02 + ldi YL, low(sgprmc+7) //Posicion 7(LSB0) del dato válido o inválido en la trama GPRMC + ldi YH, high(sgprmc+7) + ld temp_1, Y + cpi temp_1, 0x41 //Comparacion A + brne deslock + rcall envio_uart02 + ldi temp_1, 0xFF //Enciende lock + sts PORTL, temp_1 + ret +deslock: + ldi temp_1, 0xFE //Apaga lock + sts PORTL, temp_1 + ret + +envio_uart02: + //Envio Cabecera $ROJ + ldi temp_1,0x24 //$ + rcall wr_uart2 + ldi temp_1,0x52 //R + rcall wr_uart2 + ldi temp_1,0x4F //O + rcall wr_uart2 + ldi temp_1,0x4A //J + rcall wr_uart2 + ldi temp_1,',' //J + rcall wr_uart2 + rcall envio_tabla_gprmc + ret + +wr_uart2: + lds temp_2, UCSR2A + sbrs temp_2, UDRE2 + rjmp wr_uart2 + sts UDR2, temp_1 + ret + +envio_tabla_gprmc: + ldi YL, low(sgprmc) //Posicion 7(LSB0) del dato válido o inválido en la trama GPRMC + ldi YH, high(sgprmc) +cont_uart2: + ld temp_1,Y+ + cpi temp_1, 0x0D // + breq fin_tabla_gprmc + rcall wr_uart2 + rjmp cont_uart2 +fin_tabla_gprmc: + rcall completa_uart2 + ret + +completa_uart2: + ldi ZL,low(sgprmc+64) + ldi ZH,high(sgprmc+64) +eval_uart2: + cp ZH, YH + brne envia_rell_uart2 + cp ZL, YL + brne envia_rell_uart2 + ldi temp_1,0x0D + rcall wr_uart2 + ldi temp_1,0x0A + rcall wr_uart2 + ret +envia_rell_uart2: + ldi temp_1, '_' + rcall wr_uart2 + adiw YL,1 + rjmp eval_uart2 + +delay_intwr: + ldi temp_1, 255 +recarga_temp_2: + ldi temp_2, 255 +dec_temp_2: + dec temp_2 + breq dec_temp_1 + rjmp dec_temp_2 +dec_temp_1: + dec temp_1 + breq fin_delay_intwr + rjmp recarga_temp_2 +fin_delay_intwr: + ret + +wdt_ini: + wdr + lds temp_1, WDTCSR + ori temp_1, (1< + + + 2.0 + 6.2 + com.Atmel.AVRAssembler + {594a91a5-03dc-4f7d-a86a-7f24849f6869} + none + ATmega2560 + $(MSBuildProjectName) + .obj + $(MSBuildProjectDirectory)\$(Configuration) + ASSEMBLY + time_engine_asm + time_engine_asm + time_engine_asm + $(MSBuildProjectDirectory)\time_engine_asm.asm + Native + true + false + true + true + 0x20000000 + + true + exception_table + 2 + 0 + + + + + + + + + + + + + com.atmel.avrdbg.tool.atmelice + + + + 8000 + 200000 + + ISP + + com.atmel.avrdbg.tool.atmelice + J41800030896 + Atmel-ICE + + ISP + + + + + (%24IncludeFile) + + + Executable + + + + + (%24IncludeFile) + + + + + + Code + + + + \ No newline at end of file Index: trunk/firmware/sources/Storage_Sockets/test_tx/gpio2_test.c =================================================================== diff --git a/trunk/firmware/sources/Storage_Sockets/test_tx/gpio2_test.c b/trunk/firmware/sources/Storage_Sockets/test_tx/gpio2_test.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Storage_Sockets/test_tx/gpio2_test.c (revision 224) @@ -0,0 +1,78 @@ +// Code adapted from example by Gert van Loo & Dom +// Example code from: http://elinux.org/Rpi_Low-level_peripherals#C_2 + +// flashes (blinks) LED on Raspberry PI GPIO7 + +#define BCM2708_PERI_BASE 0x20000000 +#define GPIO_BASE (BCM2708_PERI_BASE + 0x200000) /* GPIO controller */ + + +#include +#include +#include +#include +#include + +#define BLOCK_SIZE (4*1024) + +int mem_fd; +void *gpio_map; + +// I/O access +volatile unsigned *gpio; + + +// GPIO setup macros. Always use INP_GPIO(x) before using OUT_GPIO(x) or SET_GPIO_ALT(x,y) +#define INP_GPIO(g) *(gpio+((g)/10)) &= ~(7<<(((g)%10)*3)) +#define OUT_GPIO(g) *(gpio+((g)/10)) |= (1<<(((g)%10)*3)) +#define SET_GPIO_ALT(g,a) *(gpio+(((g)/10))) |= (((a)<=3?(a)+4:(a)==4?3:2)<<(((g)%10)*3)) + +#define GPIO_SET *(gpio+7) // sets bits which are 1 ignores bits which are 0 +#define GPIO_CLR *(gpio+10) // clears bits which are 1 ignores bits which are 0 +#define GET_GPIO(g) (*(gpio+13)&(1< bit is 1 <- port is HIGH=3.3V + { printf("Button pressed!\n"); + return 0; + } + else // port is LOW=0V + { printf("Button released!\n"); + return 1; + } +} + +// +// Set up a memory regions to access GPIO +// +void setup_io() +{ + /* open /dev/mem */ + printf("Inicio Setup.\n"); + if ((mem_fd = open("/dev/mem", O_RDWR|O_SYNC) ) < 0) { + printf("can't open /dev/mem \n"); + exit(-1); + } + + /* mmap GPIO */ + gpio_map = mmap( + NULL, //Any adddress in our space will do + BLOCK_SIZE, //Map length + PROT_READ|PROT_WRITE, // Enable reading & writting to mapped memory + MAP_SHARED, //Shared with other processes + mem_fd, //File to map + GPIO_BASE //Offset to GPIO peripheral + ); + + close(mem_fd); //No need to keep mem_fd open after mmap + + if (gpio_map == MAP_FAILED) { + printf("mmap error %d\n", (int)gpio_map); //errno also set! + exit(-1); + } + + // Always use volatile pointer! + gpio = (volatile unsigned *)gpio_map; +} // setup_io() + Index: trunk/firmware/sources/Storage_Sockets/test_tx/gpio2_test.h =================================================================== diff --git a/trunk/firmware/sources/Storage_Sockets/test_tx/gpio2_test.h b/trunk/firmware/sources/Storage_Sockets/test_tx/gpio2_test.h new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Storage_Sockets/test_tx/gpio2_test.h (revision 224) @@ -0,0 +1,30 @@ + +#define BCM2708_PERI_BASE 0x20000000 +#define GPIO_BASE (BCM2708_PERI_BASE + 0x200000) /* GPIO controller */ + + +#include +#include +#include +#include +#include + +#define BLOCK_SIZE (4*1024) + +int mem_fd; +void *gpio_map; + +// I/O access +volatile unsigned *gpio; + + +// GPIO setup macros. Always use INP_GPIO(x) before using OUT_GPIO(x) or SET_GPIO_ALT(x,y) +#define INP_GPIO(g) *(gpio+((g)/10)) &= ~(7<<(((g)%10)*3)) +#define OUT_GPIO(g) *(gpio+((g)/10)) |= (1<<(((g)%10)*3)) +#define SET_GPIO_ALT(g,a) *(gpio+(((g)/10))) |= (((a)<=3?(a)+4:(a)==4?3:2)<<(((g)%10)*3)) + +#define GPIO_SET *(gpio+7) // sets bits which are 1 ignores bits which are 0 +#define GPIO_CLR *(gpio+10) // clears bits which are 1 ignores bits which are 0 +#define GET_GPIO(g) (*(gpio+13)&(1< +#include +#include +#include "./sr_clinit.h" +#include "./gpio2_test.h" + +#define False 1 +#define True 0 +#define pin 17 //GPIO[17] GPIOGEN(0) PIN11 P1 + +int main(int argc, char *argv[]) +{ + int pin_value = False; + time_t current_time; + int count = 0; + + setup_io(); + + if (argc != 4) + { + printf("Usage : %s \n", argv[0]); + return 1; + } + + // init GPIO[17] to be INPUT port + INP_GPIO(pin); + + while (1) + { + current_time = time(NULL); + pin_value = printButton(pin); + count ++; + printf("\n\n%s\n", ctime(¤t_time)); + printf("Work station call help [%d] time\n", count); + if (True == pin_value) + { + if (0 != socket_clinit_main(argc,argv)) + { + printf("cannot call help 1\n"); + return 1; + } + + } + else + { + if (0 != socket_clinit_main_2(argc,argv)) + { + printf("cannot call help 2\n"); + return 1; + } + } + sleep(5); + } +} Index: trunk/firmware/sources/Storage_Sockets/test_tx/sr_clinit.c =================================================================== diff --git a/trunk/firmware/sources/Storage_Sockets/test_tx/sr_clinit.c b/trunk/firmware/sources/Storage_Sockets/test_tx/sr_clinit.c new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Storage_Sockets/test_tx/sr_clinit.c (revision 224) @@ -0,0 +1,136 @@ +/* +Function : Socket code for clinit +Arthur : SheldonRush Peng +Date : 2013/7/26 +*/ + +#include +#include +#include +#include +#include +#include "sr_clinit.h" + +//char recvbuf_1[1024]; +//char recvbuf_2[1024]; + +int socket_clinit_main(int argc, char *argv[]) +{ + int sockfd = 0, n = 0; + char sendBuff[1024]; + + struct sockaddr_in serv_addr; + + if (argc != 4) + { + printf("Usage : %s \n", argv[0]); + return 1; + } + + if ((sockfd = socket(AF_INET, SOCK_STREAM, 0)) < 0) + { + printf("Error : cannot create a socket\n"); + return 1; + } + memset(sendBuff, '\0', sizeof(sendBuff)); + + serv_addr.sin_family = AF_INET; + serv_addr.sin_port = htons(atoi(argv[2])); + + int test_inet = inet_pton(AF_INET, argv[1], &serv_addr.sin_addr); + if ( test_inet <= 0 ) + { + printf("Error inet: %d\n",test_inet); + printf("%s \n" , " Error : cannot convert text to ip binary"); + return 1; + } + //printf("%s",serv_addr.sin_addr); + + int test_connect = connect(sockfd, (struct sockaddr *)&serv_addr, sizeof(serv_addr)); + if ( test_connect < 0 ) + { + printf("Error connect: %d\n",test_connect); + printf("Error : cannot connect server 1\n"); + return 1; + } + + printf("Clinit: Send data to server, station id = %d\n", atoi(argv[3])); + snprintf(sendBuff, sizeof(sendBuff), "Hola Luis. Soy la estacion [%d] y PIN11_GPIO=0 CERRADO \n", atoi(argv[3])); + printf("Clint: data is { %s }\n", sendBuff); + + write(sockfd, sendBuff, strlen(sendBuff)); + + //int iResult = recv(sockfd, recvbuf_1, sizeof(recvbuf_1)-1, 0); + + //if ( iResult > 0 ) + // printf("Bytes received: %d\n", iResult); + //else if ( iResult == 0 ) + // printf("Connection closed\n"); + //else + // printf("recv failed with error\n"); + + close(sockfd); + + return 0; +} + +int socket_clinit_main_2(int argc, char *argv[]) +{ + int sockfd = 0, n = 0; + char sendBuff[1024]; + + struct sockaddr_in serv_addr; + + if (argc != 4) + { + printf("Usage : %s \n", argv[0]); + return 1; + } + + if ((sockfd = socket(AF_INET, SOCK_STREAM, 0)) < 0) + { + printf("Error : cannot create a socket.\n"); + return 1; + } + + printf("Creation of socket was succesfull\n"); + + memset(sendBuff, '\0', sizeof(sendBuff)); + + serv_addr.sin_family = AF_INET; + serv_addr.sin_port = htons(atoi(argv[2])); + + int test_inet = inet_pton(AF_INET, argv[1], &serv_addr.sin_addr); + if ( test_inet <= 0 ) + { + printf("Error inet: %d\n",test_inet); + printf("%s \n" , " Error : cannot convert text to ip binary"); + return 1; + } + //printf("%s",serv_addr.sin_addr); + + int test_connect = connect(sockfd, (struct sockaddr *)&serv_addr, sizeof(serv_addr)); + if ( test_connect < 0 ) + { + printf("Error connect: %d\n",test_connect); + printf("Error : cannot connect server 1\n"); + return 1; + } + + printf("Clinit: Send data to server, station id = %d\n", atoi(argv[3])); + snprintf(sendBuff, sizeof(sendBuff), "Hola Luis. Soy la estacion [%d] y PIN11_GPIO=0 ABIERTO \n", atoi(argv[3])); + printf("Clint: data is { %s }\n", sendBuff); + write(sockfd, sendBuff, strlen(sendBuff)); + + //int iResult = recv(sockfd, recvbuf_2, sizeof(recvbuf_2)-1, 0); + // if ( iResult > 0 ) + // printf("Bytes received: %d\n", iResult); + // else if ( iResult == 0 ) + // printf("Connection closed\n"); + // else + // printf("recv failed with error\n"); + + close(sockfd); + + return 0; +} Index: trunk/firmware/sources/Storage_Sockets/test_tx/sr_clinit.h =================================================================== diff --git a/trunk/firmware/sources/Storage_Sockets/test_tx/sr_clinit.h b/trunk/firmware/sources/Storage_Sockets/test_tx/sr_clinit.h new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Storage_Sockets/test_tx/sr_clinit.h (revision 224) @@ -0,0 +1,3 @@ + + +extern int socket_clinit_main(int argc, char *argv[]); =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Storage_Sockets/test_tx/test_sock_tx =================================================================== diff --git a/trunk/firmware/sources/Storage_Sockets/test_tx/test_sock_tx b/trunk/firmware/sources/Storage_Sockets/test_tx/test_sock_tx new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Storage_Sockets/test_tx/test_sock_tx (revision 224) =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/firmware/sources/Storage_Sockets/test_tx/tx_sock_gpio_test =================================================================== diff --git a/trunk/firmware/sources/Storage_Sockets/test_tx/tx_sock_gpio_test b/trunk/firmware/sources/Storage_Sockets/test_tx/tx_sock_gpio_test new file mode 10644 --- /dev/null (revision 0) +++ b/trunk/firmware/sources/Storage_Sockets/test_tx/tx_sock_gpio_test (revision 224)