##// END OF EJS Templates
actualizacion epdf de esquematicos y PCBs del circuito de adquicision y pre-procesamiento
actualizacion epdf de esquematicos y PCBs del circuito de adquicision y pre-procesamiento

File last commit:

r149:150
r170:171
Show More
main.vhd
15 lines | 191 B | text/x-vhdl | VhdlLexer
aaguilar
r149 library ieee;
use ieee.std_logic_1164.all;
entity main is
port (
clk : in std_logic;
rst : in std_logic
);
end entity main;
architecture RTL of main is
begin
end architecture RTL;